TWI719331B - 基板處理系統 - Google Patents

基板處理系統 Download PDF

Info

Publication number
TWI719331B
TWI719331B TW107126359A TW107126359A TWI719331B TW I719331 B TWI719331 B TW I719331B TW 107126359 A TW107126359 A TW 107126359A TW 107126359 A TW107126359 A TW 107126359A TW I719331 B TWI719331 B TW I719331B
Authority
TW
Taiwan
Prior art keywords
processing
tunnels
transfer
vacuum
module
Prior art date
Application number
TW107126359A
Other languages
English (en)
Other versions
TW201919970A (zh
Inventor
羅勃 卡維尼
Original Assignee
美商布魯克斯自動機械公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械公司 filed Critical 美商布魯克斯自動機械公司
Publication of TW201919970A publication Critical patent/TW201919970A/zh
Application granted granted Critical
Publication of TWI719331B publication Critical patent/TWI719331B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/901Devices for picking-up and depositing articles or materials provided with drive systems with rectilinear movements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

一基板處理系統,包括至少二直立堆疊式傳送室,該等直立堆疊式傳送室之每一者包含複數開口,其被配置以形成開口之直立堆疊,且被建構成用於耦接至直立堆疊式處理模組,該等直立堆疊式傳送室之至少一者包含至少一傳送室模組,其被配置用於耦接至另一傳送室模組,以形成一線性傳送室,及該至少二堆疊式傳送室之另一者包含至少一傳送室模組,其被配置用於耦接至另一傳送室模組,以形成另一線性傳送室;及傳送機器人,被設置在該等傳送室模組之每一者中,在此該傳送機器人之接頭在位置上係沿著藉由該個別線性傳送室所形成之線性路徑固定。

Description

基板處理系統 前後參照相關申請案
此申請案係非臨時申請案,並主張在2011年10月26日提出之美國臨時專利申請案第61/551,779號的利益,其揭示內容係全部以引用的方式併入本文中。
在此中所揭示之本發明大致上有關半導體處理系統,且明確地是有關真空半導體處理工件的處理及輸送。
目前之半導體製造設備採取數個不同形式,其每一者具有顯著之缺點。叢集工具為在一繞著中心機器手臂之半徑中配置一群半導體處理模組的機器,其佔用大量空間,係相當緩慢的,且由於其架構,被限制於少數半導體處理模組,典型有約五或六個模組之最大值。雖然提供遠較大之彈性及用於比群聚工具較大速率之潛力,線性工具不會非常適合大部分目前半導體製造設備之目前基礎結構。再者,於目前的線性系統中,設備零組件在該半導體製造之 典型真空環境內的線性動作導致問題,諸如藉由零組件之中的摩擦所產生之不能接受的微粒程度。數個併合架構存在,其使用徑向處理模組配置及線性配置之組合。
因半導體製造已日益複雜,其變得格外需要在許多不同處理模組或處理模組的叢集之中、且有時候於分開相當大距離的工具及模組之間傳送晶圓。這造成極多困難,尤其當晶圓被傳送於分開的真空處理設備之間時。真空環境之間、或真空及其他處理環境之間的傳送通常導致增加微粒污染之風險(由於預載室中之晶圓的抽吸與通氣)、以及較高的熱積存,在此晶圓係於傳送期間加熱或冷卻之任一者。
在此依然需要供使用於半導體製造環境中之改良的晶圓輸送及處理系統。
在此中所提供者係使用於改良的半導體製造處理、及輸送之方法及系統。模組化晶圓輸送及處理設備係以各種之方式組合,以於真空半導體處理系統中給予較大程度之彈性、效用、效率、及功能性。各種處理及其他模組可為與隧道及搬運車運送系統互連,以延伸該真空環境之距離及多功能性。諸如旁路熱調整器、緩衝對準器、批量處理、多功能模組、低微粒通氣、叢集處理單元、與類似者等其他改良被併入,以擴展功能性及改良處理效率。
如在此中所使用,“機器人”將包含任何種類之習知機 器人或類似裝置或設備,其包含機械能力及控制能力,並可包含控制器、處理器、電腦、或類似設備、一組馬達或類似設備、一或多個分解器、編碼器或類似設備、一或多個機械或操作設備之組合,該等機械或操作設備諸如手臂、輪件、支腳、連桿、扣爪、延展器、抓握部、噴嘴、噴灑器、末端作用器、致動器、與類似者等,以及該上面之任一者的任何組合。一實施例係機器手臂。
如在此中所使用之“驅動器”將包含用於造成動作之任何形式的驅動機件或設備。於實施例中,其包含機器人之馬達/編碼器區段。
如在此中所使用,“軸線”將包含經過連桿、皮帶或類似設備機械地連接至諸如手臂構件之機械構件之馬達或驅動器。“N軸驅動器”將包括含有N條軸線之驅動器;譬如“2軸線驅動器”係含有二軸線之驅動器。
如在此中所使用,“手臂”將包含被動式或主動式(意指含有馬達/編碼器)連桿,其可包含一或多個手臂或支腳構件、軸承、及一或多個用於固持或夾緊待處理之材料的末端作用器。
如在此中所使用,“SCARA手臂”將意指於那些熟諳該技藝者所已知的一或多個形式中之選擇順應性組裝機器手臂(SCARA)機器手臂,包含由連接至驅動器的一或多個上連桿、經過該驅動器的一部份之皮帶或機件連接至馬達的一或多個下連桿、及一或多個末端單元、諸如末端作用器或致動器所組成的手臂。
如在此中所使用,“轉動半徑”將意指手臂當其被充分地縮回時放入的半徑。
如在此中所使用,“伸出距離”將包含相對於機器手臂之最大伸出距離,其係當手臂被充分地延伸時所獲得。該機械限制通常係比該實際有效之伸出距離稍微進一步伸出,因為其係更易於控制一未完全地充分延伸的手臂(於實施例中,在完全伸直處有左/右奇異點,其可為難以控制)。
如在此中所使用,“可容度”將意指當該手臂被最佳地縮回時的狀態,使得環繞該手臂/末端作用器/材料的具有最小半徑之想像的圓能被畫出。
如在此中所使用,該“伸出距離對可容度的比率”將意指相對於機器手臂之最大伸出距離對最小可容度的比率。
如在此中所使用,“機器人至機器人”距離將包含二不同機器人驅動器之旋轉的機械中心軸間之水平距離。
如在此中所使用,“槽閥”將包含長方形閥,其打開與關閉,以允許機器手臂通過(如與真空(隔離)閥相反,其控制真空室下游之泵浦)。譬如,根據該SEMI E21.1-1296標準(用於半導體製造之已刊登的標準),於某些半導體製造處理模組中,用於300毫米晶圓之槽閥具有336毫米之開口寬度、50毫米的開口高度、及60毫米之總閥門厚度,並具有亦指定該等安裝螺絲及對準栓銷之標準。
如在此中所使用,“傳送平面”將包含該平面(標高),在此材料係經過槽閥由機器人室通過至處理模組室。根據 用於半導體製造設備之SEMI E21.1-1296標準,該傳送平面係在該槽閥中線上方14毫米及在該製造廠地板的平面上方1100毫米處。
如在此中所使用,“區段”將包含具有在其中之一或多個機器人驅動器真空室。這是線性系統中之最小可重複的元件。
如在此中所使用,“連桿”將包含機器手臂之機械構件,在兩端被連接至另一連桿、末端作用器、或該機器人驅動器。
如在此中所使用,“L1”、“L2”、“L3”等將包含由該驅動器開始至該末端作用器的手臂連桿之編號。
如在此中所使用,“末端作用器”將包含遠離該機器人驅動器及接近一物品而在機器手臂之主動式端部的元件,該機器手臂將作用在該物品上。該末端作用器可為該機器人的一隻手,其被動地或主動地固持待於半導體製程中輸送的材料、或設置在該機器手臂的端部上之某一個致動器。
如在此中所使用,該“SCARA手臂”一詞意指機器手臂,其包含一或多個連桿及可包含末端作用器,在此該手臂在控制之下可線性地運動、諸如嚙合一物件。SCARA手臂可具有各種數目、諸如3、4或更多之連桿。如在此中所使用,“3連桿SCARA手臂”將包含SCARA機器手臂,其具有三個構件:連桿一(L1)、連桿二(L2)、及末端作用器。用於3連桿SCARA手臂之驅動器通常具有3台馬達:一連接 至L1之馬達;一連接至該皮帶系統的馬達,其依序經過滑輪連接至該末端作用器;及Z(舉升)馬達。一馬達能連接第四馬達至該末端作用器,其允許用於不可能以僅只三台馬達作成的一些異常之運動。
如在此中所馬抵達,“雙重SCARA手臂”將包含選擇性連接至共用驅動器的二SCARA手臂之組合(諸如二支3或4連桿SCARA手臂(典型標以A與B))。於實施例中,該二SCARA手臂係完全地獨立或共享一共用連桿構件L1的任一者。用於雙重獨立的SCARA手臂之驅動器通常具有五台馬達的任一者:一連接至L1-A之馬達,一連接至L1-B的馬達,一連接至手臂A之皮帶系統的馬達,一連接至手臂B之皮帶系統的馬達,及一共用的Z(舉升)馬達。用於雙重相依SCARA手臂之驅動器通常具有一用於兩手臂A及B之共用分享連桿L1,且典型含有四台馬達:一連接至該共用連桿L1之馬達,一連接至用於手臂A之皮帶系統的馬達,一連接至用於手臂B的皮帶系統之馬達,及一共用的Z(舉升)馬達。
如在此中所使用,“4連桿SCARA手臂”將包含一手臂,其具有四構件:L1、L2、L3及末端作用器。用於4連桿SCARA手臂的驅動器可具有四台馬達:一連接至L1之馬達;一連接至該皮帶系統的馬達,該皮帶系統被連接至L2及L3;一連接至該末端作用器的馬達;及Z馬達。於實施例中,僅只3台馬達被需要:一連接至L1之馬達;一連接至該皮帶系統的馬達,該皮帶系統被連接至L2、L3及該 末端作用器;及Z馬達。
如在此中所使用,“蛙腿樣式手臂”將包含一手臂,其具有五個構件:L1A、L1B、L2A、L3B及末端作用器。用於蛙腿手臂之驅動器可具有三台馬達:一連接至L1A之馬達,其藉著齒輪傳動等機械式地連接至L1B;一連接至轉塔的馬達,其旋轉該整個手臂總成;及Z馬達。於實施例中,該驅動器含有三台馬達:一連接至L1A之馬達;一連接至L1B之馬達;及Z馬達,且經過該等馬達間之協調達成該想要的動作。
如在此中所使用,“雙重蛙腿樣式手臂”將包含一手臂,其具有八個構件L1A、L1B、L2A-1、L2A-2、L2B-1、L2B-2及二末端作用器。該等第二連桿構件L2A-1及L2B-1形成單一蛙腿樣式手臂,亦反之該第二連桿構件L2A-2及L2B-2形成單一蛙腿樣式手臂,然而面朝相反方向。用於雙重青蛙手臂之驅動器可為與用於單一青蛙手臂者相同。
如在此中所使用,“跳蛙腿樣式手臂”將包含一手臂,其具有八個構件L1A、L1B、L2A-1、L2A-2、L2B-1、L2B-2及二末端作用器。該等第一連桿構件L1A及L1B之每一者大體上係藉由其中心、而非藉由其遠側端部連接至該等馬達的其中一者。該等第二連桿構件L2A-1及L2B-1形成單一蛙腿樣式手臂,反之該等第二連桿構件L2A-2及L2B-2亦形成單一蛙腿樣式手臂,然而面朝同一方向。用於雙重青蛙手臂的驅動器可為與用於單一青蛙手臂者相 同。
在此中所揭示者係使用用於在處理模組間之真空中承載一或多個晶圓的可運動之搬運車,用於結合一可連結、可撓的機器人系統與一真空隧道系統之方法及系統。該真空隧道搬運車可被採用,以於處理模組或叢集之間傳送晶圓,而一可連結的機器人系統被採用在用於局部之晶圓處理的每一模組或叢集內。該等搬運車可採用任何適用於真空環境的輸送媒介、諸如磁性浮置/推進。
在此中所揭示者係亦真空輸送系統之各種組構,其中異種之處理系統係以模組化方式組合,以在單一處理環境內允許用於更多變化的功能性。大致上,機器人可被提供用於在處理模組內側及處理模組之間的晶圓處理,該等處理模組彼此接近,同時允許相當遙遠的處理單元間之晶圓的快速、方便之輸送。此等異種之處理系統可包含譬如系統,其中諸如SCARA手臂之機器手臂被使用於在處理模組或叢集內處理晶圓,而搬運車或類似設備被使用於在處理模組或叢集之間輸送晶圓。搬運車或類似設備可包含懸浮搬運車、在軌道上之搬運車、管道系統、或寬廣變化的搬運車或軌道系統之任一者,包含在此中所揭示之各種實施例。
在此中所揭示之方法及系統亦包含與搬運車系統組合之各種組構的機器人處理系統,包含其中搬運車系統形成“U”及“T”形狀、環路、直線、雙重線性組構(包含並行及上面與下面之組構)與類似者等之機器人處理系統。
在此中所揭示者係用於在真空半導體處理系統中支撐真空處理及處理模組之方法及系統。在此中所揭示之台架支撐系統可精確地定位真空模組,以有助於鄰接模組間之適當的真空密封。於實施例中,該台架之圓柱形的形狀給與方便製造方法之機會,同時以一小覆蓋區對所支撐之真空模組提供穩定性。
於實施例中,該台架支撐系統另可併入一用於在該真空模組內之機器人操作的機器人馬達機件,進一步減少該真空處理系統之整個尺寸及成本。
具有滾動基座之台架支撐系統亦可於重新建構加工及處理模組中迅速地及具成本效益地提供所需之撓性。
對於那些熟諳此技藝者由該較佳實施例之以下詳細敘述及該等圖面,本發明之這些及其他系統、方法、目的、特色、及優點將變得明顯。在此中所論及之所有文件係全部以引用的方式併入本文中。
1000‧‧‧架構
1002‧‧‧叢集工具
1004‧‧‧架構
1006‧‧‧架構
1008‧‧‧架構
1410‧‧‧負載鎖
2000‧‧‧叢集型架構
2002‧‧‧處理模組
2002A‧‧‧處理模組
2002B‧‧‧處理模組
2002C‧‧‧處理模組
2002D‧‧‧處理模組
2002e‧‧‧處理模組
2002L‧‧‧製程室
2002R‧‧‧製程室
2004‧‧‧機器手臂
2008‧‧‧微環境室
3000‧‧‧零組件
3002‧‧‧處理模組
3004‧‧‧處理模組
3006‧‧‧處理模組
3008‧‧‧處理模組
3010‧‧‧處理模組
3012‧‧‧處理模組
4000‧‧‧線性處理架構
4002‧‧‧機器人
4004‧‧‧機器人驅動器
4006‧‧‧隔離閥
4008‧‧‧負載鎖
4010‧‧‧緩衝站
4010X‧‧‧緩衝站
4012‧‧‧真空室
5002‧‧‧處理模組
6002‧‧‧3連桿SCARA手臂
6004‧‧‧4連桿SCARA手臂
6103‧‧‧真空模組
6104‧‧‧搬運車
6100‧‧‧製程群組
6110‧‧‧處理介面
6120‧‧‧交換區
6130‧‧‧真空模組
6130A‧‧‧傳送機器人
6131‧‧‧傳送機器人
6140‧‧‧輸送搬運車
6140A‧‧‧輸送搬運車
6140B‧‧‧搬運車
6150‧‧‧輸送隧道
6170‧‧‧處理單元
6180‧‧‧隔離閥
6410‧‧‧叢集工具
6610‧‧‧線性處理系統
6631‧‧‧傳送機器人
6632‧‧‧機器人
6633‧‧‧機器人
6820‧‧‧儲存單元
6920‧‧‧儲存升降機
6940‧‧‧輸送搬運車
7004‧‧‧4連桿SCARA手臂
7010‧‧‧叢集處理單元
7011‧‧‧叢集處理單元
7020‧‧‧出口介面模組
7050‧‧‧線性處理單元
7110‧‧‧二端部式隧道
7130‧‧‧二端部式隧道
7210‧‧‧三端部式隧道
7400‧‧‧處理系統
7410‧‧‧系統
7710‧‧‧迴圈
7800‧‧‧系統
7910‧‧‧真空管
8002‧‧‧機器人系統
8004‧‧‧控制器
8008‧‧‧驅動器/馬達
8010‧‧‧手臂
8011‧‧‧路徑
8012‧‧‧末端作用器
8014‧‧‧材料
8030‧‧‧機器人
8110‧‧‧輸送搬運車
8120‧‧‧處理單元
8130‧‧‧懸浮系統
8140‧‧‧機器人提升機構
8210‧‧‧搬運車機器人
8310‧‧‧傳送機器人
8410‧‧‧升降機
8510‧‧‧傳送機器人
8520‧‧‧傳送機器人
8530‧‧‧傳送機器人
8540‧‧‧機器人
8550‧‧‧傳送機器人
8610‧‧‧斗鏈式儲存區
8620‧‧‧搬運梭系統
8630‧‧‧叢集工具系統
8702‧‧‧高架軌道
8704‧‧‧搬運車
8708‧‧‧載入點
8710‧‧‧設備前端模組
8718‧‧‧匣盒
8720‧‧‧延伸部
8810‧‧‧提升機構
9002‧‧‧雙重手臂
9004‧‧‧底部
9008‧‧‧頂部
9102‧‧‧隧道網路
9200‧‧‧系統
9201‧‧‧位置
9202‧‧‧模組
9203‧‧‧設備前端模組
9204‧‧‧設備前端模組
9205‧‧‧資源
9206‧‧‧系統
9207‧‧‧緩衝器
9208‧‧‧搬運車
9302‧‧‧連結模組
9304‧‧‧真空延伸隧道
9308‧‧‧連結模組
9402‧‧‧抖振模組
9404‧‧‧支撐夾子
9408‧‧‧封圍件
9410‧‧‧封圍件底部
9412‧‧‧封圍件頂部
9502‧‧‧真空模組
9504‧‧‧通口
9602‧‧‧真空延伸隧道
9700‧‧‧對準器設備
9702‧‧‧夾具
9704‧‧‧對準器平臺
9708‧‧‧對準器視覺系統
9710‧‧‧工件
9712‧‧‧工件
9714‧‧‧工件
9718‧‧‧工件
9720‧‧‧工件
9802‧‧‧傳送機器人
10002‧‧‧末端作用器
10110‧‧‧台架
10120‧‧‧機器人驅動機件
10125‧‧‧存取通口
10130‧‧‧滾動式基座
10140‧‧‧設備
10149‧‧‧連結模組
10150‧‧‧輔助設備
10155‧‧‧開口
10200‧‧‧處理系統
10230‧‧‧基座
10240‧‧‧緩衝區
10250‧‧‧緩衝區
10260‧‧‧緩衝區
10270‧‧‧導管
10280‧‧‧連接線路
10404‧‧‧公用設施運送零組件
10406‧‧‧公用設施運送零組件
10408‧‧‧公用設施運送零組件
10410‧‧‧負載鎖
10500‧‧‧系統
10501‧‧‧門件
10502‧‧‧內部
10510‧‧‧工件
10520‧‧‧氣體管線
10530‧‧‧氣體管線閥門
10540‧‧‧微粒過濾器
10550‧‧‧衝擊波障板
10600‧‧‧系統
10602‧‧‧批量處理模組
10604‧‧‧批量負載鎖
10606‧‧‧批量緩衝器
10608‧‧‧緩衝對準器
10610‧‧‧機器手臂
10612‧‧‧機器手臂
10614‧‧‧前開式晶圓盒
10700‧‧‧機器人
10702‧‧‧第一機器手臂
10704‧‧‧末端作用器
10706‧‧‧第二機器手臂
10708‧‧‧末端作用器
10900‧‧‧線性處理工具
10901A‧‧‧處理模組
10901B‧‧‧處理模組
10902A‧‧‧處理模組
10902B‧‧‧處理模組
10903‧‧‧處理模組
10903A‧‧‧處理模組
10903B‧‧‧處理模組
10904A‧‧‧處理模組
10904B‧‧‧處理模組
10909A‧‧‧晶圓處理層面
10909B‧‧‧晶圓處理層面
10910‧‧‧輸送隧道
10911‧‧‧輸送隧道
10920‧‧‧傳送機器人
11000‧‧‧線性處理工具
11001‧‧‧線性處理工具
11002‧‧‧線性處理工具
11003‧‧‧線性處理工具
11010‧‧‧緩衝站
11010S‧‧‧晶圓固持站
11210‧‧‧負載鎖
11220‧‧‧返回系統
12002‧‧‧手臂連桿
12004‧‧‧皮帶
12008‧‧‧皮帶
13002‧‧‧手臂連桿
13004‧‧‧手臂連桿
13006‧‧‧手臂連桿
13010‧‧‧連桿
14000‧‧‧線性架構
14004‧‧‧提升機構
14008‧‧‧雙重載具機件
14010‧‧‧負載鎖
14012‧‧‧空氣隧道
14014‧‧‧儲存區域
14018‧‧‧裝滿的載具
23002‧‧‧手臂系統
25002‧‧‧線性系統
25004‧‧‧叢集系統
26002‧‧‧處理模組
29002‧‧‧機器人
29004‧‧‧驅動器
29008‧‧‧控制器
30002‧‧‧傳送平面
30004‧‧‧槽閥
31002‧‧‧滾轉夾持器
31004‧‧‧滾轉器
31008‧‧‧晶圓
32002‧‧‧定心夾持器
32004‧‧‧切口
33002‧‧‧中間入口點
33022‧‧‧中間入口點
34002‧‧‧設備前端模組
35002‧‧‧感應器
35004‧‧‧感應器
35006‧‧‧感應器
35008‧‧‧感應器
35010‧‧‧感應器
35012‧‧‧點
35014‧‧‧材料
35018‧‧‧機器手臂
36002‧‧‧室
36006‧‧‧鏡子
36008‧‧‧室
37001‧‧‧晶圓
37002‧‧‧點
37004‧‧‧點
37008‧‧‧點
37010‧‧‧點
38000‧‧‧真空驅動器
38002‧‧‧Z軸
38004‧‧‧Z軸
38006‧‧‧磁鐵
38008‧‧‧拾訊裝置
38010‧‧‧馬達
38012‧‧‧軸承
38014‧‧‧馬達
38016‧‧‧伸縮囊
38018‧‧‧旋轉式軸線
38020‧‧‧旋轉式軸線
38022‧‧‧旋繞的路徑
38024‧‧‧圓柱體
39000‧‧‧機器人驅動器
39004‧‧‧匣體
39006‧‧‧匣體
39008‧‧‧編碼器
39016‧‧‧密封單元
39018‧‧‧軸承
39020‧‧‧磁鐵
40004‧‧‧負載鎖
40006‧‧‧機器人驅動器
41006‧‧‧處理模組
41008‧‧‧處理模組
42004‧‧‧處理層面
44004‧‧‧接收器
44008‧‧‧物件
44010‧‧‧感應器
44012‧‧‧放大器/發射器
44014‧‧‧物件
44016‧‧‧感應器信號
46002‧‧‧天線
48002‧‧‧加熱元件
48004‧‧‧加熱元件
48006‧‧‧加熱元件
48008‧‧‧晶圓
49002‧‧‧末端作用器
49004‧‧‧晶圓支撐材料
49006‧‧‧晶圓
50002‧‧‧機器手臂片段
50004‧‧‧機器手臂片段
51002‧‧‧下手臂
51004‧‧‧上手臂
51008‧‧‧下手臂
51010‧‧‧上手臂
51014‧‧‧馬達
52002‧‧‧連桿
52004‧‧‧連桿
52006‧‧‧末端作用器
52008‧‧‧末端作用器
52010‧‧‧馬達
54010‧‧‧馬達組
55002‧‧‧馬達
55004‧‧‧搬運車
55006‧‧‧搬運車
55008‧‧‧懸浮軌道
57002‧‧‧底部層面室
57004‧‧‧頂部層面
58002‧‧‧底部層面
58004‧‧‧頂部層面系統
59002‧‧‧服務接入
59004‧‧‧處理模組
60002‧‧‧下手臂
60004‧‧‧上手臂
A‧‧‧手臂
B‧‧‧手臂
L1‧‧‧手臂連桿
L1A‧‧‧構件
L1B‧‧‧構件
L2‧‧‧手臂連桿
L2A‧‧‧構件
L2A-1‧‧‧構件
L2A-2‧‧‧構件
L2B-1‧‧‧構件
L2B-2‧‧‧構件
L3‧‧‧手臂連桿
L3B‧‧‧構件
L4‧‧‧手臂連桿
參考所附圖面,本發明的前面及其他目的與優點將由以下之其進一步敘述被更充分地了解,其中:圖1顯示用於各種製造設備型式之設備架構。
圖2顯示用於處理半導體製造製程中之物品的傳統、叢集型架構。
圖3A及3B顯示用於容納二及六個處理模組之間的一系列叢集型系統。
圖4顯示用於處理一製造製程中之物品的線性處理架構之高層面零組件。
圖5顯示線性處理系統之俯視圖,諸如具有類似於圖4之架構的線性處理系統。
圖6A及6B顯示3連桿SCARA手臂及4連桿SCARA手臂。
圖7顯示SCARA手臂之伸出距離及可容度特徵。
圖8顯示機器人系統用之高層面零組件。
圖9顯示用於機器手臂系統的雙重手臂架構之零組件,而供使用在一處理系統中。
圖10顯示4連桿SCARA手臂之伸出距離及可容度能力。
圖11A及11B顯示4連桿SCARA手臂之介面特徵。
圖12顯示4連桿SCARA手臂之雙重手臂組的側視圖,並使用皮帶當作該傳送機件。
圖13A、13B、及13C顯示4連桿SCARA手臂之雙重手臂組,並使用花鍵連桿當作該傳送機件。
圖14顯示用於具有線性架構之處理系統的外部返回系統。
圖14a顯示用於線性處理系統之U字形組構。
圖15顯示用於圖14之處理系統的外部返回系統之某些細節。
圖16顯示用於圖14之處理系統的外部返回系統之額外細節。
圖17顯示圖14的返回系統中之輸出載具的運動。
圖18顯示圖14的返回系統中之空的載具之處理。
圖19顯示圖14的返回系統中之空的載具之運動進入一負載鎖位置。
圖20顯示該空的載具降低及抽成真空與圖14的返回系統中之夾持器的運動。
圖21顯示當裝滿的載具係於圖14之返回系統中被排空時,一空的載具承接材料。
圖22顯示一空的載具被帶至固持位置,在圖14之返回系統中開始一新的返回循環。
圖23顯示一用於製造製程用之處理設備的架構,於線性架構中具有雙重手臂機器手臂系統及返回系統。
圖24顯示用於本發明之處理方法及系統的整個系統架構之另一選擇實施例。
圖25A及25B顯示線性系統之覆蓋區的比較,如比較於一傳統叢集系統。
圖26顯示於按照本發明之實施例的處理系統中部署以超大型處理模組之線性架構。
圖27顯示用於按照本發明之實施例的處理系統之後方出口架構。
圖28A及28B顯示用於製造設備之各種規劃可能性,該製造設備採用按照本發明之各種實施例的線性處理系統。
圖29顯示本發明之實施例,其中機器人可包含多數驅 動器及/或多數控制器。
圖30顯示有關本發明之實施例的傳送平面及槽閥特徵。
圖31顯示用於定心晶圓之滾轉夾持器。
圖32顯示用於定心晶圓之被動式滑動斜板。
圖33說明包含中央入口設備的製造設備。
圖34A、34B及34C由俯視圖說明包含中央入口設備的製造設備。
圖35說明包含用於偵測按照本發明之實施例的機器手臂位置及材料之光學感應器的配置之製造設備。
圖36A、36B及36C以截面側視圖說明製造設備,並顯示光束路徑及另一選擇之光束路徑。
圖37A及37B說明光學感應器如何能被使用於決定藉由機器手臂所處理之材料的中心。
圖38顯示傳統3軸機器人真空驅動器架構。
圖39顯示按照本發明之實施例的3軸機器人真空驅動器架構。
圖40A說明按照本發明之實施例的直立配置負載鎖總成。
圖40B說明在按照本發明之實施例的晶圓製造設備之兩側上的直立配置負載鎖總成。
圖41顯示按照本發明之實施例的直立配置負載鎖及直立堆疊式處理模組。
圖42於一截面側視圖中顯示一具有按照本發明之實施 例的直立堆疊式處理模組之線性配置、二層面處理架構。
圖43於俯視圖中顯示圖42之處理規劃。
圖44在具有按照本發明之實施例的感應器之機器手臂上顯示一裝有儀表的物件,以偵測該物件至一目標之接近度。
圖45說明感應器在一目標之上的運動如何能允許該機器手臂偵測其相對該障礙物之位置。
圖46顯示一裝有儀表的物件如何能於真空環境中使用射頻通訊,以將位置傳達至中心控制器。
圖47說明一系列感應器之輸出,當作位置之函數。
圖48說明加熱元件如何能被放置於負載鎖中,用於按照本發明之實施例的物件之熱處理。
圖49A及49B顯示於二維中呈錐形之末端作用器,其減少該末端作用器中之主動式震動模式。
圖50A及50B顯示用於機器人平面式手臂之機器手臂元件的直立錐度如何能被使用於減少該手臂組中之震動,而不會顯著地影響直立堆疊高度。
圖51A及51B說明雙重獨立SCARA機器手臂。
圖52A及52B說明雙重相依SCARA機器手臂。
圖53A及53B說明蛙腿樣式機器手臂。
圖54A及54B說明雙重蛙腿樣式機器手臂。
圖55A說明被安裝在可運動的搬運車上之4連桿SCARA手臂,以及被安裝在倒轉之可運動的搬運車上之4連桿SCARA手臂。
圖55B說明圖55A之俯視圖。
圖56說明使用3連桿單一或雙重SCARA手臂機器人系統,以大體上沿著線性軸線傳遞晶圓。
圖57說明2層面真空處理機器人系統,在此該頂部及底部處理模組係可藉著該機器手臂中之直立軸線存取的。
圖58A顯示二層面處理設備,在此基板係沿著該二層面的其中一者上之大體上線性軸線傳遞。
圖58B說明圖58A之變動,在此基板係由該系統的後面移去。
圖59A顯示一製造設備,其於一大體上線性軸線中容納很大的處理模組。使服務空間有用,以允許接近至該處理模組的內部。
圖59B說明用於4個大處理模組及一個小處理模組之更小巧的規劃。
圖60A及60B說明雙重蛙腿樣式機器人操縱器,而在該系統之相同側面上具有基板。
圖61係較佳實施例之平面圖,其中真空隧道搬運車被建構成經過傳送機器人具有一處理模組。
圖62係較佳實施例之平面圖,其中真空隧道搬運車被建構成經過複數傳送機器人具有複數處理模組。
圖63顯示圖62之實施例另包含沿著該真空隧道的兩側面上之處理模組。
圖64係較佳實施例之平面圖,其中真空隧道搬運車被建構成經過傳送機器人具有一叢集處理單元。
圖65顯示圖64之實施例另包含沿著該真空隧道的兩側面上之複數叢集處理單元及複數傳送機器人。
圖66係較佳實施例之平面圖,其中真空隧道搬運車被建構成經過傳送機器人具有一線性處理單元。
圖67顯示圖66之實施例另包含複數線性處理單元。
圖68係較佳實施例之平面圖,其中複數叢集處理單元及複數線性處理單元被建構成具有隧道傳送搬運車。
圖69顯示圖68之實施例另包含複數傳送搬運車。
圖70係交替實施例之平面圖,其中交替叢集處理單元係與隧道輸送搬運車系統及線性處理群組結合。
圖71係交替實施例之平面圖,其中該隧道形成“L”之形狀。
圖72係交替實施例之平面圖,其中該隧道形成“T”之形狀。
圖73係交替實施例之平面圖,其中該隧道形成“U”之形狀。
圖74係交替實施例之平面圖,其中長歷時製程及短製程兩者被需要。
圖75顯示圖74之實施例,而在該輸送隧道中具有複數輸送搬運車。
圖76係一交替實施例,其中複數隧道輸送搬運車系統係藉由工件處理真空模組互連。
圖77顯示圖76之實施例,其中該隧道輸送搬運車系統形成一完全之迴圈。
圖78顯示一交替實施例,描述一完全之製程群組。
圖79顯示真空處理系統中之工件緩衝區的實施例。
圖80顯示真空隧道中之雙重並行的獨立輸送搬運車。
圖81顯示真空隧道中之雙重直立地相向的獨立輸送搬運車之側視圖。
圖82顯示具有處理系統中之機器手臂的輸送搬運車之實施例,其亦包含用於工件處理的傳送機器人。
圖83顯示雙重獨立輸送隧道之實施例,其每一者具有一輸送搬運車。
圖84顯示圖83中所描述之實施例,其中工件升降機被使用於由該下隧道至該上隧道運動一工件。
圖85係一系統之實施例,其中二型式之蛙腿樣式機器人被建構為該主要工件處理傳送機器人。
圖86說明在此中所敘述之系統的另一實施例。
圖87-91說明額外之實施例,並使用直立提升機構及/或升降機。
圖92顯示一系統,用於分享度量衡制或微影術硬體。
圖93顯示一組合隧道中之搬運車、工件處理真空模組、處理模組、及多功能模組的線性處理系統,其同軸向及平行於該處理流程。
圖94描述一具有工件處理真空模組出入口之可旁路熱調整模組的切開側視圖。
圖95係可建構之多功能半導體真空模組當其將被使用於半導體真空處理系統時的立體圖。
圖96顯示真空處理系統中之複數真空延伸隧道。
圖97描述具有四塊被儲存之半導體工件的緩衝對準器模組。
圖98A、98B及98C描述圖97之對準器的對準操作。
圖99A、99B及99C描述圖97的對準器中之第二工件的對準。
圖100A及100B描述一批由圖97的對準器所傳送之被對準的工件。
圖101描述一於真空處理系統環境中之真空模組支撐台架。
圖102係併入模組化設備運送模組之半導體處理系統的一部份之分解立體圖。
圖103係於一應用中之模組化設備運送系統的側視圖,並具有製程室及升高的真空處理模組。
圖104顯示附著至模組化真空處理系統之模組化設備運送模組。
圖105顯示與半導體真空模組一起使用的低微粒通氣系統之實施例的側視圖。
圖106顯示批量處理系統。
圖107A及107B顯示供使用於批量處理系統中之機器手臂。
圖108A、108B及108C顯示供使用於批量處理系統中之多擱架緩衝器。
圖109顯示按照一實施例的態樣之示範基板處理系統 的一部份。
圖110A-110D顯示按照圖109之實施例的態樣之示範基板處理系統的各部份。
圖111顯示圖109之示範基板處理系統的一部份,並移除該等處理單元的一部份。
圖112顯示按照一實施例之態樣的基板處理系統之側視圖。
圖113顯示按照圖109之實施例的態樣之示範基板處理系統的一部份。
圖114顯示按照圖109之實施例的態樣之示範基板處理系統的一部份。
圖1顯示用於各種製造設備型式之設備架構1000。每一型式之製造設備於各種製程、諸如化學蒸氣沈積製程、蝕刻製程、與類似者等之間處理物品、諸如半導體晶圓。因半導體製造製程典型係對於汙染物、諸如微粒及揮發性有機化合物非常靈敏的,該等製程典型在真空環境中於一或多個處理模組中發生,該等處理模組係專用於特定之製程。半導體晶圓係在各種製程之中藉由處理系統所運動,以產生該最終產品、諸如晶片。各種架構1000存在用於處理系統。普遍的系統係叢集工具1002,在此處理模組係環繞中心處理系統、諸如機器手臂徑向地定位。於其他實施例中,處理系統能水平地旋轉物品,諸如於該實施例1004 中。每一型式之工具的重要態樣係該“覆蓋區”或該設備在該半導體製造設備中所佔用的面積。該覆蓋區越大,則容納製造設備中之多數機器所需的空間越多。亦,較大的覆蓋區典型係與用於較大的真空系統之需求有關,其當它們的尺寸增加時大幅地增加成本。該架構1004旋轉“旋轉餐盤(lazy susan)”設備中之物品。1006中之架構將物品移入及移出處理模組,在此該等處理模組被配置成彼此相鄰。該架構1008類似於1002將處理模組定位於一叢集中,具有該中心機器人並行地處理二晶圓的差異。這些系統之每一者共享叢集工具之許多挑戰,包含當一晶圓係移入及另一晶圓移出給定之處理模組時的顯著之調換時間遲延,以及當越來越多晶圓被運動經過該系統時,維持給定之處理模組的真空環境之清潔性的相當大之困難。
圖2顯示用於在半導體製造製程中處理物品之傳統叢集型架構2000。機器手臂2004在各種處理模組2002之中運動物品、諸如晶圓,該等處理模組環繞該機器手臂2004被定位在一叢集中。大氣基板處理微環境室2008藉由該設備承接用於處理之材料,且一旦處理係完成即固持材料。注意其將如何難以增加更多之處理模組2002。雖然再一個模組2002將可能裝入,該實際之組構被限制於五個處理模組2002。增加第六個模組可顯著地影響該設備、尤其該機器手臂2004之適用性。
用於以真空為基礎之製造製程,圖3A及3B顯示叢集工具模組、大氣微環境處理室、真空處理室、及其他來自 可撓架構系統的零組件3000。不同模組可被組裝在一起,以有助於想要之製程技術的製造。譬如,給定之晶片於不同處理模組中可需要不同化學成分(例如氮化鈦、鎢等)的化學蒸氣沈積,以及於其他處理模組中之蝕刻。不同處理模組中之製程的順序產生一獨特之最終產品。假使半導體零組件之增加的複雜性,其通常想要的是具有一可撓架構,其允許該製造廠增加更多之處理模組。然而,上述叢集工具係空間受限的;因此,其或許不可能增加更多的處理模組,意指為了完成一更複雜之半導體晶圓,其可為需要於運動來製造第二叢集工具。如在圖3A及圖3B中所視,叢集工具能包含具有二個3002、三個3004、四個3006、五個3008、3010或六個3012處理模組的組構,並具有分階段的真空隔離。其他零組件能與該設備有關地被供給。
圖4顯示用於在製造製程中處理物品的線性處理架構4000之高層面零組件。該架構使用二或更多以線性方式配置之固定不動的機器人4002。該等機器人4002可為安裝在該系統之底部中或由該室蓋垂下或同時有兩者。該線性系統使用環繞該機器人之真空室4012。該系統可為包括以線性方式配置的多數連接的真空室4012,其每一者具有一含有它們自己之機器人的真空室4012。於實施例中,單一控制器可被設置成處理該架構之一或更多區段。於實施例中,真空室4012區段係可展開的;亦即,製造廠能輕易地加入額外之區段/室4012,且如此增加能力,而遠比具有 叢集架構者更容易。因為每一區段使用獨立之機器人驅動器4004及手臂4002,當額外區段及如此機器人被加入時,該產量可保持高的。藉由對比,於叢集工具中,當該製造廠加入製程室2002時,該系統增加用於該單一機器人之負載,縱使該機器人係配備有雙重手臂,最後該機器人之速率能變成該限制因素。於實施例中,系統藉由將額外之機器手臂4002加入單一驅動器來處理此問題。其他製造廠已使用具有二完全獨立的手臂之4軸機器人、諸如雙重SCARA或雙重蛙腿機器人。既然每一區段4012含有一機器人,在此中所揭示之線性系統可不被機器人能力所限制,故每一區段4012係能夠輸送一比以叢集工具遠較大的材料量。
於實施例中,該系統之零組件能被軟體控制器所控制,於實施例中,該軟體控制器可為控制該等零組件之每一者的中心控制器。於實施例中,該等零組件在該軟體的控制之下形成一可連結的處理系統,在此該軟體控制每一機器人,以將材料傳遞至另一機器人,或進入用於藉由該下一機器人所拾取之緩衝區。於實施例中,當該零組件被插入該系統時,該軟體控制系統可分辨諸如處理模組或機器人之新的零組件之加入,諸如透過網路分辨該零組件,該網路諸如USB、乙太網路、火線、藍牙802.11a、802.11b、802.11g或另一網路。於此等實施例中,一旦該下一機器人、處理模組、或另一零組件被插入在用於諸如晶圓的待處理材料之流程的軟體排程器中,可被自動地重 新建構,以致該等材料可透過該系統中之新的連結來確定其路線。於實施例中,該軟體排程器係基於類神經網路,或其可為一基於規則的排程器。於實施例中,處理模組能使得其本身透過此一網路被得知,以致該軟體控制器得知何種新的處理模組、機器人、或其他零組件已被連接。當新的處理模組被插入一空的網格時,該系統能分辨該新的處理模組及允許其被排程進入材料處理之流程。
於實施例中,該軟體系統可包含一允許該使用者運轉該系統之模擬的介面。該介面可允許使用者觀看該連結及各種連桿、機器手臂及其他零組件之組構,以最佳化組構(諸如藉由運動該材料之流動經過各種零組件、運動處理模組、運動機器人等),及決定由供應商購買何種組構。於實施例中,該介面可為網頁介面。
在此中所揭示之方法及系統能使用機器人驅動器間之選擇性緩衝站4010。機器人能被直接地彼此交遞,但其在技術上係更難以最佳化,且將佔有二機器人,因為它們兩者將必需可用於同時做一交遞,這是比如果它們能存放至一在它們之間的暫置位置4010更受限制的,該另一機器人能在該暫置位置當其係預備好時拾取。該緩衝站4010亦允許較高的產量,因為該系統不需等候兩機器人變得可用。再者,該等緩衝站4010亦可提供一良機,以在該晶圓上施行一些小處理步驟,諸如加熱、冷卻、對準、檢查、度量衡制、測試、或清潔。
於實施例中,在此中所揭示之方法及系統於機器人區 域/區段4012之間使用選擇性真空隔離閥4006。每一區段4012可與任何另一區段4012完全隔離。如果機器人於其區段4012中處理非常乾淨及靈敏之材料(例如晶圓),則由該系統之其餘部份隔離該區段4012可防止較骯髒區段4012對該清潔區段4012之交叉污染。該製造廠現在亦可在不同壓力操作區段4012。該製造廠能具有階梯狀真空層面,在此進入該機器之真空進一步變得越來越好。在區段4012之間使用真空隔離閥4006的大優點可為自動清潔晶圓之處理(建立在清潔步驟之後所建立及需要被輸送於處理模組之間,而沒有來自該環境的污染)可被作成,而沒有來自進入該被隔離室區段4012之系統的其他零件中之材料或晶圓的除氣。
於實施例中,機器人間之真空隔離係可能的,如為機器人間之材料緩衝,諸如使用一緩衝模組4010、微處理模組、或檢查模組4010。
圖5顯示線性處理系統4000之俯視圖、諸如具有類似於圖4之線性架構者。
不同形式之機器人可被使用在半導體製造設備中,不論是否為叢集工具或線性處理機器,諸如有關圖4及5所揭示者。
圖6A及6B顯示3連桿SCARA手臂6002及4連桿SCARA手臂6004。該3連桿或4連桿手臂6002、6004係藉由機器人驅動器所驅動。該3連桿手臂6002一般被使用於工業中。當該3連桿SCARA手臂6002被使用時,該系統未被最佳 化,其中該伸出距離對可容度比率係未很好。如此,該真空室需要為較大,且因此成本隨著該真空室之尺寸而重大地上昇,具有3連桿SCARA手臂6002能增加該系統之成本。以該3連桿SCARA手臂6002,該系統之整個覆蓋區亦變得較大。再者,3連桿SCARA手臂6002之伸出距離係少於4連桿手臂6004的伸出距離。於一些案例中,製造廠可期望達成一大又深之交遞進入處理模組,且該4連桿手臂6004抵達進一步遠超出其可容度比率。這在一些非SEMI標準處理模組中具有優點。當製造廠想要涵蓋區段間之大距離時,其亦具有優點。
該4連桿手臂6004係有利的,其中該4連桿手臂以比3連桿SCARA手臂6002遠較小之可容度比率摺疊,但用於相同之可容度直徑,其比傳統3連桿SCARA 6002大大地抵達更遠。與使第二驅動器及第二4連桿手臂6004安裝在該系統之頂部上的能力組合,其可在該處理模組中允許用於快速之材料交換。該4連桿SCARA手臂6004可如所說明地譬如被安裝在固定不動的驅動器之頂部上,或在提供該旋轉動作的傳送之運動搬運車的頂部上,以作動該手臂及皮帶。於任一案例中,選擇性隨同第二4連桿手臂6004,該4連桿手臂6004可提供一小巧、長伸出距離的手臂,其可通過一小開口,而不會與該開口之邊緣衝撞。
圖7顯示4連桿SCARA手臂7004之伸出距離及可容度特徵。於實施例中,在另一些系統中,該4連桿SCARA手臂7004連桿長度不被伸出距離對可容度比率之最佳化所限 制。伸出距離對可容度比率之最佳化可導致第二手臂構件太長。當該手臂伸出經過一被放置為實際接近該最小可容度直徑的槽閥時,此第二手臂構件可與該槽閥的內側邊緣衝撞。如此可基於避免與一槽閥碰撞來設計該第二(及第三)連桿之尺寸,而該手臂被設計成伸出經過該槽閥。這導致L1、L2及L3間之懸殊比率。L2之長度可限制L3的長度。用於最佳之手臂長度的方程式可為能依照重覆求解之第4乘冪方程式。
圖8顯示用於機器人系統8002之高層面零組件,包含控制器8004、驅動器/馬達8008、手臂8010、末端作用器8012及待處理之材料8014。
圖9顯示用於機器手臂系統之雙重手臂9002架構的零組件,而與處理系統一起使用。一手臂係由該底部9004安裝,且另一手臂由該頂部9008安裝。於實施例中,兩者為4連桿SCARA手臂。將該第二手臂安裝在該頂部上係有利的。於另一些系統中,手臂已被連接至被安裝經過該室的頂部之驅動器,但該下及上驅動器傳統上被機械式地耦接。於實施例中,在有關圖4及圖5所揭示之線性系統中的二驅動器之間無機械式連接;代替地,該二手臂之協調(以防止碰撞)可在軟體系統或控制器中作成。如果僅只需要用於產量之理由,該第二(頂部)手臂9008可選擇性地被包含。
另一特色係僅只二馬達、正好像傳統之SCARA手臂可被需要來驅動器該4連桿手臂。該手臂中之皮帶可維持平 行性。平行性或其他協調的運動亦可被達成,譬如,使用平行棒代替皮帶。大致上,僅只二馬達之使用可提供一實質之成本優點。同時,三馬達可提供一功能性優點,其中該最後(L4)連桿可被獨立地操縱,然而該等額外之皮帶、軸承、連接件、軸桿、及馬達可使得該系統更昂貴。此外,該等額外之皮帶可對該手臂機件增加顯著之厚度,使得其難以使該手臂通過(SEMI標準)槽閥。更少馬達之使用大致上亦簡化相關的控制軟體。
在此中所揭示之4連桿SCARA手臂的另一特色係該手腕可由中線偏置。既然該理想之系統具有一頂部9008安裝式以及一底部9004安裝式4連桿手臂,如果該製造廠亦必需遵從該等SEMI標準,該等手臂構件之直立配置可為難以附著。於體型小的東西中,這些標準指定經過槽閥4006進入一處理模組的尺寸及伸出距離需求。它們亦指定中線上方之層面,晶圓必需在該層面上被承載。很多現存處理模組係遵從此標準。於未遵從之系統中,該等槽閥4006係很類似的形狀,雖然該開口尺寸以及該傳送平面之定義可為稍微不同。該等SEMI標準之尺寸限制需要該等手臂的一很小巧之封裝。使用一偏置手腕允許該頂部9008及底部9004手臂變得較接近在一起,使得其更易於使它們通過該槽閥4006。如果該手腕不偏置,則該等手臂需要保持進一步直立地隔開,且晶圓交換可花費更多時間,因為該等驅動器需要更多於該直立方向中運動。該頂部手臂之所提出的設計不需要有一手腕偏置,但手腕偏置可有利地減少該 系統之轉動半徑,且允許一較佳之機械手臂規劃,故沒有干涉發生。
圖10顯示4連桿SCARA手臂6004之伸出距離及可容度能力。
圖11顯示4連桿SCARA手臂6004之干涉特徵1102。該手腕偏置可有助於在比將以別的方式為可能之較小空間中摺疊該手臂。
圖12顯示4連桿SCARA手臂6004之雙重手臂組的側視圖。因為尤其該頂部手臂之封裝限制,其可為需要製成具有一些獨特之特色的手臂。於實施例中,一連桿於局部縮回時進入另一手臂連桿中之切口。皮帶能夠被設定兩副,而非單一條皮帶,以致一皮帶12004係在該切口的上方,且一皮帶12008係在下方。與這是4連桿手臂之事實無關的一解決方法係使得L2顯著地較低之12002,具有至L1的一直立間隙,以致L3及L4能摺疊在裡面。降低L2 12002可允許L3及LA抵達該正確之傳送平面,並可允許一較佳之可容度比率。因為該傳送平面之定義,該L2 12002之降低可為需要。
圖13顯示一實施例,其中皮帶及連桿之組合被使用。經過L1 13002及L3 13006之動作的傳送可為藉由單一皮帶或雙重皮帶配置之任一者所完成。於對比中,L2 13004中之動作傳送可被機械連桿(板條)13010所完成。此一配置之優點可為圍起的接頭能被使用,其減少該手臂總成之直立尺寸,該手臂總成可允許一手臂更容易地通過SEMI標 準槽閥。
圖14顯示用於具有線性架構14000之處理系統的外部返回系統。該返回機件選擇性地在該線性真空室之頂部上。在傳統的真空處理系統上,該返回路徑通常係經過與該入口路徑相同之區域。這打開交叉污染之可能性,其當正移動於製程步驟之間的清潔晶圓被由尚未清潔之骯髒晶圓進入該系統的殘餘物所污染時發生。其亦使得該機器人4002需要處理進入之材料以及出去的材料,且使得其更難以控制該真空環境。於空氣隧道14012中藉由在該後面離開該真空系統及在該頂部上運動該等晶圓回至該前面,有一些顯著的優點:該氣壓回位可相當低廉地施行;該氣壓回位可解放該真空機器人4002,因為它們不需處理出去的材料;及該氣壓回位可保持清潔之被完成的材料離開該進來之區域,藉此降低交叉污染風險。於該後面中採用小負載鎖14010可增加一些成本,並因而可增加該空氣隧道14012成本,故於短的及在此真空層面及交叉污染係不如此重要之系統中,氣壓回位可具有更少之價值,但於具有很多整合式製程步驟之長的系統中,該上面系統之氣壓回位能具有相當大的利益。該返回系統能亦可為真空返回,但其施行將為更昂貴及更複雜。應了解雖然於一些實施例中,負載鎖14010可被定位在線性系統之端部,如在圖14中所描述,該負載鎖14010可被定位在另一位置、諸如於該系統的中間。於此一實施例中,製造物品能在該系統中之此另一點進入或離開該系統,諸如離開該系統進入該氣壓回位。中間系統出口點之優點可為萬一局部系統故障,材料或晶圓能被取回。中間系統入口點之優點可為該等晶圓能夠在該系統中之多數位置中被插入,允許用於一顯著地更具彈性的製程流動。實際上,中間系統入口或出口位置作用像藉由該中間系統位置連接在一起之二台機器,有效地消除EFEM位置。亦將被了解的是雖然圖14之實施例及隨後的圖面係一直線系統,該線性系統可為曲線性;亦即,該系統能具有曲線、U形或V形、S形、或那些形狀之組合或任何另一曲線路徑,不論呈該製造廠所需求之什麼格式,諸如配合製造設備之組構。於每一案例中,該系統選擇性地包含一入口點及一出口點,該出口點沿著該線(雖然選擇性地不是一直線)與入口點分開。選擇性地,該系統能包含超過一個的出口點。於每一案例中,在此中所敘述之機器手臂能輔助於有效率地徹底運動物品,而沒有其他線性系統之問題。圖14A顯示U字形線性系統之範例。
仍然參考圖14,該系統之實施例使用雙重載具機件14008,以致被完成之晶圓可被迅速地返回至該系統的前面,而且致使空的載具14008能被放置在裝滿的載具剛好被移去之處。於實施例中,該氣壓回位將以含有N塊晶圓的載具14008為其特色。N能視該產量及成本需求而定被最佳化。於實施例中,該氣壓回位機件可含有空的載具14008,以致當裝滿的載具14018係由該真空裝載鎖14010移去時,新的空載具14008可立即被放置及負載鎖14010能 抽成真空以承接更多材料。於實施例中,該氣壓回位機件可為能夠將晶圓運動至該系統的前面。在該落下點,直立提升機構14004可被採用,以將該載具降低至一層面,在此該EFEM(設備前端模組)能抵達。在該負載鎖地點,該直立提升機構14004能降低,以由該負載鎖挑取一空的載具14008。
於實施例中,該氣壓回位機件能以一用於空的載具14008之儲存區域14014為其特色,該儲存區域或許位在盡頭及在該負載鎖14010之位置的後方。其理由係當該負載鎖14010釋放一載具14018時,該夾持器14004能夾緊該載具14018及稍微將其向前移動。該夾持器14004能接著釋放該裝滿的載具14018、一直退後及重新得到一空的載具14008、將其放置在該負載鎖14010上。在此點,該負載鎖14010能抽成真空。該夾持器14004現在可回至該裝滿的載具14018,並將其一直運動至該系統的前面。一旦該載具14018已藉由該EFEM被排空,其能被返回至該很後面處,在此其等候該下一循環。
其係亦可能將該提升機構放在該負載鎖中而非使用該夾持器中之直立動作,但是其將為更昂貴。其亦將為具有稍微較少之彈性。製造廠可想要該載具14018於一些位置中之直立運動,且將其放在該夾持器14004中將為更經濟的,因為該製造廠接著僅只需要一直立機件。
圖15顯示用於圖14之處理系統的外部返回系統之某些額外的細節。
圖16顯示用於圖14之處理系統的外部返回系統之額外的細節。
圖17顯示圖14的返回隧道14012中之輸出載具14018的運動。
圖18顯示圖14的返回系統14012中之空的載具14008之處理。
圖19顯示圖14的返回隧道14012中之空的載具14008之運動進入一負載鎖14010位置。
圖20顯示該空的載具14008被降低且抽成真空、及該夾持器14004於圖14的返回系統中之運動。
圖21顯示一空的載具14008當一裝滿的載具14018正於圖14之返回隧道14012中被排空時承接材料。
圖22顯示一空的載具14008被帶至固持位置,於圖14之返回隧道14012中開始一新的返回循環。
圖23顯示一用於處理製造製程用之設備的架構,於線性架構中具有雙重手臂機器手臂系統23002及一返回系統。
圖24顯示本發明之處理方法及系統的整個系統架構之另一選擇實施例。
圖25顯示線性系統25002之覆蓋區的比較,如比較於傳統之叢集系統25004。注意以該線性系統25002,該製造廠能以額外之模組輕易地延伸該機器,而不會影響系統產量。譬如,如圖25A中所示,僅只用於該真空區段,W=2*750+2*60+440=2060。相同地,D=350*2+440*1.5+ 3*60+745/2=1913及A=3.94m2。相對於圖25B,僅只用於該真空區段,W=2*750+2*60+1000=2620。相同地,D=920+cos(30)*(500+60+750)+sin(30)*745/2=2174;據此,A=6.9m2,其係45%較大。
圖26顯示於按照本發明之實施例的處理系統中以超大型處理模組26002所部署之線性架構。
圖27顯示用於按照本發明的實施例之處理系統的後方出口架構。
圖28顯示用於採用按照各種本發明之實施例的線性處理系統之製造設備的各種規劃可能性。
圖29顯示本發明之實施例,其中機器人29002可包含多數驅動器29004及/或多數控制器29008。於實施例中,控制器29008可控制多數驅動器29004以及其他周邊裝置,諸如槽閥、真空計,如此機器人29002可為一具有多數驅動器29004的控制器29008、或具有多數驅動器29004的多數控制器29008。
圖30顯示有關本發明之實施例的傳送平面30002及槽閥30004特徵。
圖31顯示用於定心晶圓之滾轉夾持器31002。該滾轉夾持器31002勝過圖32中之被動式定心夾持器32002的優點係於該等滾轉器31004及該晶圓31008的後側之間有更少的相對動作。該等滾轉器31004可漸獑地輕推該晶圓31008至被定心在該末端作用器上,當該晶圓下移時在兩側面上支撐該晶圓。於某些製造製程中,其可為想要的是諸如於真 空環境中定心晶圓31008。該滾轉夾持器31004可允許很脆的晶圓31008之處理,諸如當在機器手臂之末端採用一末端作用器時,因為其於處理期間支撐該晶圓之兩端。
圖32顯示用於固持晶圓31008之被動式定心末端作用器32002。當該末端作用器舉起(或該晶圓31008被降低)時,該晶圓31008典型係稍微偏心。這導致該晶圓31008滑下該斜面及掉入該切口32004。這可導致突然地落下或運動之晶圓31008,其依序能造成微粒。
在此中所揭示之方法及系統在製造製程期間於材料或物品之處理中提供很多優點。尤其,機器人間之真空隔離、以及機器人間之材料的緩衝可為可能的。製造廠能在該系統的頂部之上返回被完成之晶圓而不會通過真空,其可為一很重大的優點,僅只需要該等需要之處理步驟的一半,消除已完成及未完成的材料間之交叉污染,並保持與現存潔淨室設計相容。當製造廠具有進入該系統之相當骯髒的晶圓時,該製造廠可想要隔離它們與該機器之其餘部份,雖然它們正被清潔,其通常係該製程中之第一步驟。其可為有利的是保持已完成或局部完成的材料遠離該機器的清潔部份。
其他優點可為藉由在此中所揭示之方法及系統所提供。該等雙重手臂(頂部安裝式與底部安裝式)能以協調的方式工作,允許很快速之材料交換。不管該精確之手臂設計(3連桿、4連桿或其他),於該蓋件中安裝一手臂可為有利的,該蓋件等未機械式地連接至該底部中之手臂。在此 中所提供之4連桿SCARA手臂的連桿長度可為非常有利的,因不像傳統手臂,它們被槽閥及室半徑之機械限制所決定。在此中所揭示之4連桿SCARA手臂係亦有利的,其中它們能隨著Z馬達使用該等連桿用之二馬達,而非三台馬達加上該Z馬達。
線性真空系統可提供實質之利益,在此材料在該後面離開。另一實施可為將該入口系統及出口系統安裝經過二相向壁面。
在此中所揭示之4連桿SCARA手臂亦可允許連桿L3擺動進入該頂部機器人驅動器用之連桿L2及在該連桿L2之上。這不能輕易地以該3連桿SCARA做成,也不能以4連桿SCARA手臂之現存版本,因為它們具有錯誤的連桿長度。
用於載具之夾持器及該線性系統中之多數載具位置亦可在線性製造架構中的材料處理中提供實質之利益。包含該夾持器中及/或該後方負載鎖中之直立移動同樣可提供利益。
雖然本發明已有關某些較佳實施例被敘述,普通熟諳該技藝者將分辨在此中涵括其他實施例。
圖33說明一包含中間入口點33022的製造設備。於一實施例中。該製造設備可包含一負載鎖14010中途33002,在此晶圓31008能被取出或進入。對此一系統可有相當大之優點,包含提供一處理設備,其提供雙重處理能力(例如前後彼此連接二台機器,但僅只須使用一EFEM)。於一實施例中,該氣壓回位系統14012亦可取出新的晶圓31008 至該中點33022及在此進入晶圓31008。
圖34說明具有中間入口點33002之製造設備的數個俯視圖。該等圖示亦說明中間入口點之組合如何有效地起作用,以消除該等EFEM 34002的其中一者。
圖35說明包含一系列感應器35002的製造設備。於很多製造設備中,此等感應器35002一般被使用於偵測材料35014是否仍然存在機器手臂35018上。此等感應器35002一般可被放置在每一真空室4012入口及出口點。此等感應器35002可由直立光束所組成,採用放射器及偵測器、或採用一組合式放射器/偵測器及反射器的任一者。於真空處理設備中,機器人工作站之訓練一般係藉由熟練之操作員所完成,他觀察該機器人手臂及材料之位置及調整該機器人位置,以確保該材料35014被存放於該正確位置中。然而,這些位置時常係很難觀察,且視差及其他光學問題在適當地訓練一機器人系統中呈現相當大的障礙。因此一訓練程序能消耗很多小時之設備停機時間。
數個自動化訓練之應用已被開發,但它們可涉及將該機器手臂延伸進入一實體障礙物、諸如壁面或邊緣。此方式對其具有顯著的不利:實際上使該機器人接觸至一障礙物冒著對該機器人或該障礙物造成損壞的風險,譬如很多機器人末端作用器係使用陶瓷材料製成,該等陶瓷材料係具脆性的,但其能夠耐受住很高的晶圓溫度。相同地,在很多處理模組內側有很脆及易於損壞的物件。再者,其不可能以某些材料採用這些自動訓練程序,諸如存在該機器 人末端作用器上之晶圓31008。再者,直立位置之決定係更困難的,因為在該手臂上藉由延伸進入一障礙物所造成之向上或往下力量係更加難以偵測。
於在此中所敘述之系統中,一系列感應器35002-35010可包含水平感應器35004-35010及直立感應器35002。感應器35002-35010之此組合可允許譬如經過光束打破來偵測機器人末端作用器、手臂、或被處理物件的任一者。當該機器手臂35018係縮回位置中時,該直立感應器35002可被稍微放置在該晶圓31008的區域外側。該直立感應器35002亦可、或代替地被放置於一位置中,諸如在該晶圓內的一點35012,該點35012被定心在進入開口的前面及當該機器人完全地被縮回時被該晶圓所覆蓋。於此位置中,該感應器可為能夠通知該機器人控制器其已順利地由周邊模組拿起一晶圓31008。
水平感應器35004-35010亦可被有利地採用。在真空叢集工具中,由於該真空室之大直徑,水平感應器35004-35010有時候係不實際的,其可造成該等水平感應器35004-35010之對準更複雜。於上面所述之系統中,該室尺寸可被顯著地減少,如此可使得其實際地包含一或多個水平感應器35004-35010。圖36說明該等水平感應器35004-35010及直立感應器35002之其他可能的位置,諸如筆直越過該室(36002與36008)及/或經過放置在該真空系統內側的鏡子36006。
圖37說明當該機器手臂被完全縮回時,將該感應器 35002稍微地放置在該晶圓37001半徑外側之可能的優點。於縮回動作期間,該感應器35002在點“a”37002偵測該晶圓37001的前緣及在點“b”37004偵測該晶圓37001的後緣。這些結果可指示該晶圓37001被順利地重新得到,但藉由將該感應器35002信號發至該等編碼器、分解器、或存在於該機器人驅動器中之其他位置元件,吾人亦可計算該晶圓37001是否相對於該末端作用器被定心。因為晶圓37001之圓形的幾何形狀,該線段“a-b”37002-37004的中點將對應於該末端作用器的中心。如果該晶圓37001在該末端作用器上滑移,不一致的長度量測可顯露該打滑。
額外地,於隨後之旋轉及運動期間,當該晶圓37001邊緣通過該感應器時,第二線段“c-d”37008、37010可被偵測。再者,“c”37008及“d”37010之間的中點應與該末端作用器的中心重合,並可允許晶圓定心之測量或確認。
該上面之方法可允許該機器人偵測該晶圓37001以及決定該晶圓37001是否與該末端作用器上之期待的位置偏置。
水平及直立感應器35002-35010之組合可允許該系統使用非接觸方法被迅速地教導:該機器手臂及末端作用器可被光學地偵測,而不需機械式接觸。再者,於即時之晶圓37001處理期間,該等光束能被使用,以證實該晶圓37001於每一晶圓37001處理運動期間係在該正確位置中。
圖38說明具有二旋轉式軸線38020及38018及一直立(Z)軸線38004的傳統真空驅動器38000。伸縮囊38016可允 許用於該直立之Z軸38002動作。固定至該伸縮囊18016的底部之薄金屬圓柱體38024可在該等馬達38010及38014的轉子及定子之間提供一真空障礙物。此配置可需要很多於真空配置之零組件:電線及饋線、編碼器、信號LED及拾訊裝置38008、軸承38012、及磁鐵38006。磁鐵38006、軸承38012、電線與連接器、及編碼器可為對於存在於該真空環境中之殘留處理氣體敏感的。再者,其可為難以移除在該圓柱體38024的底部中所誘捕之氣體,因當抽成真空時,該氣體可必需順著一旋繞的路徑38022。
圖39說明一可為與在此中所敘述之系統一起使用的真空機器人驅動器39000。該旋轉式驅動力可為藉由二台馬達匣體39004及39006所提供。每一匣體可具有一體式編碼器39008、軸承39018、及磁鐵39020。一些或所有這些零組件可被定位在該真空機殼外側。同心雙軸桿旋轉式密封單元39016可使用譬如唇式密封件或磁流體密封件對於該旋轉式馬達提供真空隔離。此方法可減少該真空系統內側的零組件之數目。其亦可允許該等馬達39004、39006及編碼器39008之服務,而不會打破真空,藉此增加該驅動器單元之耐用性。
圖40A顯示用於使材料進入真空環境之堆疊式真空負載鎖4008、40004。在將晶圓31008帶入真空系統時的一限制因素係該負載鎖能被抽成真空至高度真空之速率。如果該負載鎖被太快泵吸,於該負載鎖室中之空氣中可發生冷凝作用,導致該晶圓31008表面上之晶核的析出,其能夠 導致微粒及可造成瑕疵或不佳之裝置性能。叢集工具可並行地採用二負載鎖,其每一者係交互地被抽成真空。每一負載鎖之泵吸速率可如此為較慢的,導致該系統之改良的性能。以呈直立堆疊之二負載鎖4008、40004,該設備覆蓋區保持很小的,但保留較慢的泵吸速率之利益。於實施例中,該負載鎖40004能被加入當作一選項。於實施例中,該等機器手臂4004及40006之每一者可存取該二負載鎖4008、40004的任一者。於實施例中,該剩餘之交遞模組7008可為單一層面交遞模組。
圖40B顯示另一負載鎖規劃。於此圖面中,晶圓31008能在該系統的任一側面上之二層面被進入及能離開,但順著該系統的其餘部份中之分享層面。
圖41詳細說明堆疊式負載鎖4008、40004之先前概念如何亦可遍及一製程藉由堆疊二處理模組41006、41008被施行。雖然此等模組將不遵從該SEMI標準,此一架構可於設備覆蓋區及產量中提供顯著之利益。
圖42顯示一具有二處理層面4008、40004、4010、42004的系統:晶圓可使用該頂部連桿40006或該底部連桿4004的任一者被獨立地輸送於模組之間。選擇性地;每一處理層面可具有二負載鎖,以提供上述減少抽成真空之速率的優點。如此,具有四個輸入負載鎖、二個處理層面、及選擇性四個輸出負載鎖的系統係亦藉由在此中所提供之敘述所考量,如具有額外之負載鎖及處理層面的系統。
圖43顯示圖42之系統的俯視圖。
圖44描述一特別之裝有儀表的物件44014、諸如晶圓。一或多個感應器44010可被整合進入該物件44014,並可為能夠偵測環繞該物件44014的環境因素。該等感應器44010可包含接近度感應器、諸如電容、光學或磁性接近度感應器。該等感應器44010可被連接至放大器/發射器44012,其可使用電池電力,以將射頻或其他感應器信號、諸如配合該802.11b標準的信號傳送至一接收器44004。
於很多情況中,其可為難以或不可能將儀器放在一被使用於訓練機器人的物件44014上,因為需要供電及溝通至該等工具及感應器的電線與適當之機器人動作或與該機器人運動經過的環境干涉。藉由採用至該物件之無線連接,將電線附著至該物件的問題可被解決。
該物件44014可被配備有極多不同型式之感應器及於不同幾何形狀地有利圖案中。在本範例中,該等感應器1至6(44010)被布置在一半徑中,該半徑等於該目標物件44008的半徑。於實施例中,這些感應器為接近度感應器。藉由比較來自該等感應器44010、譬如感應器1及感應器6之暫態信號,其能被決定該物件44014是否正在該正確之定向接近一目標44008。如果該目標44008未被正確地接近,該二感應器44010之其中一者可顯示過早觸發。藉由監視多數感應器44010,該系統可決定該物件44010是否在影響一傳遞之前被適當地定心在該目標44008上方。該等感應器44010可被配置在任何圖案中,譬如,根據信號分 析之效率或任何其他限制。射頻信號在真空環境中亦有利地操作。
圖45於一側面定向中顯示圖44之系統,說明將裝有儀表的物件44014定向至一目標44008之非接觸本質。該等感應器44010可包含其他感應器,用於測量該目標44008之性質、諸如溫度。
圖46描述與一或多個感應器的射頻通訊。射頻感應器信號44016可在一真空內被傳送至天線46002。波長之適當選擇能以完全金屬的真空封圍件改善信號傳播。與外部接收器及控制器無線通訊的感應器之使用可提供相當大之優點。譬如,此技術可減少用於諸如發現目標的中心之操作所需要的時間,且來自該感應器之資訊可被採用,以對操作員提供視覺回饋,或使用機器手臂自動化某些操作。再者,一或多個感應器之使用可允許在該室內之量測,其將需要以別的方式釋放該真空,以打通至大氣,且全然檢查該室。這於調節該室的內部、諸如釋壓及焙燒中(以逐出濕氣或水蒸氣)可避免昂貴或費時之步驟。
圖47說明來自多數感應器44010之輸出,當作該機器人運動的一函數。如果該等感應器係接近度感應器,當該機器人在該目標44008之上運動時,該動作可導致該等感應器提供關於譬如至該目標44008的距離之資訊。該等信號可被個別地或共同地分析,以決定用於該目標44008相對該等感應器的位置。藉由在二不同方向中運動該感應器及監視感應器信號,位置或形狀可在差異方向中被解決, 而不會實體地接觸該目標44008。
圖48描述用於將晶圓48008插入真空系統及由真空系統移除晶圓48008的技術。一或多個加熱元件、諸如一組加熱元件48002、48004及48006可被個別地或組合地採用,以將室4008及基板材料48008加熱至50℃至400℃或更多之升高溫度。此於開始溫度中之增加可減輕當該室中之壓力減少時將以別的方式發生之冷凝作用,並可允許用於一更快速之泵吸順序,以建立一真空。當被加熱之晶圓48008係藉由該機器手臂4002移至該負載鎖4008時,它們可為比加熱單元48004、48006顯著地較暖和,使得該等加熱單元48004、48006在接觸時可冷卻該等晶圓。加熱電源可調節被提供至該等加熱單元48004、48006之熱,以為該等加熱單元及/或晶圓維持想要之溫度。用於該等加熱單元48004、48006之合適的材料選擇可導致該系統對加熱電力變化迅速地反應,導致用於不同條件之不同溫度設定的可能性,譬如在該室4008之泵下期間的較高溫度設定及室4008之排氣期間的較高設定。
預先加熱該等晶圓48008可減少冷凝作用及微粒,同時減少處理時間。同時,該等晶圓48008當離開該系統時可為太熱,使得它們呈現一安全隱患、或熔化處理及支撐諸如塑膠之材料。約80至100℃度的內部溫度、及約50℃或更少的外部溫度可譬如滿足這些一般關心的事。
圖49說明機器人末端作用器49002。該機器人末端作用器49002可為錐形,以致其經過一或多個軸線具有不均 勻之厚度。譬如,當由該側面或由該頂部觀看時,該機器人末端作用器49002可具有一錐形。該錐形可減輕沿著該作用器49002的共振震動。同時,相當狹窄截面輪廓(當由該側面觀看時)可允許晶圓49006間之更容易的操縱。該側視圖錐形可藉由研磨或機械加工、或藉由具有一錐形的作用器49002之鑄造製程被達成。諸如鋁碳化矽(AlSiC 9)之材料可被有利地鑄造成此形狀,以避免隨後之機械加工或其他最後處理步驟。鑄造製程提供該額外之優點,即該晶圓支撐材料49004可於該鑄造製程期間被鑄造進入該模子,藉此減少需要實體組裝的零組件之數目。
如圖50所示,類似技術可被施加至機器手臂片段50002及50004。相同之阻尼效應可被達成,以如上面所述衰減該等手臂片段50002、50004中之共振震動。該錐形之形狀可使用各種習知製程被達成,並可透過一合成之機器手臂片段允許更快速之運動及更精密之控制。
圖51顯示採用五台馬達51014之雙重獨立SCARA手臂。每一下手臂51002及51008可藉由該等馬達51014被獨立地作動。該等手臂係在該遠側端連接至上手臂51004及51010。該組構給與一相當小的縮回半徑,但多少受限之延伸。
圖52顯示採用4台馬達52010之雙重相依SCARA手臂。該等連桿52002及52004可為共用於該等末端作用器52006及52008。該等馬達52010能以下列方式控制該末端作用器52006及52008,即使得於該下手臂52002之延伸動作期 間,該想要之末端作用器(即52008)可被延伸進入該處理模組,反之該不活動的末端作用器(即52006)可被指向遠離該處理模組。
圖53顯示蛙腿樣式機器手臂。該手臂可有關在此中所敘述之各種實施例被使用,以便能夠於一系列此等手臂中由手臂至手臂地傳送工件、諸如半導體晶圓,以便在半導體處理模組之中運動工件。
圖54顯示雙重蛙腿手臂,其可被採用在平面式機器人系統中、諸如在此揭示內容中所敘述之線性、手臂至手臂系統的其中一者。
圖55A說明4連桿SCARA手臂,如在此揭示內容中所敘述地安裝至搬運車55004。此一搬運車能以線性方式藉由導軌或磁性懸浮軌道55008移動,並藉由在該系統內部或外部的馬達55002所驅動。該4連桿SCARA手臂具有其摺疊進入一比3連桿SCARA手臂較小之縮回半徑的優點,同時達成一直進入諸如處理模組的周邊模組之較大延伸,而避免與該手臂必需伸出經過的開口碰撞。倒轉之搬運車55006可被使用於透過該搬運車55004傳送基板。
圖55B顯示圖55A中所敘述之系統的俯視圖。
圖56使用雙重獨立的及單一SCARA機器手臂之組合說明在此揭示內容中所敘述之線性系統。此一系統不能如採用4連桿SCARA手臂機器人系統之系統般小巧的。
圖57示範採用4連桿SCARA機器手臂之直立堆疊式處理系統,在此該手臂能抵達該等周邊處理模組5002之任一 者及全部。藉由旋轉該頂部層面57004中之處理模組達大約45度及將該頂部層面零組件安裝至該底部層面室57002,該等處理模組之每一者的頂部及底部可保持暴露供服務接入以及用於安裝諸如泵浦、電極、氣體管線與類似者等之零組件。所提出之規劃可允許用於七個處理模組5002於一很小巧空間中之組合。
圖58A說明圖57的一變動,在此該系統的底部層面58002由複數機器人系統所組成,如在此揭示內容中所敘述,且該頂部層面系統58004採用相對該主要系統軸線被導向在45度角度之處理模組5002。所提出之規劃允許用於九個處理模組5002於一很小巧空間中之組合。
圖58B說明圖58A的一變動,而使用後面出口負載鎖設備來由該系統移除基板、諸如半導體晶圓。
圖59A顯示一容納大基板處理模組59004之線性處理系統,而仍然允許用於服務接入59002,且同時仍然提供用於二標準尺寸設計之處理模組5002的位置。
圖59B示範一容納四個大處理模組59004及一標準尺寸設計之處理模組5002的系統規劃,而仍然允許至該等處理模組5002的內部之服務接入59002。
圖60顯示具有實質上在該機器人驅動零組件的相同側面上之手臂的雙重青蛙機器人。該等下手臂60002支撐二組上手臂60004,該等下手臂係機械式耦接至該馬達組54010。
各種技術可被用來在半導體製造設備內處理及輸送晶 圓,諸如那些上面所述者。其將被了解雖然某些處理模組、機器人零組件、及相關系統被敘述在上面,另一半導體處理硬體及軟體可與下面所敘述之輸送及處理系統結合地被適當採用。對於普通熟諳該技藝者將為清楚的是所有此等變動及修改係意欲落在本揭示內容之範圍內。
參考圖61,於真空處理系統中,製程群組6100可包含處理介面6110、諸如連接至交換區6120的設備前端模組,並可被進一步連接至工件處理真空模組6130,其在輸送隧道6150內側由該交換區6120傳送工件至輸送搬運車6140。
為了有利於各種輸送/處理方案之討論,該傳送機器人6131與一或多個處理模組2002之組合在此中被稱為處理單元6170。應了解該等處理單元可具有很多包含傳統或非傳統處理模組及/或叢集工具的組構,其隨同用於傳送晶圓的相關或額外之機器人施行寬廣範圍之製程。這可包含市售之處理模組、定製的處理模組等,以及緩衝器、加熱器、度量衡制工作站、或任何另一硬體或硬體之組合,其可由晶圓輸送系統承接晶圓或提供晶圓至該晶圓輸送系統。處理模組2002及/或處理單元6170可被設置在各種組構中、諸如於叢集中、沿著一直線或曲線之側邊對準、呈正方或長方形組構、直立堆疊等。相同地,服務處理單元6170的一或多個機器人6131能被以很多方式建構,以容納不同組構之處理模組,包含彼此一致的直立堆疊或相反位置等。
該製程群組6100可另包含一或多個隔離閥6180、諸如 槽閥等,其選擇性地隔離該群組6100內側之真空區及有利於真空區間之工件交換。該等隔離閥6180可提供控制,以於一或多個處理步驟期間為每一工件維持適當之真空環境,同時允許真空區間之工件的間歇式移動。
於圖61之實施例中,該工件處理真空模組6130及6131於該群組6100的其他零組件之間傳送工件,且更特別地是於該輸送搬運車6140及各種目的地之間傳送工件。該輸送搬運車6140係負責用於由目的地至目的地、諸如在該等工件處理真空模組6130及6131之中運動一工件。在用於製造設備的各種規劃中,處理模組與類似者等可被分開太遠,用於使用機器人、諸如圖61所示機器人6130、6131直接或方便工件傳送。這可為若干理由而發生,諸如處理模組之尺寸或形狀、用於處理模組的入口及出口點之位置、於特別製造規劃中之處理模組的數目等。當作一相當大之優點,一或多個輸送搬運車6140之使用當作中介輸送系統允許寬廣變化的模組及另一設備之撓性互連成複雜、多用途處理設備。
該輸送搬運車6140可將工件、諸如半導體晶圓輸送至可藉由該工件處理真空模組6130存取之位置,並可選擇性地輸送物品、諸如晶圓或另一工件至用於處理之處理模組2002。該輸送搬運車6140可在很多實施例中被施行,包含磁性懸浮及/或傳動搬運車、在軌道上之搬運車、具有手臂或延伸構件之搬運車、在輪件上之搬運車、藉由伸縮構件所推進之搬運車、藉由電動馬達所推進之搬運車、能夠 翻倒或傾斜之搬運車、可橫越傾斜的隧道以由一高度至另一高度運動一工件或諸工件之搬運車、由輸送軌道懸置之倒轉的搬運車、於輸送期間在工件上施行處理或數個功能之一的搬運車等。
該搬運車6140可為在常平架上、或如有邊車般懸置,以容納該搬運車6140之路徑的水平對準中之變動。相同地,該搬運車可包含晶圓夾具(例如支架、擱架、夾持器等),其係在常平架上,或其係由金屬線懸置等,使得該晶圓夾具維持一大體上層面定向,而該搬運車橫越一斜面。如此,於某些實施例中,該搬運車可橫越斜面,下降、或引導直立路徑,而將晶圓或另一工件維持於大體上均勻、層面水平對準中。此一搬運車可具有一選擇性固定的水平對準,以致諸如於水平面中之加速或減速的運動不造成該工件之翻倒。於其他實施例中,該搬運車可被允許於加速或減速期間翻倒,以便穩定該工件在該搬運車6140上之位置。
該搬運車6140可為由適合供使用於真空中之材料所製成,諸如減輕不合需要的微粒或材料之產生的材料,該材料具有低除氣特徵。於一實施例中,該搬運車6140係一簡單之搬運車,沒有機器手臂。當作一相當大之優點,使用無手臂式搬運車機械式地簡化該搬運車,如此,使得節省維護、修理、及真空環境中之實體污染。於此等實施例中,來自該搬運車路徑之每一入口/出口較佳地係包含機器人或類似裝置,以放置及重新得到在該搬運車上之工 件。
為了各種可能實施間之區別,以下之敘述採用該“被動式搬運車”一詞,以標示沒有機器手臂或用於裝載及卸載晶圓的另一機件之搬運車。如上所提,以設計之單純的觀點及於真空實施中,此組構提供許多優點,且提供減輕來自機械活動的汙染物之建立的額外優點。該“主動式搬運車”一詞在此中被採用於標示一包含機器手臂的搬運車。主動式搬運車呈現不同的優點,尤其具有隨時可用之手臂的機器手臂之改良多功能性,而具有該搬運車及用於在該隧道6150之每一通口6180的晶圓處理硬體之對應需求的鬆弛。其將被了解雖然提供一用於區別具有及沒有機器人的搬運車之間的有用之字彙,雖然如此,所謂之“被動式搬運車”可具有其他機械式或主動式零組件、諸如輪件、感應器等。
該搬運車6140可包含用於單一晶圓之空間等。於一些實施例中,該搬運車6140可包含複數擱架,以致多數晶圓能藉由該搬運車被輸送。該擱架可具有一可控制的高度等,以便藉由固定高度機器人容納不同晶圓之存取,或該等擱架可具有一固定式高度,供與具有Z軸控制機器人處理器之一起使用。於又其他實施例中,該搬運車6104可包含具有多數晶圓用之空間的單一表面。雖然多晶圓變動需要額外程度之處理控制(以負責每一搬運車上之晶圓的多數可能位置),它們亦對在此中所敘述之系統提供增加之撓性及能力。於其他實施例中,該搬運車6140可被設計成 適於承載多晶圓載具或用於多數晶圓之同時發生的處置及/或處理。
該搬運車6140可提供補充功能性。譬如,該搬運車6140可包含晶圓冷卻或加熱系統,其於輸送期間控制晶圓溫度。該搬運車6104亦可、或代替地包含晶圓中心發現感應器、晶圓度量衡制感應器、與類似者等。其將被了解雖然一範圍之可能的補充功能可被該搬運車6104所支撐,那些採用固態感應及處理的功能較佳地係可被採用於有利於清潔處理環境之保持。
該隧道6150可為具有適合用於容納該輸送搬運車6140之任何截面形狀及尺寸及任何相關的荷載。大致上,該隧道6150將為能夠維持一與被連接至其上之各種製程單元類似或完全相同的環境、諸如真空。該真空環境可為譬如藉由獨立地提供槽閥等所達成,用於每一通口6180之獨立真空隔離(大致上在圖61中被指示為與槽閥6180有同等範圍,雖然其將被了解該槽閥分辨該密封件被打開及關閉之機件,而該通口意指晶圓與類似者等可被通過的開口)。雖然槽閥或板閥係隔離裝置的一共用形式,很多其他者係習知及可為與在此中所敘述之系統被合適採用。如此,其將被了解該等術語、諸如槽閥、板閥、隔離閥、隔離機件、與類似者等應被寬廣地解釋為意指適合用於在真空環境內隔離各種室、處理模組、緩衝器等的任何裝置或裝置之組合,除非一較狹窄之意義被明確地提供或由該上下文在其他情況下清楚者。
於一些實施例中,該隧道6150可維持一中介環境,在此,譬如,不同處理單元採用不同的真空層面,或包含另一與氣體有關聯之處理。雖然被描述為一直線,該隧道6150可在適合用於容納該輸送搬運車6140之行進的路徑中包含角度、曲線、及其他變動。此外,該隧道6150可包含與該推進系統一致的軌道或其他表面,該推進系統被使用於由位置至位置地驅動該輸送搬運車6140。於一些實施例中,該隧道6150可包含斜面或其他變動,其容納在連接至其上的各種處理單元之中的高度中之變動。可在處理環境內被與搬運車6140一起使用以運動晶圓或其他工件的所有此等變動係意欲落在本揭示內容之範圍內。
圖62顯示包含輸送系統的晶圓處理系統之另一實施例。如所示,該系統6100可包含複數傳送機器人及處理模組,而能夠同時地處置及/或處理複數晶圓。該系統6100亦可包含控制器、諸如與該輸送及處理系統構件互連之計算設備(未示出),以根據該系統6100內之各種製程排定該搬運車6140之動作時程表。每一工件之處理可被控制,以致輸送搬運車6140位置及可用度係在若干處理單元6170內與該等製程的開始及停止時間協調。該等處理單元6170可為完全相同或不同。於各種實施例中,該系統6100可施行串連處理、平行處理、或這些處理之組合,以同時處理複數工件,藉此在該等處理單元6170內改善該等處理資源之利用率。
圖63顯示包含晶圓輸送系統的半導體處理設備之另一 實施例。如圖63所描述,處理單元6170可被連接至輸送隧道6150之兩側。工件處理中之極多變動、諸如那些描述於上面圖61-62中者,可被與圖63之組構組合地採用。如藉由圖面所說明,各種組構中的任何數目之處理單元6170可藉由互連處理單元6170的輸送搬運車6140所輕易地容納。這包含較大數目之處理單元6170、以及彎曲、有角度、多跑道、及其他搬運車路徑。譬如,搬運車路徑的一側面上之單元可為該右側上之處理單元的鏡像,以提供雙重三步驟製程群組,並具有共用隧道6150、輸送搬運車6140、傳送機器人6130、交換區6120、及介面模組6110。
圖64說明一組構,其使用一工件處理真空模組6131及被配置為一叢集工具6410的複數處理模組2002。此規劃隨同以搬運車為基礎之輸送系統提供一叢集工具之小巧覆蓋區及功能性,該輸送系統可被靈活地互連至任何數目之額外處理單元。
圖65顯示包含輸送系統的半導體處理設備之另一實施例。於此系統中,許多叢集工具6410係使用輸送搬運車6140及隧道6150互連,如上面大致上所敘述。其將被注意的是此配置允許任何數目之叢集工具的互連,而不管其尺寸。當作一顯著之優點,這減少配置環繞單一或多機器人處理系統之密集的叢集工具群組之需要。
圖66顯示使用晶圓輸送系統的半導體製造設備之另一實施例。於此實施例中,線性處理系統6610係以經過若干機器人6131、6632、6633互連的複數處理模組2002A- 2002D製成,並對於在該線性系統6610內之晶圓處理採用機器人至機器人傳遞。此線性系統6610可包含一至輸送搬運車6140之介面,其可將晶圓運動至該線性系統6610及被連接至該輸送系統之任何處理單元6170。其將被了解雖然於所描述之實施例中,每一傳送機器人服務二處理模組2002及處理工件之傳送至另一傳送機器人,其他線性規劃亦可被採用。
在操作中,工件可藉由以該傳送機器人6131操縱由輸送搬運車6140移入該線性處理單元。該傳送機器人6131可傳送該工件至傳送機器人6632或至二處理模組2002A或是2002B之一的任一者。該傳送機器人6632可由該傳送機器人6631承接一待處理之工件,並將其傳送至該傳送機器人6633或至二處理模組2002e或2002D之一的任一者。該傳送機器人6633可由該傳送機器人6632承接一待處理之工件。完成之工件可被傳送至連續、鄰接之傳送機器人,直至通過該傳送機器人6131至隧道輸送搬運車6140上。於一實施例中,負載鎖可被設在該線性系統6610的一端部上,以允許晶圓在該線性系統6610之相反端部由該輸送搬運車介面的增加或移除。
圖67顯示包含輸送系統的半導體製造設備。如圖67所示,許多線性系統6610可使用輸送搬運車6140及隧道6150被互連。當作一顯著之優點,用於許多不同的線性系統6610之單一真空環境可被互連,而不管每一線性系統6610之規劃及實體尺寸。另外,較長的處理順序、或用於個別 處理單元之工件的增加之產量,能使用在此中所敘述之搬運車及隧道系統被輕易地達成。
於一態樣中,連接至該隧道6150之處理單元的選擇可被有利地作成,以平衡或控制全系統之產量。如此,譬如,具有相當快速製程時間之處理單元能與合適數目之平行處理單元組合,而提供一具有較慢之處理時間的不同製程。以此方式,具有快速處理時間之處理單元能藉由在單一真空環境內服務多數下游或上游處理單元而被更充分地利用。更大致上,使用該輸送搬運車6140及隧道6150、或若干此等搬運車及隧道,較大的設計彈性被提供用於製造製程規劃,以隨著變動之製程時間及產量限制平衡負載及/或改良處理單元之中的利用率。
圖68顯示具有輸送系統的半導體製造設備。如所示,製造設備可包含各種不同的工具及模組型式。譬如,該設備可隨同一儲存單元6820包含複數叢集處理單元6410及複數線性處理單元6610,該儲存單元6820提供一用於工件之暫時真空中儲存的多晶圓緩衝器。如進一步描述者,該系統可包含超過一個的前端模組,譬如在隧道6150的相向端部上使用二個前端模組。如將由以下之敘述變得清楚,其他形狀係可能的,並可包含T-連接點、V-連接點、X-連接點、或任何另一型式之互連,其之任一者或所有可終止在一前端模組或連接至一或多個額外之隧道6150。以此方式,大的、複雜的互連處理模組之規劃可被更輕易地施行。其將被進一步了解的是該等個別之處理單元可被加入 此一系統或由此一系統移除,以便使一處理設備被設計成適於不同的製程需求。如此,模組化及彈性製造規劃系統可被達成。
圖69顯示具有輸送系統的半導體製造設備。於圖69之實施例中,隔離閥6180被設在真空隧道6150之筆直長度內。該隔離閥6180允許部份該隧道6150之隔離,且更特別地是允許諸製程,其中不同的真空環境係適當地用於不同群組之處理單元。於此實施例中,第二輸送搬運車6940被包含,以致當該隔離閥6180被關上時,該隧道6150之每一半邊包含一獨立之輸送車輛。其將被了解於某些製程中,該隔離閥可保持打開,且兩搬運車可服務該隧道6150之兩半邊。更為一般地,這說明該輸送系統之彈性,以使用各種不同的處理工具容納複雜之製程。如在圖69中所描述,該系統亦可包含一工件儲存升降機6920,以為複數工件提供儲存。
參考圖70,叢集及線性處理群組可為與複數隧道輸送搬運車系統組合,以提供複雜之製程群組。於圖70之實施例中,二叢集處理單元、即在該處理群組的第一端部之第一叢集處理單元7010與在該處理群組的第二端部之第二叢集處理單元7011之每一者與隧道輸送搬運車6140、6140A互連,用於在該等處理單元之中輸送工件。如所描述,該線性處理單元7050可在每一端部包含一存取通口。
於圖70之實施例中,一範例工件流動亦可包含於第一叢集處理單元7010中由輸入介面模組6110承接該工件、如 需要於該叢集單元7010中處理該工件。該第一隧道輸送搬運車6140可接著將該工件輸送至線性處理群組7050,在此其被該工件處理真空模組6130所承接及如所需地於一或多個處理模組2002中被處理。在該線性處理群組7050內,該工件可被傳送於鄰接的傳送機器人之間,直至線性處理群組7050內之用於該工件的所有處理係完成,在此時該工件被傳送至第二隧道輸送搬運車6140A,用於輸送至第二叢集處理單元7011。該工件之如所需的進一步處理可在該第二叢集處理單元7011中被施行及被承接進入用於自動化操作或手動取回的出口介面模組7020。
其將被應了解的是該系統可同時處理多數晶圓。於一些實施例中,晶圓可由一入口(例如第一前端模組7020)均勻地流動至一出口(例如第二前端模組6110)。然而,所描述之規劃能輕易地容納同時地行進於該相反方向中之晶圓、或經過該等前端模組之單一模組進入及離開的晶圓、或這些之組合。如上述所提,這允許顯著地改善特別處理工具之利用率的製造設備之部署,並在單一製造系統內允許極多、不同的製程之實施。
圖71顯示具有L字形狀之二端部式隧道7110。圖72顯示具有T字形狀之三端部式隧道7210。圖73顯示具有V字形狀的二端部式隧道7130。其將被了解隧道可使用這些形狀之任一者、以及其他形狀、及其組合,以便容納由一設備內之地板空間分佈至設備之個別元件的形狀及尺寸之設計因素。如由這些圖面所描述,各種不同處理單元型式可 被連接至一隧道、如適當地至一特別之製程。
參考圖74,輸送搬運車6140可互連具有不同處理時間的系統。譬如,該輸送搬運車6140能以相當長之製程時間將預清潔製程6130連接至諸如化學蒸氣沈積(“CVD”)的系統7410、及具有相當短之製程時間、諸如物理蒸氣沈積(“PVD”)的系統7420。
用於包含大體上不同期間之製程步驟的組構,較慢的製程7410可被相當大數量之相關工具所支撐(其可被部署為叢集或線性群組),以便平衡用於該組合處理系統7400的產量。如此,使用在此中所敘述之輸送系統,複雜半導體製造製程中之概念上的瓶頸能藉由僅只擴展圍繞較長製程之能力所處理,藉此改善具有相對較短之製程的工具之利用率。當作範例及不限制之,具有1(預清潔):2(PVD):10(CVD)的相對期間之製程能被在單一真空環境中一起工作而具有2預清潔工具、20 CVD處理工具、及4 PVD處理工具的設備所支援,該單一真空環境被搬運車6140及隧道6150所支撐。雖然保持此比率,根據另外的製程限制、諸如前端模組之產量能力或在製造設備內之其他分開系統,每一工具型式之總數可被放大或收縮。
參考圖75,圖74之組構可另一選擇地於一隧道6150中包含複數搬運車6140,其中每一搬運車透過該隧道6150的一部份輸送工件。該等搬運車之協調可被採用,以避免鄰接搬運車在共用側面處理單元之碰撞。
另一選擇實施例可包含被建構成一迴圈之隧道,以允 許已抵達該末端處理單元之輸送搬運車一迴圈中持續至一輸入介面模組,以接受一用於輸送之新的工件。該迴圈可被建構成為水平迴圈或直立迴圈、或這些迴圈的一組合。
參考圖76,複數隧道輸送搬運車可藉由工件處理真空模組互連。於圖76之實施例中,傳送機器人6pO可用作二分開的隧道輸送搬運車6140及6140A間之介面,並可進一步用作至前端模組6110的介面,而用於傳送工件進入該真空環境及離開該真空環境之目的。圖76之實施例可容納該等處理單元之使用的實質彈性。每一介面模組可能夠存取至該等隧道輸送搬運車兩者,而如果與每一隧道有關之處理單元係相同的,則促進增加之能力。另一選擇係,圖76之實施例可允許製程之冗餘;用於不同製程之共用介面模組,或可藉由將該等分開之隧道輸送搬運車系統組合成一製程群組來支援額外之處理步驟。
圖77顯示系統6100,其中該輸送系統形成一完整之迴圈7710。於此實施例中,輸送搬運車6140可在單一方向中連續地運動環繞該迴圈,同時在該製程內之適當位置加入或移除工件。此外,一或多個位置可被設備前端模組所服務,用於傳送工件至該真空環境及由該真空環境傳送工件。當作一顯著之優點,此規劃允許被連接至該系統的任何二處理單元間之直接傳送。其將被了解任何數目之輸送搬運車6140可共享該隧道,且具有超過一台輸送搬運車6140在單一時間藉由允許多數單元之間的傳送增加處理選擇。
圖78顯示包含輸送系統的半導體處理系統。該系統7800係一複雜的系統,包含各種搬運車及處理模組組構。特別地是,圖78之系統7800包含四個前端模組、一個儲存模組、四個獨立之搬運車輸送系統、及六個分開之線性處理模組。經由說明,其將注意的是該等線性處理模組6110的其中一者包含二前端模組(在每一端部上有一前端模組),及相交二隧道,用於互連至鄰接之處理系統。更為一般地,及如上面所大致上提及,工具、叢集、及相關硬體之任何配置能使用一或多個如在此中所敘述之隧道及搬運車被分享。圖78之實施例可允許工件在許多位置(被說明為前端模組)被由該真空環境移除,以遭受大氣製程,諸如檢查、化學機械拋光、或電鍍。工件如需要亦可被返回至該真空環境。寬廣變動之可能性由此型式之系統顯現。
於圖78之組構中,傳送機器人6130可被用來由輸送搬運車6140傳送工件至處理單元6170、或一介面模組6110,以及在分開輸送真空隧道6150上的搬運車6140之間傳送工件。
此組構允許一工件在與一或多個該等輸送真空隧道有關聯的一或多個製程上被處理,而無須由該真空環境移除該工件。藉由傳送機器人連結輸送真空隧道允許用於一或多個該等輸送真空隧道之隔離,如此允許不同真空環境之鄰接使用,且能夠獨立地操作與該等輸送真空隧道之每一者相關聯的製程。
圖79顯示一實施例,其包含位於處理模組間之真空管7910。更為一般地,這些真空管7910可被放置於任何鄰接的真空硬體之間,以越過實體空隙延伸一真空環境。該等真空管7910可為由包含玻璃等之任何合適材料所製成,在此內部能見度係想要的。這些真空管7910能被意欲,以提供諸如在先前段落及在下面中所敘述之額外的功能性,且具有極少之設計限制,除了它們較佳地係形成一真空密封以外,在此它們實體地連接至其他系統零組件,且它們為晶圓、工件、及與處理相同者有關的任何機器手臂等之通過提供充分的內部空間。大致上,該等真空管7910用作鄰接硬體、諸如處理模組(或,如所描述,藉由單一機器人所服務之模組對)間之實體緩衝器,以便允許功能性耦接,其由於該硬體之實體尺寸而不能被直接地達成。
圖80顯示包含輸送系統的半導體處理系統。圖80之實施例於單一真空隧道中包含雙重並行之獨立輸送搬運車。搬運車6140及6140A可在該隧道6150內於未干涉之路徑8010及8011上獨立地操作。機器人6130可在第一搬運車6140、第二搬運車6140A、及介面6110之中傳送工件。於一實施例中,服務一或多個該等處理單元之機器人8030可被建構,以抵達越過該隧道6150,以致工件可被由該等搬運車6140A、6140B之任一者挑選或放置至該等搬運車6140A、6140B之任一者。若干工件處理真空模組可於該等搬運車6140、6140A及其個別的處理單元之間運動工件。比具有雙重協調式輸送搬運車或單一路徑之實施例, 圖80之實施例允許用於工件在處理單元之中的更快傳送。於另一態樣中,該等路徑8010、8011可包含交換或轉線路,以允許每一搬運車6140、6140A於該等路徑8010、8011之間切換,而用於材料處理中之增加的彈性。一或多個隔離閥可被提供,以隔離該隧道6150之各種片段。
圖81顯示雙重直立相向之獨立輸送搬運車於一真空隧道中的側視圖。於圖81之實施例中,隧道6150圍起在軌道或懸浮系統8130上行駛的二輸送搬運車6140。機器人6130可經過隔離閥6180存取工件,用於在介面7410(諸如負載鎖或設備前端模組)及該等輸送搬運車8110之中載入及卸載該工件。以類似方式,傳送機器人(未示出)可在搬運車8110及處理單元8120之中傳送工件。該傳送機器人6130可經過使用機器人提升機構8140或另一Z軸控制器被直立地調整,以有利於在不同的搬運車層面之間傳送工件。
圖82顯示於一處理系統中具有機器手臂的輸送搬運車之實施例,其亦包含用於工件處理之傳送機器人。傳送機器人6130及6130A可與一搬運車機器人8210協調,以有利於工件之處理。一或多個真空延伸部7910可被提供,以實體地容納鄰接之處理單元。
圖83說明具有雙重獨立之輸送隧道6150的半導體製造系統。每一隧道可包含一輸送搬運車6140。於圖83之實施例中,具有直立動作能力的傳送機器人8310可在該下隧道的輸送搬運車、該上隧道的輸送搬運車、及負載鎖1410之中傳送工件。相同地,傳送機器人(未示出)可在該上搬運 車6140、該下搬運車6140、及該等處理單元8120之中傳送工件。
圖84係圖83中所描述之實施例的一交替實施例,其中工件升降機8410被使用於由該下隧道運動一工件至該上隧道。另外,傳送機器人6130可為與每一隧道6150有關聯,以於該工件升降機8410及該輸送搬運車6140之間傳送該工件。額外地,於該工件升降機8410及該負載鎖1410間之傳送搬運車6130可為需要的,以有利於該工件升降機8410及該負載鎖1410間之工件的傳送。
圖85顯示使用蛙腿型機器人的的隧道系統之實施例。該蛙腿型機器人可為該主要工件處理傳送機器人。該傳送機器人8510可被使用於由該介面6110傳送工件至該搬運車6140,且被描述為一完全縮回之蛙腿機器人。該傳送機器人8520亦可被縮回,且以叢集單元組構被顯示在隧道6150之右邊上。在該系統內之額外的機器人可為蛙腿機器人,如在該隧道6150的左邊上之線性處理配置中所大致地說明。於該線性處理群組中,該傳送機器人8530可延伸進入一製程室,而傳送該機器人8540延伸朝該傳送機器人8550,其被描述為同時局部地延伸朝兩相關製程室之雙重蛙腿機器人。
圖86說明“斗鏈式儲存區”8610線性群組、晶圓搬運梭系統8620、及傳統叢集工具系統8630之整合方案的實施例。更為一般地,傳統叢集工具8630、線性“斗鏈式儲存區”系統8610、及搬運梭系統8620之任何組合係可能的。 於一應用中,在該叢集工具上之短的製程能被與該斗鏈式儲存區中之較長的製程組合,以改善該系統內之每工具利用率。
雖然極多半導體處置及處理硬體之配置已被敘述,其將被了解極多其他變動係可能的,以減少地板面積使用率及縮短相關處理群組間之距離。譬如,真空輸送系統可被有用地部署在地板下邊、在壁面後方、在軌道上方、或於其他位置中,以改善製造設備之規劃,諸如藉由清除用於行人或額外之機械裝置的地板面積。大致上,當在處理模組之中載入或傳送晶圓或其他工件時,這些實施例可採用與機器手臂及其他處理設備組合之直立提升機構。圖87描述此一包含直立提升機構的系統。
圖87顯示供使用於晶圓製造中之典型載入/卸載系統。高架軌道8702可運送一具有工件之搬運車8704至前開式晶圓盒(FOUP),該FOUP可包含載入點8708及設備前端模組(EFEM)8710。負載鎖14010可被採用,以譬如使用圖87所描述之工件處理真空模組6130由該FOUP 8708傳送晶圓至一或多個處理模組。藉由具有介入真空模組4010的台架10110所支撐之複數工件處理真空模組可被建構成為半導體真空處理系統。工件可在一匣盒8718中被傳送,該搬運車8704可使用升降機或直立延伸部8720降低至該FOUP 8708。
圖88說明一改良之晶圓處理設備,其中真空隧道6150中之輸送搬運車6140被安裝在製造廠地板下方。直立提升 機構8810可被採用,以運動晶圓、或載送一或多個晶圓的匣盒至該處理層面。其將被了解雖然於單一隧道6150中之單一搬運車6140被描述,任何數目之隧道6150及/或搬運車6140可在一傳送晶圓至底部存取負載鎖14010的提升機構8810相交。
圖89說明一高架搬運車6140及真空隧道系統6150之實施例。此系統可為與上述規劃之任一者一起使用。圖89中所描述之組構有利於將承載一或多個晶圓的搬運車6140由隧道6150傳送至負載鎖14010。然而,大致上,該提升機構8810可被採用,以由頂部存取負載鎖(其係在一處理層面)運動晶圓及/或搬運車至一高架真空隧道6150,在此搬運車6140能沿著輸送系統、諸如軌道系統輸送該工件。於一實施例中,該提升機構(未示出)之驅動元件可被安裝在該處理層面下方(例如在地板上或地板下方)、或在該處理層面上方。在該處理層面下方部署該提升機構之機械態樣可有利地減少微粒之數目及/或尺寸,該等微粒可落在藉由該提升機構所承載之晶圓上。
圖90描述包含藉由下方處理層面隧道6150互連之二處理群組、諸如線性處理群組的半導體真空處理系統。可包含上述真空隧道系統之任一者的隧道6150可被部署譬如在製造廠地板下方。該隧道6150可連接藉由大距離所分開之處理模組的群組,並可藉由提供例如儲存區域、開關、分類系統等改善該互連系統之處理能力。處理群組可包含製程室、負載鎖、工件處理真空模組6130、真空模組4010: 多功能模組、旁路熱調整模組、微影術、度量衡制、中間入口負載鎖、用於延伸真空系統之伸出距離的真空隧道延伸部、及寬廣變化性之半導體處理相關功能。處理群組亦可包含藉由台架所支撐之模組。包含該隧道6150及搬運車6140的一或多個處理群組可藉由控制器、諸如執行軟體程式的計算設備所控制。
圖91描述藉由高架隧道網路所互連之二處理群組。可包含上述真空隧道系統之任一者的隧道網路9102能被部署譬如在製造廠地板上方之第二層樓上或由製造廠天花板懸吊。該隧道網路9102可連接藉由大距離所分開之處理模組的群組,並可藉由提供例如儲存區域、開關、分類系統等來改善該互連系統的處理能力。
圖92顯示用於分享度量衡制或微影術硬體之系統。如所說明,該隧道網路及在此中所敘述之其他模組互連系統可併入例如分享式度量衡制或微影術資源9205,其中該以真空為基礎之搬運車系統由一流動移除樣本晶圓及使樣本晶圓返回該流動。大致上,晶圓由一設備前端模組9203或另一大氣介面入口站“流動”至另一設備前端模組9204。如果製程中之檢查係想要的,以檢查某些製程參數,此一檢查可在諸如模組間之緩衝器9207的位置被施行。在本系統中,有數個此過渡位置,在此此一檢查可被施行。然而,因為其尺寸,一些測量系統可為實體上非常大的,且可為難以容納於模組互連部、諸如該模組間之緩衝器9207中。
於此一情況中,其可為想要的是提供如大致上在此中 所揭示之真空搬運車及隧道系統,以由在真空之下的流動至獨立的度量衡制或微影術系統9205移除一或多個晶圓。搬運車9208可在處理模組間之位置9201被定位於該流動中,以承接晶圓。其將被了解雖然一特別之位置在圖92中被分辨為該位置9201,在該系統9200內的任何數目之位置可根據想要之製程流動、能力、實體之空間限制等被類似地採用。軟體或設立邏輯可在9201決定由該流動移除哪一晶圓。於其他實施例中,該搬運車可在該系統9200內與模組9202會合,在此晶圓處理機器人可將晶圓裝載在該搬運車上,用於輸送至該度量衡制或微影術系統9205。
如在圖92中所描述,度量衡制或微影術系統9205可被超過一個工件處理系統分享。於一範例中,源自該第一載入系統9203的晶圓可在度量衡制系統9205中被評定,該度量衡制系統亦可被源自第二系統9206的晶圓所進出。雖然二線性系統被描述,其將被了解處理模組之其他配置可同樣地根據參考圖92所敘述之一般原理而採用分享式資源、諸如度量衡制或微影術系統。譬如,使用具有譬如曲線、開關等各種軌道組構,用於共用位置中的任何數目之處理系統,該系統可被建構成集中度量衡制或微影術系統及/或其他分享式資源。此一系統能將度量衡制或微影術應用至來自多數位置及多數系統的晶圓。如上面相對於具有不同製程時間的製程所敘述,單一度量衡制或微影術系統可在極多的處理單元或系統之中被分享,以於半導體製造系統中達成度量衡制或微影術資源之高利用率。
如上述,在此中所敘述之搬運車及工件處理真空模組系統可為與簡單的真空管延伸部組合,該真空管延伸部可被設置成與工件處理真空模組6130成一直線、或毗連該工件處理真空模組6130,以有利於不同處理硬體的配置及互連中之較大程度的彈性。參考圖93,半導體工件處理系統可包含搬運車、隧道、EFEM、複數工件處理真空模組、各種製程室、及真空延伸隧道9304。
此外,一或多個連結模組9302、9308可被提供,以互連該上面硬體之任一者。除了容納硬體間距以外(於與真空延伸部相同之方式),模組9302、9308可提供與半導體處理系統相關聯的各種補充功能。譬如,連結模組9308可提供儲存作用,當作晶圓製程流動中之緩衝器般操作。連結模組9302可提供晶圓之度量衡制、測量、或測試。連結模組9308可提供操作員存取至工件,在該案例中,該連結模組9308可包含隔離閥及真空泵。連結模組9302、9308可提供熱管理、諸如藉由在諸製程之間冷卻或加熱一晶圓。連結模組可為諸如藉由下面所敘述的緩衝對準器設備9700所提供之單一及/或多數晶圓提供緩衝及/或對準能力。相對於該緩衝對準器,其將被了解這使用於一連結模組中僅只為一範例,且緩衝對準模組亦可或代替地為有用的,而被採用在製程中之其他點,諸如於設備前端模組中。譬如,如果製程室於2、3、4或5或更多晶圓的小批量中處理晶圓,則在對準器採用一緩衝系統可為有效率的,以防止該對準時間變成較大製程中之瓶頸。一旦適當數目之晶圓 已在EFEM之緩衝器中被製備,大氣機器人能影響這些(經對準)晶圓至負載鎖之批量傳送。
連結模組可提供旁路能力,允許二或更多晶圓穿過處理模組間之路徑。更為一般地,連結模組9302、9308可提供任何功能,其可在處理工具間之真空環境中被有用地施行,包含那些上面所分辨者以及其組合之任一者。
當作一顯著之優點,此等多功能連結模組能減少用於額外處理模組之需要,並以各種方式減少處理模組間之等待時間。譬如,既然相衝突的路徑可在該旁路模組內被解決,旁路能力在加入另一處理模組之前減輕完全由一叢集或線性處理模組移除一晶圓之需要。當作另一範例,一旦晶圓抵達一特別工具,在連結模組內之熱管理能減少等候加熱或冷卻的需要。對於一普通熟諳該技藝者,其他優點將變得明顯。
更為一般地,使用在此中所敘述之系統及方法,工件可於製程工具間之輸送及/或等待時間期間被處理。這可包含在一連結模組9302、9308中之處理,如上面所述,以及在輸送搬運車6150上之處理、隧道6150中之處理、緩衝器中之處理、負載鎖中之處理、或於晶圓處理期間在製程工具之間的任何另一點之處理。
圖94顯示一熱旁路調整真空模組。其時常想要的是在半導體製造製程的製程步驟之間加熱或冷卻工件。其亦可為想要的是同時地允許其他工件通過待加熱或冷卻之工件。既然冷卻或加熱一工件可花費大約20至60或更多秒, 其亦為有利的是有利於其他工件之傳送,以致該冷卻或加熱不會阻斷工件流動。真空模組亦可允許工件之暫時儲存,其中工件能於機器人之間被交換,而有利於另一工作之溫度調整。
此一真空模組可包含環境可密封之封圍件,以在該工件被傳送至該下一製程步驟之前,於過渡中截獲及熱調整一工件,而在該加熱或冷卻製程期間允許協調地通過該等工件。
其可為有利的是接近真空半導體處理系統中之製程室包含此一真空模組,使得工件可被加熱或冷卻,以滿足用於改良處理之處理室的特別需要。另外,包含及利用此一真空模組能藉由允許第二工件被帶至如第一工件被處理之溫度而促進該系統中之處理室的有效使用。
額外地,工件可緊接在其被由製程室取出之後、於其被額外的傳送機器人所處理之前被返回至周遭溫度,藉此消除任何等候時間,同時該工件在傳送另一工件至該製程室之前冷卻。
其亦可為有益的是包含一與半導體處理系統中之搬運車/隧道系統組合的旁路熱調整器,以進一步有利於彈性、效用、處理效率、與類似者等。於此說明書中所揭示者係與工件處理真空模組、搬運車6140、隧道6150、及其它製程和功能模組組合之旁路熱調整器的有益組構之範例。
參考圖94,工件處理真空模組6130之末端作用器正傳 送一工件進入熱調整抖振模組9402,用於熱調整該工件之目的。
圖94另顯示一將該工件放置在支撐夾子9404上之工件處理真空模組6103,該等夾子被安裝至可運動之封圍件的上內部表面,並可包含突指等,以支撐被定心在該封圍件內之工件的邊緣。該可運動的封圍件由二部份所組成,即封圍件底部9410與封圍件頂部9412。當該封圍件頂部9412被降低至與該底部9410造成接觸時,藉由支撐夾子9404所支撐之工件係與封圍件9408外側之環境完全地隔離。當該可運動的封圍件被關閉時,該旁路熱調整器9402亦有利於傳送第二工件經過該模組。
隧道及搬運車系統之各種實施例以及其他連結硬體、諸如真空延伸部及連結模組已在上面被敘述。大致上,這些系統支援來自不同供應商、及具有不同處理時間與其他特徵的半導體處理工具之模組化使用及再用。於一態樣中,此等系統可經過諸如不同隧道形狀(曲線的、L、U、S、及/或T形隧道)及支撐二、三、四、或更多設備前端模組之形狀的變動被進一步改良。於另一態樣中,額外之硬體可被採用,以於半導體製造系統之設計及使用中提供進一步彈性。以下之敘述分辨許多適合供與在此中所敘述之系統一起使用的額外零組件。
參考圖95,半導體工件處理機器人6130可經過真空通口連接至一可建構之真空模組9502。該可建構之真空模組9502可包含用於諸如在處理期間所使用之氣體、水、空 氣、及電力的設施之通口9504。
該可建構之真空模組9502可包含一可移除的底板,其可包含一工件加熱器,用於在該處理機器人6130傳送該工件進入一附接的處理模組之前預先加熱一工件。
該可建構之真空模組9502可包含複數工件用之儲存器。當作一範例,工件可被該處理機器人6130放置在該可建構之真空模組9502內的轉動平臺上。該最大數目之工件可被每一工件之尺寸及該轉動平臺的尺寸所決定。另一選擇係,該可建構之真空模組9502可包含一被設計成適於支撐半導體工件的表面,使該表面為充分大,以允許複數工件將於非重疊配置中被放置在該表面上。該可建構之真空模組9502內的儲存器可被具有複數工件支撐擱架之工件升降機所賦能,其中該升降機可被控制,以調整用於待藉由該處理機器人6130所存取之特別擱架的選擇之高度。
該可建構之真空模組9502可包含度量衡制裝置,用於收集關於該工件的度量之目的。當作一範例,度量衡制裝置、諸如光學感應器能被使用於在該可建構之真空模組9502中偵測工件之存在,且藉由機械視覺系統開始該工件之自動化檢查。此等度量係有用的,以維持及改善待於相關聯處理模組中之工件上施行的製造製程之控制及品質。
該可建構之真空模組9502可另包含能夠支援超高真空操作之介面通口9504。該超高真空可藉由可建構之真空模組9502所達成,其中該可建構之真空模組9502係以諸如已知支援超高真空環境的不銹鋼之材料所製成。此一環境可 為有用的,用於移除該環境中之痕量氣體及減少藉由材料於該環境中之除氣所造成的氣體之導入。
該可建構之真空模組9502可提供一用於該真空處理環境之負載鎖功能。藉由允許被使用者所供給之工件被導入該真空環境、並藉由將該工件密封於該可建構之真空模組9502中與環繞該被密封之工件產生一真空環境,此一功能於使用者周遭環境及該真空處理環境間之工件交換中可為有用的。
該可建構之真空模組9502可支援工件之製造處理、諸如快速熱退火或原地的晶圓清潔。快速熱退火於半導體真空處理環境中可為有益的,用於在半導體工件中達成特定之變化,諸如活化摻雜物、及緻密所沈積之薄膜。原地的晶圓清潔能被需要,以移除於處理期間沈積在該等室中之來自該晶圓表面或邊緣的殘餘物或微粒。
該可建構之真空模組9502亦可包含該上面之任一者的組合、以及適合供半導體製造環境中的處理工具間之使用的任何其他能力。
大致上,其被期望該可建構之真空模組9502可經過與想要之功能有關聯的硬體之加入或移除而被建構成在一製造位址。如此,譬如,溫度感應器及加熱元件可被移除、及以用於晶圓儲存的多數擱架替換。其他態樣、諸如來自適當用於高真空之材料的結構可於該模組9502之製造期間被實施。大致上,如在此中所敘述之可建構的真空模組9502之特徵為模組硬體的可除去性/可替換性、或使用提 供多數能力(例如加熱、冷卻、對準、溫度感應、清潔、度量衡制、退火、掃描、分辨、運動、儲存等)之硬體的組合對一特別製程之適應性。
上述之功能亦可在上述該搬運車及隧道系統內被直接地實施當作隧道內、或與搬運車或隧道有關聯之連結模組的任一者,以於晶圓之輸送期間提供各種處理功能。如在此中所敘述,藉由促進被大距離分開的局部處理群組之互連、及藉由促進接近的大處理系統之互連,組合工件處理真空模組及搬運車/隧道對半導體處理系統提供較大的彈性。組合多功能模組9502與搬運車/隧道系統能促進該輸送時間之積極利用,以達成更快速之晶圓處理。
參考圖96,真空延伸隧道9602被更為詳細地敘述。在此中亦被稱為真空管或真空延伸部之真空延伸隧道9602可被使用於半導體真空處理系統中之各種位置中,以於真空模組之間提供連續的真空連接。真空延伸隧道9602可具有一大體上長方形之形狀,在一或更多側面上具有介面通口。每一介面通口可提供一可真空密封之工業標準介面,用於連接至各種真空模組。於實施例中,隔離閥4006可被連接至每一介面通口,以在真空延伸隧道9602及被連接的真空模組之間提供確保真空隔離的機構。
如圖96所示,真空延伸隧道9602於半導體處理系統中提供線性延伸,有利於各種尺寸處理室之使用。當作圖96中之範例,如果其沒有使用真空延伸隧道9602被連接,實質上大於處理室2002R的製程室2002L將與設備前端模組 34002干涉。真空延伸隧道9602之此使用的一額外利益係該等大製程室可被使用,而沒有增加相關聯的機器人真空室4012之尺寸,其提供鄰接設備元件間之晶圓輸送。
真空隧道延伸部9602亦可與負載鎖14010一起被使用,以在真空模組之間建立服務接入。圖96所說明之二此等範例於上及下對處理室之間包含一服務接入,且於該上對製程室及設備前端模組34002之間包含一服務接入。服務接入需要使用者緊密地接近該製程設備及或許獲得直接進出至工件處理設備。沒有真空隧道延伸部9602,使用者未能輕易地足夠緊密接近供服務。
真空隧道延伸部9602可被採用於在一系統內之各種其他位置。譬如,真空隧道延伸部9602可被採用於將線性處理系統、叢集工具、分享式度量衡制系統、或設備前端模組連接至搬運車及隧道輸送系統。真空隧道延伸部9602可促進形成半導體處理系統之各種規劃形狀,並可被提供於各種延伸長度中。
更為一般地,該等上面系統之任一者可被組合使用。譬如,包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與旁路熱調整器有關聯。工件處理真空模組可促進工件之傳送至該旁路熱調整器/由該旁路熱調整器傳送工件。包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與晶圓中心發現方法或系統有關聯。工件處理真空模組亦可促進收集待藉由該工件處 理真空模組所處理之工件的資料,以支援該晶圓中心發現方法及系統。工件處理真空模組可包含複數工件感應器,以支援晶圓中心發現。當該工件係藉由該輸送搬運車6140所輸送時,晶圓中心發現可被施行。於一實施例中,被設計成適於促進晶圓中心發現的工件處理真空模組可被組裝至輸送搬運車6140,以致被固持在該工件處理真空模組內之晶圓/工件可為於輸送期間遭受一晶圓發現製程。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與一製程室有關聯。工件處理真空模組可促進工件之傳送至該製程室/由該製程室傳送工件。如在此中所敘述,各種型式、尺寸、功能性、性能、類型、與類似者等之製程室可為與一或多個輸送搬運車6140組合,以促進半導體處理系統之處理彈性。包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與如在此中所敘述之負載鎖10410有關聯。於一範例中,工件處理真空模組可促進該負載鎖及輸送搬運車6140間之工件的傳送。包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與工件儲存及處理匣盒有關聯。工件處理真空模組可促進工件之傳送至該匣盒/由該匣盒傳送工件,如圖68及69所示。工件處理真空模組可傳送工件至該工件儲存器/由該工件儲存器傳送工件,該工件諸如生產晶圓、測試晶圓、校準晶圓、清潔晶圓、裝有儀表的晶圓、晶圓定心夾具、與類似 者等。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與設備前端模組6110有關聯。工件處理真空模組可促進工件之傳送至該設備前端模組6110/由該設備前端模組6110傳送工件。該工件處理真空模組可於二設備前端模組6110之間傳送一或多個工件,其中一模組為輸入模組,且一模組為輸出模組,或其中該等模組之一者為中間入口輸入/輸出模組。輸送搬運車6140可為經過工件處理真空模組而與設備前端模組6110有關聯,如圖78所示。圖78中之工件處理真空模組可在該設備前端模組6110及處理室2002的其中一者、另一工件處理真空模組、或輸送搬運車6140之間傳送一工件。如可在圖78中被看見,組合工件處理真空模組及設備前端模組6110與在真空隧道6150內的輸送搬運車6140能促進建構任意複雜或高彈性的處理系統。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與工件升降機有關聯。工件處理真空模組可促進工件之傳送至該工件升降機/由該工件升降機傳送工件,用於在直立地分開的工件處置及/或處理系統之間輸送一或多個工件。直立地分開之真空處理系統可包含一處理層面及一直立地分開之工件返回層面。該工件返回層面可於真空隧道中包含工件輸送搬運車或車輛,用於輸送一或多個工件至該真空處理系統中之不同位置。圖88-91描述包含工件處理真空模 組、輸送搬運車6140、及工件升降機、亦已知為提升機構8810之線性處理系統的示範組構。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與如圖70及86所示的叢集系統有關聯。工件處理真空模組可促進工件之傳送至該叢集系統/由該叢集系統傳送工件。工件處理真空模組可於包含輸送搬運車6140的線性處理系統、及叢集處理單元之間促進工件的傳送。該工件處理真空模組可傳送該工件至該叢集系統的一態樣/由該叢集系統的一態樣傳送該工件,該態樣諸如工件處理機器人、負載鎖、緩衝器、與類似者等。該工件處理真空模組可傳送一工件經過真空延伸隧道9602至該叢集系統的該態樣/由該叢集系統的該態樣傳送該工件。
該工件處理真空模組可為模組式連接至該叢集系統,以致該工件處理真空模組可提供工件之處理,而該叢集處理系統可提供半導體工件之處理。該工件處理真空模組可經過緩衝器模組、諸如多功能模組、被動式單一工件緩衝器、被動式多工件緩衝器、熱旁路轉接器、緩衝對準器9700與類似者等被連接至該叢集系統。該緩衝器模組可提供一暫時之儲存設備,用在待傳送於該工件處理真空模組及該叢集系統間之工件。該叢集系統之機器人控制器可於該工件處理真空模組用之緩衝器模組中存取或存放一工件,以傳送。複數叢集系統可被連接至一工件處理真空模組,以致該工件處理真空模組促進由一叢集系統傳送至另 一叢集系統。此一組構可包含負載鎖1401及/或設備前端模組6110,用於以操作員交換該工件。該工件處理真空模組可另包含用於決定待藉由該工件處理真空模組所處理之工件的中心之設備,以致該工件可被傳送至該叢集系統,並正確地定心至該叢集系統的中心基準。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與其他工件處理真空模組有關聯。工件處理真空模組可促進工件之傳送至該另一工件處理真空模組/由該另一工件處理真空模組傳送該工件。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與緩衝器有關聯。工件處理真空模組可促進工件之傳送至該緩衝器/由該緩衝器傳送該工件。該緩衝器可促進固持等候被處理之工件。該緩衝器亦可進一步促進減少與機器人工件處置器、處理時間中之差異、與真空環境變化有關聯的遲延、與類似者等有關聯之瓶頸。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與控制器有關聯。該控制器可引導該工件處理真空模組,以促進工件由半導體處理系統的第一區段傳送至該系統之第二區段。由該系統之第一區段傳送至第二區段可藉由使用一輸送搬運車6140所完成。一區段可包含一或多個緩衝器、緩衝對準器9700、另一工件處理真空模組、叢集系統、工件儲存 器、工件升降機、設備前端模組、負載鎖、製程室、真空隧道延伸部、包含低微粒通氣之模組、包含台架的模組、包含模組化之效用供給設備之模組、旁路熱調整器、多功能模組、機器人(例如單一手臂、雙重手臂、雙重末端作用器、青蛙腳、與類似者等)、各種形狀設計之製程系統、與類似者等。
參考圖97-100,工件可被暫時地儲存於緩衝器模組中。緩衝器模組可譬如被放置於二傳送機器人模組之間,以有利於處理及產量,或用於類似理由被放置於隧道6150及機器人之間。該緩衝器模組能為由多數側面及/或藉由多數機器人可存取的。該緩衝器模組可具有固持複數半導體工件之能力。於實施例中,該緩衝器亦可為能夠施行被放置進入該緩衝器的半導體工件之對準。此一緩衝器可被稱為緩衝對準器模組9700,其一範例被描述於圖97中。該緩衝對準器模組9700可包含緩衝器工件夾具9702、對準器平臺9704、及對準器視覺系統9708。該緩衝器工件夾具9702可同時固持多數半導體工件9710、9712、9714及9718,該等工件可被直立地堆疊或以別的方式配置在該夾具9702內。於實施例中,該對準器平臺9704可為能夠固持單一半導體工件及轉動或平移該工件至想要的對準位置,如藉由對準器控制器所決定者。一旦該半導體工件已被放置在該對準器平臺9704上,該控制器可開始一旋轉或平移,並基於藉由該對準器視覺系統9708所提供之信號決定一停止位置。
該對準器視覺系統9708可感應該半導體工件上之刻槽或另一標記,且該控制器可使用該刻槽,以決定該工件之正確對準,諸如當該刻槽係於一特別位置中時藉由停止旋轉該工件。該對準器視覺系統9708亦可採用光學字元辨識(OCR)能力或其他影像處理技術,以讀取及記錄呈現在該半導體工件上之資訊,該資訊可包含對準記號以及有關該工件的本文資訊。該控制器亦可、或代替地提供封閉迴圈感應及控制,用於被放置在該緩衝對準器模組9700上之半導體工件的對準。
圖98A顯示傳送機器人9802,利用單一工件末端作用器將半導體工件9720傳送至該緩衝對準器模組9700之對準器平臺9704上。圖98B顯示將半導體工件9720轉動至對準的對準器平臺9704。當該對準器平臺9704正轉動時,該對準器視覺系統9708可經過一些實體指示器、諸如刻槽、標記等感應該工件9720之位置。該控制器可回應於一來自該對準器視覺系統9708的適當信號而停止旋轉,該信號指示該工件被適當地對準。當被對準時,該半導體工件9720可被傳送進入該緩衝器工件夾具9702,如圖98C所示。
圖99A顯示將第二半導體工件9720傳送至該對準器平臺9704上之傳送機器人9802。注意該第一緩衝工件9710已事先被儲存於該緩衝器工件夾具之頂部凹槽中。圖99B顯示該被對準的第二半導體工件9720。圖99C顯示該二經對準的半導體工件被儲存當作第一9710及第二緩衝工件9712。最後,圖100A顯示所有被對準與儲存之工件9710、 9712、9714及9718,利用批量末端作用器10002藉由傳送機器人9802被由該緩衝對準器模組9700所傳送,以同時地運動該等工件9710、9712、9714、及9718。圖100B顯示該傳送機器人9802以該批量末端作用器10002運動半導體工件9710、9712、9714、及9718之批量至其目的地。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與緩衝對準器9700有關聯。工件處理真空模組可促進工件之傳送至該緩衝對準器9700/由該緩衝對準器9700傳送該工件,諸如至設備前端模組、負載鎖、及其他半導體製造系統模組、處置器、及處理器/來自設備前端模組、負載鎖、及其他半導體製造系統模組、處置器、及處理器。緩衝對準器9700可被有益地與線性處理系統之其他元件組合,以改善產量。於一範例中,緩衝對準器9700可為與於真空環境中提供複數被對準晶圓之輸送的輸送搬運車6140系統組合。當製程室同時需要運送多數晶圓時,緩衝對準器可被採用,在該案例中,藉由允許該系統在處理期間於該背景中對準晶圓及施行一批量傳送至該處理模組或負載鎖,在該對準處之緩衝可顯著地增加該系統產量。
圖101顯示若干模組化可連結的處理模組6130。每一可連結的模組6130可被台架10110所支撐。該台架10110可形成用於真空機器人處置器及任何相關硬體、包含譬如上面所述之連結模組的單一支撐結構。該台架10110之形式大致上可為具有適當外徑的圓柱形,以實體地支撐機器人 及另一硬體,及具有適當的內徑,以允許機器人驅動器、電力、及其他公用設施之通過。
機器人驅動機件10120可被整合在該台架10110內。該機器人驅動機件10120之整合進入該支撐結構可有利地消除對於分開之導管或殼層以容置該機器人驅動機件10120的需要。該台架10110內之存取通口10125可提供使用者進出至該機器人驅動器10120之各種零組件,諸如馬達、放大器、密封件等,以致這些零組件可當作用於服務之個別單元與類似者等被移除。
圖101中所描述之台架組構提供額外之優點。藉由升高該模組化可連結的處理模組6130至大體上在地板層面上方,同時保持該地板及該等模組6130間之相當大的未使用空間,該台架10110提供用於諸如水、氣體、壓縮空氣、及電力之製程室公用設施的實體路徑,其可為在該模組化可連結的處理模組6130下方及靠著該等台架10110敷設。如此,甚至沒有計畫用於公用設施接入,接近以台架為基礎之模組的簡單配置確保對於電線、管件、管子、及其他公用設施載具之適當存取。為了達成此結果,該台架10110較佳地係具有頂部突出表面積(亦即,當由該頂部觀看時之形狀),其係完全在被支撐在上面之模組6130的頂部突出表面積上。如此空間一直被供給環繞該台架。
該台架10110可包含一滾動式基座10130(用於相對永久之安裝具有可調整的支腳),額外之控制裝置、或設備10140可被包含在該基座上。該滾動基座10130另促進真空 模組6130之整合進入模組化真空處理及處置系統。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與台架有關聯。工件處理真空模組可被模組化地安裝至該台架,以致該台架可至少為該工件處理真空模組提供支撐。該台架可另支撐驅動機件,其於該工件處理真空模組中提供機器人工件處置器之旋轉及另一動作。該台架可為與如在此中所敘述之工件處理真空模組整合。該台架亦可進一步促進在一位置支撐工件處理真空模組,其有利於將工件傳送至隧道6150中之輸送搬運車6140。
參考譬如上述該可建構之真空模組9502,該等真空模組6130間之連結模組10149可提供在此中所敘述之功能或工具的任一者。這包含輔助設備10150、諸如真空泵、機械視覺檢查工具、加熱元件等,以及各種機械公用設施(氣體、電、水等),其能於真空或另一功能性密封中為可移除地及可替換地固定該連結模組10149中之開口10155。
圖102顯示環繞一連結模組之未使用的空間(藉由台架支撐結構所建立)如何可互相密合地配置在各種公用設施之中,其可能被要求支援半導體製造製程。參考圖102,模組化真空處理系統的一部份被顯示在分解視圖中。圖102所顯示之系統的一部份包含工件處置及處理系統10200,其可包含一或多個可連結的真空模組6130。該可連結的總成模組6130可為彼此互連或至另一模組、諸如檢查模組4010、真空延伸部、或任何另一真空零組件。如所 描述,每一可連結的模組6130被安裝在台架10130上,其依序被安裝在基座10230上。
處理工具能在該等可連結的模組6130之一的通口之任一者處連接至該工件處理系統10200。藉由在製程室中應用公用設施連接線路型式及位置用之工業標準,在該等連結模組的體積外側的公用設施連接線路之位置可基於該可連結的模組6130之位置大體上被預先決定。然而,由於該台架組構,其係亦可能配置環繞每一台架之空隙空間,以環繞該等連結模組確保一緩衝區10240、10250、10260,其遍及一使用該等可連結的模組之裝置大體上給與公用設施之任意的工作路線排定。當準備安裝製程室時,該處理系統10200能夠讓使用者利用模組化之公用設施運送零組件10240、10250及10260。
該等緩衝區10240、10250及10260有利於諸如氣體、水、及電力的公用設施之運送至被連接至該等可連結的模組6130之任一製程室。這些緩衝區10240、10250及10260可明確地容納工業標準之定位需求,且亦可容納任何用於容量、介接、清潔度、運送壓力、與類似者等之工業標準需求(當然,不需要遵從這些在該緩衝區內之標準)。
概念上地,該等緩衝區10240、10250及10260可具有一結構性機架,其支撐足夠用於運送該等對應的公用設施之複數導管10270。每一導管10270可被以適當之材料製成,該材料被選擇來滿足一特定公用設施的運送用之特定需求,並能以任何較佳之圖案被配置在該等緩衝區內。另 外,每一導管裝置通口連接線路10280可被排列在預定圖案中(例如滿足用於公用設施連接線路位置之工業標準),以有利於該等緩衝區外側的連接,而在該緩衝區內確保由模組至模組的公用設施導管之對準。
可為每一公用設施型式選擇裝置通口連接線路10280。譬如,用於水之連接線路能提供可靠之互連,其可耐受住水壓、溫度、及流率需求,而用於電力之連接線路能提供一可靠的互連或導管,其滿足電阻抗、安全性、及目前能力需求。於實施例中,該等緩衝區內之裝置通口連接線路10280的位置可被機械式地分辨及/或可調整的(例如藉著可撓導管)。
於一實施例中,諸如在每一緩衝區10240、10250及10260中含有用於各種公用設施的連接線路10280及導管之發泡模具或另一結構性機架的實體裝置可被提供當作一套件,其可允許用於各種組構,以滿足安裝需要,諸如高度、寬度、導管之位置、裝置連接線路的位置、及安裝在該對應標準的限制內之機架。
於實施例中,該等緩衝區10240、10250、10260可被完全地定制,以滿足特定之使用者安裝及操作需要。於此一實施例中,該使用者可對製造廠提供含蓋該系統之態樣的規格,諸如高度、寬度、導管之位置、裝置連接線路的位置、安裝方法、及諸如封圍件之選擇性態樣、及基座。
於實施例中,該等緩衝區10240、10250及10260可在形成用於公用設施的一或多個標準層面、及一或多個可定 制的層面之預定圖案中被配置有一或多個該等導管10270。該等標準層面譬如可為用於水及電力,而該等可定制的層面可為用於氣體。該等標準層面可額外地併入用於水電佈線的預定導管。
如圖103所示,該等緩衝區10240、10250及10260之整個尺寸可被預定,以有利於與製程室2002及該處理系統10200整合。如上面所述,及如在圖103中所描述,該等緩衝區可具有藉由該相關可連結的模組6130的體積在至少一度空間中界定之體積。
於具有不同形狀設計之製程室的實施例中,該製程室諸如一於該隔離閥連接區域中比於該公用設施零組件連接區域更寬之室,該等緩衝區10240及10260的寬度可為與圖103所示之實施例不同。另一選擇係,該等裝置通口連接線路10280之長度能為可擴展的,以容納不同形狀設計之製程室。
圖103所示實施例允許緩衝區10240、10250及10260將被安裝在可連結的模組之下、及譬如該檢查模組4010之下,藉此減少該組合處理系統10200的覆蓋區,同時確保用於公用設施之工作路線排定能力。
圖104顯示許多可連結的模組,並使用被設計成適於上述緩衝區之公用設施導管。如所描述,公用設施運送零組件10404、10406、10408被附著至每一可連結的模組之基座10230。公用設施運送零組件之每一者可包含導管、互連件、及遵照任何適當標準之連接通口,大致上如上面 所述者。
於實施例中,該等公用設施運送零組件10404、10406、10408可包含用於感應每一公用設施之態樣(例如流體流動、氣體流動、溫度、壓力等)的感應器,並可包含顯示所感應之態樣或將感應器資料傳送至控制器或另一資料擷取系統的機構。用於安裝、設立、排除故障、監視等,感應器及相關的顯示器可為有用的。譬如,運送水之模組化公用設施運送零組件10404可包含水壓感應器、水流率感應器、及/或水溫感應器,而顯示器可顯示該對應的物理資料。用於顯示或監視之其它感應器可包含氣體壓力、型式、流率、電力電壓、及電流。另外,該等感應器能傳送一可在外部偵測之信號,該信號可被公用設施控制電腦系統所監視。
包含工件輸送之線性處理系統、諸如藉由與輸送搬運車6140組合之工件處理真空模組所提供者可為與模組化公用設施運送零組件10240有關聯,該零組件10240可經過模組化連接供給諸如空氣、水、氣體、及電力之公用設施至半導體處理系統的一區段。經過該模組化公用設施運送零組件被提供公用設施的真空模組化之群組、諸如製程室2002、多功能模組9702、旁路熱調整器9402、工件處理真空模組、一或多個負載鎖14010、晶圓儲存器與類似者等可被與輸送搬運車6140組合,以有利於遠側群組間之一或多個工件的輸送。參考圖67,線性處理群組6610可被局部地以模組化公用設施運送零組件10240、10250、10260所 建構成,同時輸送搬運車6140由一群組6610至另一群組提供工件輸送。
圖105顯示一低微粒通氣系統。該系統10500傳送工件至真空處理環境及由真空處理環境傳送工件,且一旦適當之真空環境在該系統10500內被建立,可包含被載入及準備好在半導體處理設備中被處理的工件10510。該系統10500另包含一連接至氣體管線閥門10530之適合的氣體管線10520、微粒過濾器10540、及衝擊波障板10550。
在一般操作中,該系統10500以門件10501密封工件,該門件10501可使用一或普通熟諳該技藝者所已知之各種技術的任一者被打開及關閉,以隔離該內部10502與外部環境。在操作中,該系統打開與關閉至該室10502的門件10501,打開該氣體閥門10530以供給氣體至該系統10500的內部10502,關閉該氣體閥門10530,且接著抽空該內部10502,以形成一用於該等工件10510之真空。卸載該工件10510可被以一類似方式完成,除了該系統10500以真空環境開始及藉由流動經過該開放之氣體管線閥門10530及該適合的氣體管線10520之氣體加壓以外。
一旦該工件10510被放置於該內部10502中,排氣及泵吸可被執行。於此製程期間,與該適合的氣體管線10520成一直線或越過該室內部10502的開口所建構之微粒過濾器10540過濾藉由該氣體所輸送之大微粒。此外,該障板10550及該適合的氣體管線10520組合,以吸收源自釋放一用於該內部10502的真空密封之超音速震波,藉此防止或 減輕微粒在該內部10502內的破壞。
該氣體管線、典型用於使氣體由該閥門10530傳送至該模組之圓柱形管係藉由修改其形狀被設計成適於促進吸收該超音速震波。於一實施例中,該適合的氣體管線10520可被塑形成類似於火器滅音器,其中該管線可具有相對該氣體之行進的法線成某一角度的內壁表面。更為一般地,該氣體管線可包含不規則的內部表面之任一者,較佳地係正交於該氣體管線的中心軸。此等表面分散、抵消、及或吸收該超音速震波的能量(例如來自釋放一真空密封)。
為進一步減少該超音速震波之衝擊,該障板10550阻礙任何剩餘震波之行進,且保護該工件10510不遭受可能以別的方式帶來微粒污染之擾動。該障板10550可被定位,以反射該超音速震波的入射部份、抵消其部份能量、導致遍及可具有微粒的內部大體上減少之震波衝擊表面。該障板10550可為大於該開口、與該開口一樣大、或比該開口較小,並可大致上由該開口位移朝該室的內部。於一實施例中,該障板10550能為可運動的,以致其可被選擇性地定位來阻礙震波或允許工件之通過。
如上面所敘述之低微粒通氣系統可被部署在該等上面系統的任一者內之任何位置,在此真空密封可被釋放或建立。
很多該等上面系統、諸如該等多功能模組、批量儲存器、及批量末端作用器可被與在此中所敘述之高模組化系 統組合地使用,以保持地板面積及減少處理時間,尤其用於複雜的製程、或用於意欲在單一真空環境內容納數個不同製程的裝置。許多批量處理概念、及尤其是批量對準器之使用現在被更為詳細地敘述。
圖106顯示一包含許多可同時處理若干晶圓之批量處理模組10602的系統10600。每一模組10602可譬如同時處理2、3、4、或更多晶圓。該系統10600亦可包含批量負載鎖10604、真空批量緩衝器10606、緩衝對準器10608、一或多個真空機器手臂10610、大氣機器手臂10612、及一或多個前開式晶圓盒10614。該等前面零組件之每一者可被設計成適用於晶圓的批量處理。
該等前開式晶圓盒10614可成群地儲存晶圓、諸如四個。雖然為說明之目的提供四個晶圓系統,其將被了解該系統10600亦可、或代替地被建構成容納2、3、4、5、6或更多晶圓之群組、或這些之組合,且所有此等分組可被考慮為批量,如在此中被使用之名詞。
於大氣中之機器人10612可操作來由該FOUPs 10614重新得到晶圓群組,該等FOUPs大致上管理晶圓之大氣處置,用於該系統10600中之處理。該機器人10612可在軌道、搬運車或其他機件上行進,以進出該FOUPs 10614、該負載鎖10604、及該緩衝對準器10608。該機器人可包含用於同時地處理一批晶圓(或其他工件)之批量末端作用器。該機器人10612亦可、或代替地包含雙重手臂等,以致第一手臂能於該FOUPs 10614及該批量對準器10608之間 挑取及放置,而該另一手臂提供一批量末端作用器,用於將該緩衝器10608中之被對準晶圓批量傳送至該批量負載鎖10604及由該負載鎖10604傳回至該FOUPs 10614。
該緩衝對準器10608可容納對應數目之晶圓(例如四個),其於該緩衝製程期間被實體地對準。其將被了解雖然單一緩衝對準器被顯示,許多緩衝對準器可被配置環繞該大氣中之機器人、或可被直立地堆疊,以便容納用於處理之批量的群組。其將亦被了解該緩衝對準器10608可採用熟諳該技藝者所已知之任何主動式或被動式技術、或這些技術的組合,以同時發生地對準二或更多晶圓,用於隨後之批量處理。
當作一顯著之優點,被對準之批量晶圓可在下游以批量形式被更迅速地處理。如此,譬如,經對準之批量晶圓能藉由該機器人10612以保持用於傳送至該真空中之機器人10610的對準之方式被傳送至該批量負載鎖10604,該機器人可包含雙重手臂及/或雙重末端作用器,用於在真空內批量處理晶圓。再者,該空中之批量緩衝器10606可使用例如擱架等來容納批量晶圓,以於機器人間之真空緩衝及/或傳遞期間保持對準。該批量緩衝器10606當然可提供冷卻、溫度控制儲存、或上述其他功能之任一者,其於半導體製造製程中在處理模組之間可為有用的。
圖107A及107B顯示一可與圖106之批量處理系統一起使用之機器手臂。圖107A顯示該機器人10700的截面視圖,而圖107B顯示一立體圖。大致上,機器人10700可包 含具有單一末端作用器10704的第一機器手臂10702及具有雙重或另一批量末端作用器10708之第二機器手臂10706。
使用此機器手臂組構,該單一末端作用器10704可被採用於晶圓在模組內之個別的挑取及放置,而該雙重末端作用器10708可被採用於處理模組間之批量傳送,例如經由批量緩衝器10606、機器人至機器人傳遞、或任何另一合適之批量處理技術。
其將被了解對此批量技術之極多變動係可能的。譬如,該批量末端作用器可包含適合供使用於批量處理中之二葉片、三葉片、或任何其他數目之葉片(或其他適合的晶圓支撐件)。同時,每一機器手臂10702、10706可為多連桿SCARA手臂、青蛙腿手臂、或在此中所敘述之任何另一型式的機器人。此外,視製造製程之特別部署而定,該二手臂可為完全獨立的、或局部或選擇性相依的。所有此等變動係意欲落在本揭示內容之範圍內。除了批量尺寸及機器手臂組構中之變動以外,其將被了解任何數目之批量處理模組可被採用。此外,在某些情況之下具有併入一系統之一或多個非批量、或單一晶圓處理模組可為有效率或有用的,在此處理時間係適當地成比例的,以配合地提供該單一及批量處理模組之可接受的利用率。
圖108A、108B及108C說明多數傳送平面如何可被有用地採用,以於批量處理系統中保存地板面積。圖108A顯示一連結模組,以容納至該連結模組內之晶圓的單一或多數通路。槽閥等被提供,以隔離該連結模組。圖108B顯示另一選擇組構,其中多數擱架沒有隔離地被定位於機器人之間。於此組構中,該等擱架可譬如被定位在該等機器人上方,以允許一完整範圍之機器人動作,其可能以別的方式在機器手臂及該擱架上的晶圓之間造成一碰撞。雖然如此,此組構於機器人之間提供批量處理及或多數晶圓緩衝。圖108C顯示圖108B之實施例的俯視圖。如於圖108C中所看見,於圖108B中的機器人之間具有擱架的小轉接器允許二機器人之相當接近的定位,而不需直接之機器人至機器人交遞。代替地,每一晶圓或晶圓群組能被傳送至該已升高之擱架,用於藉由鄰接之機器人隨後取回。當作一顯著之優點,此規劃減少二鄰接機器人之覆蓋區,同時減少或消除協調引導機器人至機器人交遞之額外的複雜性。
現在參考圖109,用於處理任何適當尺寸設計的晶圓之線性處理工具10900的一部份係按照實施例之態樣被顯示。該線性處理工具10900可包含以模組或單元組成之方式被上下排列在不同層面上之任何合適的處理模組或單元10901A、10901B、10902A、10902B、10903A、10903B、10904A、10904B(例如該等處理模組可被配置、諸如於直立堆疊中),類似於上述之處理單元6170,在此處理模組10901A-10904A被設置在一晶圓處理層面10909A上,且總成模組10901B-10904B被設置在該等晶圓處理層面之另一者10909B上。雖然圖109說明藉由該直立堆疊式處理模組10901A-10904A、10901B-10904B所形成之二堆疊式晶圓處理層面10909A、10909B,其應被了解於圖109所示實施 例之其他態樣中,該晶圓處理工具10900可具有任何數目之直立堆疊式晶圓處理層面。應注意的是雖然該等處理模組在該等圖面中被顯示為大體上線性堆疊,於其他態樣中,假如想要,則在該不同處理層面上之處理模組可彼此線性地偏置(例如水平交錯)。該等個別處理層面10901A-10904A、10901B-10904B上之處理模組能為可傳達地耦接至個別之輸送隧道10910、10911。該等輸送隧道10910、10911可為大致上類似於上述相對於傳送室4012的輸送隧道,並可包含置於一或多個傳送室4012間之緩衝站4010。應注意的是該等輸送隧道10910、10911被建構成在其中保持一被密封之環境,諸如真空或另一控制下之環境。應注意的是雖然該等傳送室4012及藉由該等傳送室所形成之隧道10910、10911被顯示為與該等圖面中者類似,於其他態樣中,一層面之傳送室4012及輸送隧道10910、10911可為與另一層面上之傳送室4012及輸送隧道10910、10911不同。
如可被實現,該處理工具10900係模組化工具,其可視想要之處理能力而定被“增大”。譬如,該處理工具最初可被提供於具有一或多個層面的最初模組化組構中。然後所選擇之模組可在一層面、或超過一層面被接合,由例如圖110A-110D中所示組構沿著該層面或以別的方式於直立陣列中線性地建立。僅只用於示範之目的,該處理工具可被製成為單一層面10909A處理系統,包含譬如輸送隧道10910及處理模組10901A、10902A、10903A、10904A(雖 然於其他態樣中,該處理系統可被最初設定為多層面處理系統)。當處理層面/數量增加時,該輸送隧道10910可被延伸(例如藉由將額外之傳送室4012及/或緩衝站4010加至該輸送隧道),及/或視可用之地板面積而定,額外之處理層面可被加入,以增加該處理工具之產量/能力。譬如,輸送隧道10911可隨著處理模組10901B、10902B、10903B、10904B被加至該工具,以致該處理工具之組構變成如圖109所示之多層面處理工具10909A、10909B。應注意的是該模組化處理工具10900不被限制於二處理層面,並可具有超過二個處理層面及任何想要長度的輸送隧道。
如上面所述,每一傳送室4012包含至少一傳送機器人10920,其大體上可為類似於傳送機器人4002或任何另一合適之機器人、諸如那些上面敘述者及那些在2011年8月26日提出之美國專利第8,008,884號及美國專利申請案第13/219,267號、與在2008年6月27日提出之美國專利申請案第12/163,996號中所敘述者,其揭示內容係全部以引用的方式併入本文中。如上面所述,每一傳送室4012及/或緩衝站4010可具有直立堆疊式晶圓傳送平面,使得每一晶圓處理層面10909A、10909B具有個別之直立堆疊式晶圓傳送平面,而允許用於晶圓沿著個別輸送隧道10910、10911之長度的單向或雙向輸送。譬如,在此每一隧道具有雙向晶圓行進、諸如經過直立堆疊式機器人,例如具有彼此上下坐落之手臂,沿著該隧道之長度於第一方向中的一輸送層面可被用於提供晶圓至該等處理站,而在該相反方向中 的另一輸送層面可被用於提供一實質上無阻礙的晶圓用返回路徑,在此該等晶圓可被傳送至譬如沒有進一步處理之EFEM或任何另一合適之晶圓固持位置。於其他態樣中,一或多個層面之傳送室(或其一部分)可具有一晶圓傳送平面。於其他態樣中,該等輸送隧道10910、10911之其中一者可被建構成於第一方向中沿著該處理工具的一層面之長度輸送晶圓,而該等輸送隧道10910、10911在不同層面之另一者可服務,以沿著該處理工具之長度提供一用於該等晶圓的返回輸送路徑。如可被實現,任何合適之控制器10900C可被連接至該處理工具10900,用於控制該處理工具之零組件,且用於施行該等晶圓經過處理工具10900之方向性行進(例如製程流動)。於一態樣中,如圖112所示,該等輸送隧道10910、10911之至少一者可被連接至大體上類似於上述返回系統6150、14012之返回系統11220。譬如,負載鎖11210可為位在該至少一輸送隧道的一或更多端部,用於將該輸送隧道連接至該返回系統11220。該負載鎖11210可包含一大體上類似於上述提升機構8810之提升系統,用於在一或多個該等處理層面10909A、10909B及返回系統11220的層面之間傳送該等晶圓;此處的負載鎖11210可稱為轉位負載鎖(indexing laod lock)。雖然該返回系統11220在圖112中被顯示為設置在該等輸送隧道10910、10911上方,於其他態樣中,該返回系統能以大體上類似於上述之方式被設置在該等輸送隧道10910、10911下方或於該等隧道之間(例如一隧道係位在該返回系 統上方,且另一隧道係位在該返回系統下方)。如可被實現,以大體上類似於上面相對於例如圖14所敘述之方式,至該返回系統11220之進出亦可被設在該等輸送隧道10910、10911的端部間之一點、諸如經過一負載鎖或可密封之緩衝站4010X。
亦應注意的是雖然每一傳送室4012被顯示為具有一機器人10920,於其他態樣中,該等輸送隧道之每一者可包含大體上類似於上述之輸送搬運車的一或多個晶圓輸送搬運車,在此該等搬運車可具有一安裝至其上之機器人或為被動式搬運車(例如沒有被安裝至其上之機器人,使得該固定不動之機器人將晶圓傳送至該搬運車及由該搬運車傳送晶圓,用於輸送經過該輸送隧道)。應注意的是該等輸送隧道亦可包含機器人與搬運車之組合,如圖114所示,並以大體上類似於上述者之方式。
該等輸送隧道10910、10911能為以任何合適之方式可傳達地連接至彼此,用於在該等不同的晶圓處理層面10901A、10909B之間傳送晶圓。譬如,該等輸送隧道10910、10911能為經過具有一機器人之EFEM(設備前端模組)可傳達地連接至彼此,該機器人具有直立動作能力、諸如上述之機器人8310(看圖112、113),在此該機器人被建構成在該等直立堆疊式隧道10910、10911之至少一者內由機器人10920直接或間接地(諸如經過緩衝站)承接晶圓,並直立地運動該晶圓,用於傳送進入該等直立堆疊式隧道10910、10911之另一者,以大體上類似於上述相對於 圖83、84及88-91之方式,當作一非限制性範例。如亦可被實現,一或多個該等緩衝站4010可為堆疊式緩衝站11010(看圖110A及111),其可傳達地連接不同層面上之堆疊式輸送隧道10910、10911。該堆疊式緩衝站11010可包含一具有直立動作能力之晶圓固持站11010S(例如大體上類似於機器人8310),用於在該等堆疊式輸送隧道的一中途位置或在該等隧道10910、10911的端部間之一位置,於該等堆疊式輸送隧道之間輸送晶圓。於其他態樣中,該堆疊式緩衝站可包含一大體上類似於機器人8310的機器人,用於在該等堆疊式輸送隧道10910、10911之間輸送晶圓。
參考圖110A-110D,線性處理工具11000-11003之不同組構被顯示。該等線性處理工具11000-11003大體上可為類似於上述之線性處理工具10900。於圖110A中,該處理工具11000被顯示為具有堆疊式輸送隧道10910、10911,設有譬如耦接至其上之六個堆疊式處理模組10903,用於提供具有譬如十二個處理模組之處理工具11000。於圖110B中,該處理工具11001被顯示為具有堆疊式輸送隧道10910、10911,設有譬如耦接至其上之四個堆疊式處理模組10903,用於提供具有譬如八個處理模組之處理工具11000。於圖110C中,該處理工具11002被顯示為具有堆疊式輸送隧道10910、10911,設有譬如耦接至其上之二個堆疊式處理模組10903,用於提供具有譬如四個處理模組之處理工具11000。應注意的是雖然處理工具11000-11002被顯示為具有耦接至二相反橫側面的處理站10903,於其他態樣中,該等處理站10903可僅只被耦接至該等輸送隧道10910、10911之單一橫側面,如於圖110D中所示。圖110D中之處理工具11003具有譬如耦接至該等輸送隧道10910、10911之單一或共用側面的三個堆疊式處理站,使該處理工具譬如設有六個處理模組。應了解雖然僅只二個堆疊式輸送隧道10910、10911被說明在圖109-110D中,於其他態樣中,該等處理工具可包含任何合適數目之堆疊式輸送隧道,用於提供至具有任何合適數目之堆疊式處理模組的處理站之存取。亦應理解EFEM可被設置在該等輸送隧道的一或兩端。於其他態樣中,該等處理工具可具有任何合適之組構,並採用任何合適數目之晶圓處理層面。
已如此敘述數個說明性實施例,應了解各種變動、修改、及改良對於那些熟諳此技藝者將輕易地發生。此等變動、修改、及改良係意欲形成此揭示內容的一部分,且係意欲在此揭示內容之精神及範圍內。雖然在此中所呈現的一些範例涉及功能性或結構元件之特定組合,應了解那些功能及元件可根據本發明在其他方面中被組合,以完成相同或不同之目的。特別地是,關於一實施例所討論之作用、元件、及特色係不意欲被由其他實施例中之類似或其他角色排除。據此,該前面之敘述及所附圖面係僅只當作範例,且不意欲為其限制。
遍及該等圖面的流程圖及方塊圖中所描述之元件包含有該等元件間之邏輯邊界。然而,根據軟體或硬體工程實例,所描述之元件及其功能可被提供當作單一軟體結構的 一部分、當作獨立的軟體模組、或當作採用外部常式、碼、服務等的模組、或這些之任一組合,且所有此等實施係在本揭示內容之範圍內。如此,雖然該等前面之圖面及敘述提出所揭示系統之功能性態樣,沒有用於實施這些功能性態樣之特別軟體配置應該被由這些敘述所推論,除非被明確地陳述或以別的方式由該上下文變得清楚。
同樣地,將了解上面所分辨及敘述之各種步驟可被變動,且步驟之順序可被設計成適於在此中所揭示之技術的特別應用。所有此等變動及修改係意欲落在此揭示內容之範圍內。如此,用於各種步驟的順序之描寫及/或敘述不應被了解為需要用於那些步驟之執行的特別順序,除非藉由一特別應用所需要,或明確地陳述或以別的方式由該上下文變得清楚。
上述之方法或製程、及其步驟可被以適合用於一特別應用的硬體、軟體、或這些之任何組合所實現。該硬體可包含一般用途之電腦及/或專用之計算裝置。該等製程可隨同內部及/或外部記憶體在一或更多個微處理器、微控制器、嵌入式微控制器、可程式之數位信號處理器、或另一可程式裝置中被實現。該等製程亦可、或代替地在特定應用積體電路、可程式閘極陣列、可程式陣列邏輯、或任何另一裝置、或裝置之組合中被具體化,該裝置可被建構成處理電子信號。將被進一步了解的是一或多個該等製程可被實現為電腦可執行碼,並使用諸如C之結構性程式語言、諸如C++之物件導向程式語言、或任何另一高階或低 階程式語言(包含組合語言、硬體敘述語言、及資料庫程式語言及技術)所建立,其可被儲存、編譯、或解譯,以在該等上面裝置、以及處理器、處理器架構、或不同硬體及軟體之組合的異種組合之其中一者上運行。
如此,於一態樣中,上述之每一方法及其組合能在電腦可執行碼中被具體化,當在一或多個計算裝置上執行時,該方法施行其各步驟。於另一態樣中,該等方法可在施行其步驟之系統中被具體化,及可被以許多方式分佈越過裝置,或所有該等功能性可被整合進入一專用、獨立的裝置或另一硬體。於另一態樣中,用於施行與上述製程有關聯的步驟之機構可包含上述硬體及/或軟體之任一者。所有此等交換及組合係意欲落在本揭示內容之範圍內。
雖然本發明已有關所詳細地顯示及敘述之較佳實施例被揭示,對於那些熟諳此技藝者,在其上之各種修改及改良將輕易地變得明顯。據此,本發明之精神及範圍不被該等前面之範例所限制,但在廣義中被了解為法律可容許的。
在此中所提及之所有文件係以引用的方式併入本文中。
於第一態樣中,基板處理系統被提供。該基板處理系統包含至少二直立堆疊式輸送隧道;包含直立堆疊式處理模組之至少一處理單元,在此每一處理模組係可傳達地耦接至該至少二直立堆疊式輸送隧道之個別一者;及於該至少二直立堆疊式輸送隧道的每一者中之至少一固定不動的 傳送機器人,在此該至少一固定不動的傳送機器人被建構成沿著該隧道之長度輸送基板及進入該等直立堆疊式處理模組之個別模組。
按照該第一態樣,該至少二直立堆疊式輸送隧道的每一者中之至少一傳送機器人包括複數傳送機器人,其被建構成使基板沿著該至少二直立堆疊式輸送隧道的個別一者之長度由傳送機器人通過至傳送機器人。於另一態樣中,該複數傳送機器人之每一者被設置在可密封室中,在此該等可密封室之每一者係可傳達地耦接至彼此,以形成該至少二直立堆疊式輸送隧道的個別一者。在又另一態樣中,該至少二直立堆疊式輸送隧道之每一者包含一被設置於該等可密封室的至少二者間之緩衝站。於又另一態樣中,該緩衝站包含一基板升降機,其被建構成在該至少二直立堆疊式輸送隧道的每一者之間傳送基板。
按照該第一態樣,該至少二直立堆疊式輸送隧道的每一者之至少一端部係可傳達地耦接至共用載入站,其中該共用載入站包含一基板升降機,用於在該至少二直立堆疊式輸送隧道的每一者之間傳送基板。
按照該第一態樣,該至少二直立堆疊式輸送隧道之每一者包含雙層面傳送機器人,其在該至少二直立堆疊式輸送隧道的個別一者內形成直立堆疊式基板傳送平面。於另一態樣中,該等直立堆疊式基板傳送平面允許用於該至少二直立堆疊式輸送隧道的每一者中之雙向基板行進。於另一態樣中,該等直立堆疊式基板傳送平面的其中一者係一 返回跑道,其被建構成用於基板之大體上沒有障礙的輸送。
按照該第一態樣,該至少二直立堆疊式輸送隧道之每一者包含橫側面,其中該至少一製程室僅只被設置在該至少二直立堆疊式輸送隧道的單一橫側面上。
按照該第一態樣,該至少二直立堆疊式輸送隧道之每一者包含橫側面,其中該至少一處理單元包括設置在該至少二直立堆疊式輸送隧道的相反橫側面上之至少二處理單元。
按照該第一態樣,該至少二直立堆疊式輸送隧道之其中一者於第一方向中提供基板輸送,且該至少二直立堆疊式輸送隧道之另一者於大體上相反的方向中提供基板輸送。
4010‧‧‧緩衝站
4012‧‧‧真空室
10900‧‧‧線性處理工具
10900C‧‧‧控制器
10901A‧‧‧處理模組
10901B‧‧‧處理模組
10902A‧‧‧處理模組
10902B‧‧‧處理模組
10903A‧‧‧處理模組
10903B‧‧‧處理模組
10904A‧‧‧處理模組
10904B‧‧‧處理模組
10909A‧‧‧晶圓處理層面
10909B‧‧‧晶圓處理層面
10910‧‧‧輸送隧道
10911‧‧‧輸送隧道
10920‧‧‧傳送機器人

Claims (22)

  1. 一種基板處理系統,包括:至少二直立堆疊式傳送隧道,該至少二直立堆疊式傳送隧道之每一者具有第一端和第二端並且和該至少二直立堆疊式傳送隧道之另一者分離且不同,且該至少二直立堆疊式傳送隧道之每一者包括複數開口,其被配置以形成複數開口之複數直立堆疊,用於耦接至複數直立堆疊式處理模組,該至少二直立堆疊式傳送隧道之至少一者包含至少一傳送室模組,其被配置用於耦接到至少一傳送隧道模組,以形成該至少二直立堆疊式傳送隧道的該至少一者,及該至少二直立堆疊式傳送隧道的另一者包括至少另一傳送室模組,其被配置用於耦接至另一至少一傳送隧道模組,以形成該至少二直立堆疊式傳送隧道的另一者,該至少二直立堆疊式傳送隧道的另一者和該至少二直立堆疊式傳送隧道的該至少一者分離且不同,該至少二直立堆疊式傳送隧道包括返回系統,其和該至少二直立堆疊式傳送隧道的另一者分離且不同;至少一轉位負載鎖,其可傳達地將該至少二直立堆疊式傳送隧道相互耦接,且包括升降機,該升降機被建構用於在該至少二直立堆疊式傳送隧道之一者和該返回系統之間傳送工件。
  2. 如申請專利範圍第1項之基板處理系統,另外包含鉸接的傳送機械手臂,其被設置在該至少二直立堆疊式傳送隧道之該至少一者和該至少二直立堆疊式傳送隧道之該 另一者的每一者內,其中該鉸接的傳送機械手臂的關節在位置方面係沿著隧道路徑被固定,該隧道路徑係由該至少二直立堆疊式傳送隧道之該至少一者和該至少二直立堆疊式傳送隧道之該另一者的個別一者所形成。
  3. 如申請專利範圍第2項之基板處理系統,其中該鉸接的傳送機械手臂包含具有Z軸運動之二自由度驅動器。
  4. 如申請專利範圍第1項之基板處理系統,其中該至少一轉位負載鎖被設置在該等直立堆疊式傳送隧道之該至少一者的鄰近複數傳送室模組之間。
  5. 如申請專利範圍第1項之基板處理系統,其中該傳送室模組之每一者為可密封之室。
  6. 如申請專利範圍第1項之基板處理系統,其中該至少一轉位負載鎖被耦接至該至少二直立堆疊式傳送隧道的每一者,以形成一設置於該等傳送室模組的至少二者間之緩衝站。
  7. 如申請專利範圍第1項之基板處理系統,其中該至少二直立堆疊式傳送室之每一者的鉸接的傳送機械手臂包括雙層面鉸接的傳送機械手臂,其在該至少二直立堆疊式傳送隧道之個別一者內形成複數直立堆疊式基板傳送平面。
  8. 如申請專利範圍第7項之基板處理系統,其中該等直立堆疊式基板傳送平面允許用於該至少二直立堆疊式傳送隧道的每一者中之雙向基板行進。
  9. 如申請專利範圍第7項之基板處理系統,其中該等直立堆疊式基板傳送平面的其中一者係一返回跑道,其被建構成用於基板之沒有障礙的輸送。
  10. 如申請專利範圍第1項之基板處理系統,其中該至少二直立堆疊式傳送隧道之每一者包含複數橫側面,其中該複數開口只被設置在該至少二直立堆疊式傳送隧道的個別一者之單一橫側面上。
  11. 如申請專利範圍第1項之基板處理系統,其中該至少二直立堆疊式傳送隧道之每一者包含橫側面,其中該複數開口被設置在該至少二直立堆疊式傳送隧道的個別一者之相對橫側面上。
  12. 如申請專利範圍第1項之基板處理系統,其中該至少二直立堆疊式傳送隧道的其中一者在第一方向中提供基板傳送,且該至少二直立堆疊式傳送隧道的其中另一者在相反的方向中提供基板傳送。
  13. 一種基板處理系統,包括:至少二直立堆疊式傳送隧道,該至少二直立堆疊式傳送隧道之每一者和該至少二直立堆疊式傳送隧道之另一者分離且不同,且該至少二直立堆疊式傳送隧道包括複數開口,其被配置以形成複數側壁開口之複數直立堆疊,用於耦接至複數直立堆疊式處理模組,該複數直立堆疊式傳送隧道之至少一者包括至少一傳送室模組,其被配置用於耦接到另一傳送室模組,以形成該複數直立堆疊式傳送隧道的該至少一者,及該至少二直立堆疊式傳送隧道的另一者 包括至少一傳送室模組,其被配置用於耦接至另一傳送室模組,以形成該至少二直立堆疊式傳送隧道的另一者,該至少二直立堆疊式傳送隧道的另一者和該至少一直立堆疊式傳送隧道分離且不同;和至少一負載鎖,連接該至少二直立堆疊式傳送隧道,以致於該至少一負載鎖可傳達地耦接至共同的負載站,其中該共同的負載站包括基板升降機,用於在該至少二直立堆疊式傳送隧道之每一者的高度之間傳送基板。
  14. 如申請專利範圍第13項之基板處理系統,另外包含鉸接的傳送機械手臂,其被設置在該等傳送室模組的每一者內,其中該鉸接的傳送機械手臂的關節在位置方面係沿著隧道路徑被固定,該隧道路徑係由該等直立堆疊式傳送隧道個別形成。
  15. 如申請專利範圍第13項之基板處理系統,其中該等直立堆疊式傳送隧道的每一者包括一設置於該等傳送室模組的至少二者間之緩衝站。
  16. 如申請專利範圍第15項之基板處理系統,其中該緩衝站包括基板升降機,其被建構用於在該等直立堆疊式傳送隧道之每一者間傳送基板。
  17. 如申請專利範圍第13項之基板處理系統,其中該至少二直立堆疊式傳送隧道之每一者的鉸接的傳送機械手臂包括雙層面鉸接的傳送機械手臂,其在該至少二直立堆疊式傳送隧道之個別一者內形成複數直立堆疊式基板傳送 平面。
  18. 如申請專利範圍第17項之基板處理系統,其中該等直立堆疊式基板傳送平面允許用於該至少二直立堆疊式傳送隧道的每一者的不同高度雙向基板行進。
  19. 如申請專利範圍第17項之基板處理系統,其中該等直立堆疊式基板傳送平面的其中一者係一返回跑道,其被建構成用於基板之沒有障礙的輸送。
  20. 如申請專利範圍第13項之基板處理系統,其中該至少二直立堆疊式傳送隧道之每一者包含複數橫側面,其中該複數開口只被設置在該至少二直立堆疊式傳送隧道的個別一者之單一橫側面上。
  21. 如申請專利範圍第13項之基板處理系統,其中該至少二直立堆疊式傳送隧道之每一者包含橫側面,其中該複數開口被設置在該至少二直立堆疊式傳送隧道的個別一者之相對橫側面上。
  22. 如申請專利範圍第13項之基板處理系統,其中該至少二直立堆疊式傳送隧道的其中一者在第一方向中提供基板傳送,且該至少二直立堆疊式傳送隧道的其中另一者在相反的方向中提供基板傳送。
TW107126359A 2011-10-26 2012-10-26 基板處理系統 TWI719331B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161551779P 2011-10-26 2011-10-26
US61/551,779 2011-10-26

Publications (2)

Publication Number Publication Date
TW201919970A TW201919970A (zh) 2019-06-01
TWI719331B true TWI719331B (zh) 2021-02-21

Family

ID=48430279

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101139730A TWI637892B (zh) 2011-10-26 2012-10-26 基板處理系統
TW107126359A TWI719331B (zh) 2011-10-26 2012-10-26 基板處理系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101139730A TWI637892B (zh) 2011-10-26 2012-10-26 基板處理系統

Country Status (6)

Country Link
US (3) US9862554B2 (zh)
JP (3) JP2015502654A (zh)
KR (2) KR102185752B1 (zh)
CN (1) CN104011845B (zh)
TW (2) TWI637892B (zh)
WO (1) WO2013072760A2 (zh)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
US9004788B2 (en) * 2010-06-08 2015-04-14 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
JP6118114B2 (ja) * 2013-01-15 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
JP6118130B2 (ja) 2013-02-25 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
JP2014216519A (ja) * 2013-04-26 2014-11-17 株式会社ディスコ 加工装置及びウエーハの輸送方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
KR102316440B1 (ko) * 2013-10-18 2021-10-22 브룩스 오토메이션 인코퍼레이티드 공정 장치
CN103560102B (zh) * 2013-10-29 2016-04-27 中国科学院苏州纳米技术与纳米仿生研究所 一种机械手操作系统
CN104979227B (zh) 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体数据收集方法及系统
US9669550B2 (en) * 2014-04-18 2017-06-06 Kla-Tencor Corporation Pick and place device with automatic pick-up-height adjustment and a method and a computer program product to automatically adjust the pick-up-height of a pick and place device
JP2015231036A (ja) 2014-06-06 2015-12-21 キヤノン株式会社 リソグラフィ装置、および物品製造方法
CN104269368A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种利用前端模块为晶圆加热的装置及方法
CN105446281B (zh) * 2014-09-01 2019-04-26 中芯国际集成电路制造(上海)有限公司 工艺腔室的派货方法和系统
CN104267256B (zh) * 2014-09-19 2016-11-16 南京中电熊猫晶体科技有限公司 适用于高基频mesa晶片测试的装置及测试方法
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
AU2015100136A4 (en) * 2015-01-12 2015-03-12 Macau University Of Science And Technology Optimal Buffer Space Configuration and Scheduling for Single-Arm Multi-cluster Tools
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
JP6463220B2 (ja) * 2015-05-21 2019-01-30 東京エレクトロン株式会社 処理システム
CN107530876A (zh) * 2015-05-25 2018-01-02 川崎重工业株式会社 水平多关节机器人
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
KR101837545B1 (ko) * 2015-10-02 2018-04-20 에이피시스템 주식회사 Tsv 공정용 진공 라미네이팅 방법
JP6539558B2 (ja) * 2015-10-05 2019-07-03 リンテック株式会社 処理装置
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN105388866A (zh) * 2015-11-17 2016-03-09 合肥芯福传感器技术有限公司 用于ic芯片或mems器件的全流程生产工作站
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US10788264B2 (en) * 2016-04-12 2020-09-29 Vanrx Pharmasystems, Inc. Method and apparatus for loading a lyophilization system
US10518985B2 (en) * 2016-05-31 2019-12-31 Wood's Powr-Grip Co., Inc. Control systems and methods for vacuum lift equipment
JP6937549B2 (ja) * 2016-06-10 2021-09-22 株式会社ジャパンディスプレイ 発光素子の製造装置
TWI623397B (zh) * 2016-06-30 2018-05-11 Kawasaki Heavy Ind Ltd Horizontal articulated robot
KR20210027503A (ko) * 2016-10-18 2021-03-10 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 워크피스 처리를 위한 시스템 및 방법
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
JP6802726B2 (ja) * 2017-02-14 2020-12-16 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送方法
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP6729465B2 (ja) * 2017-03-28 2020-07-22 株式会社ダイフク 物品搬送設備
US11061386B2 (en) * 2017-05-16 2021-07-13 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
KR102388528B1 (ko) * 2017-07-19 2022-04-20 인테벡, 인코포레이티드 나노-라미네이트 광학 코팅을 형성하기 위한 시스템
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
GB2570510A (en) 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
TWI758578B (zh) * 2018-03-01 2022-03-21 日商荏原製作所股份有限公司 排程器、基板處理裝置、及基板搬送方法
TW202401627A (zh) * 2018-03-16 2024-01-01 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
KR101943268B1 (ko) * 2018-04-26 2019-01-28 캐논 톡키 가부시키가이샤 진공 시스템, 기판 반송 시스템, 전자 디바이스의 제조 장치 및 전자 디바이스의 제조 방법
JP7049909B2 (ja) * 2018-05-11 2022-04-07 川崎重工業株式会社 基板搬送ロボット及び基板保持ハンドの光軸ずれ検出方法
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
CN112840447A (zh) * 2018-10-04 2021-05-25 应用材料公司 运输系统
US11705358B2 (en) 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports
CN111106038B (zh) * 2018-10-29 2023-01-31 台湾积体电路制造股份有限公司 自动化处理端系统以及方法
US11414748B2 (en) * 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
CN111354657B (zh) * 2018-12-24 2023-09-26 拓荆科技股份有限公司 半导体多站处理腔体
JP7190900B2 (ja) * 2018-12-28 2022-12-16 株式会社Screenホールディングス 基板処理装置、キャリア搬送方法およびキャリアバッファ装置
CN111801785B (zh) * 2019-02-07 2023-09-05 株式会社日立高新技术 真空处理装置的运转方法
KR20210125067A (ko) 2019-02-08 2021-10-15 야스카와 아메리카 인코포레이티드 관통 빔 자동 티칭
CN110246798B (zh) * 2019-05-09 2024-01-05 四川九州光电子技术有限公司 一种芯片载具用的多功能装卸装置
CN112103206A (zh) * 2019-06-17 2020-12-18 上海微电子装备(集团)股份有限公司 工件传输系统、工件传输方法及激光退火设备
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
WO2021044581A1 (ja) * 2019-09-05 2021-03-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN112582318A (zh) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 涂胶显影设备
KR102270760B1 (ko) * 2019-11-29 2021-06-30 에이엠티 주식회사 미세 피치를 갖는 디바이스의 테스트장치
CN115916481A (zh) * 2020-03-03 2023-04-04 朗姆研究公司 带有室对接系统的移动推车上的协作机器人系统
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220053854A (ko) * 2020-10-23 2022-05-02 피코앤테라(주) 이에프이엠
KR102610837B1 (ko) * 2020-12-29 2023-12-06 세메스 주식회사 기판과 기판을 접합하기 위한 기판 접합 설비에서의 기판 보관 및 정렬 장치
DE112021007343T5 (de) * 2021-03-23 2024-05-02 Kioxia Corporation Speichersystem
CN113970392B (zh) * 2021-10-25 2023-09-22 安徽大学 柔性接近觉与压力触觉传感器、传感系统及柔性电子皮肤
CN114035466B (zh) * 2021-11-05 2022-05-31 肇庆高峰机械科技有限公司 一种双工位磁片排列机的控制系统
JP2023072528A (ja) 2021-11-12 2023-05-24 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
TWI806617B (zh) * 2022-05-19 2023-06-21 京鼎精密科技股份有限公司 晶圓測量裝置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20080232948A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666295B2 (ja) * 1983-06-29 1994-08-24 東京応化工業株式会社 多段プラズマ処理装置
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
JPH08340034A (ja) * 1995-06-09 1996-12-24 Mitsui Toatsu Chem Inc 薄膜形成装置
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
JP4627992B2 (ja) * 2004-01-08 2011-02-09 住友精密工業株式会社 基板処理システム
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008028035A (ja) * 2006-07-19 2008-02-07 Phyzchemix Corp 半導体製造装置
KR20180014247A (ko) * 2007-07-17 2018-02-07 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置
JP5303222B2 (ja) * 2008-08-29 2013-10-02 株式会社Ihi 搬送制御装置及び搬送制御方法
JP5562759B2 (ja) * 2009-11-04 2014-07-30 東京エレクトロン株式会社 基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20080232948A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport

Also Published As

Publication number Publication date
JP2015502654A (ja) 2015-01-22
KR102244137B1 (ko) 2021-04-23
US20190218041A1 (en) 2019-07-18
CN104011845A (zh) 2014-08-27
JP7432457B2 (ja) 2024-02-16
TW201335050A (zh) 2013-09-01
WO2013072760A2 (en) 2013-05-23
US9862554B2 (en) 2018-01-09
KR20140087023A (ko) 2014-07-08
US11352220B2 (en) 2022-06-07
JP2018164108A (ja) 2018-10-18
KR20200136058A (ko) 2020-12-04
US10239707B2 (en) 2019-03-26
JP2020170866A (ja) 2020-10-15
CN104011845B (zh) 2018-05-11
TWI637892B (zh) 2018-10-11
US20180141762A1 (en) 2018-05-24
WO2013072760A4 (en) 2013-12-12
KR102185752B1 (ko) 2020-12-02
TW201919970A (zh) 2019-06-01
US20140271083A1 (en) 2014-09-18
WO2013072760A3 (en) 2013-10-24

Similar Documents

Publication Publication Date Title
TWI719331B (zh) 基板處理系統
US9884726B2 (en) Semiconductor wafer handling transport
JP5226215B2 (ja) 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
US20050111938A1 (en) Mid-entry load lock for semiconductor handling system
US20070264106A1 (en) Robotic components for semiconductor manufacturing
WO2007101228A2 (en) Semiconductor wafer handling and transport