CN111739819A - 半导体制备和研发制造设施的资本设备上使用的检修隧道 - Google Patents

半导体制备和研发制造设施的资本设备上使用的检修隧道 Download PDF

Info

Publication number
CN111739819A
CN111739819A CN202010398530.1A CN202010398530A CN111739819A CN 111739819 A CN111739819 A CN 111739819A CN 202010398530 A CN202010398530 A CN 202010398530A CN 111739819 A CN111739819 A CN 111739819A
Authority
CN
China
Prior art keywords
wafer transport
transport assembly
wafer
cluster tool
tool system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010398530.1A
Other languages
English (en)
Inventor
大卫·特鲁塞尔
约翰·多尔蒂
迈克尔·凯洛格
克里斯多夫·佩纳
理查德·古尔德
克雷·孔克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111739819A publication Critical patent/CN111739819A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Robotics (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

本发明涉及半导体制备和研发制造设施的资本设备上使用的检修隧道,提供了一种用于处理衬底的系统,所述系统包括:被配置成往来于一个或多个处理模块输送晶片的晶片输送组件,所述晶片输送组件具有至少一个晶片输送模块,其中所述至少一个晶片输送模块的横向侧被配置成耦合到所述一个或多个处理模块;检修层被限定在晶片输送组件的下方,所述检修层被限定在比制造设施层的高度低的高度处,所述系统放置在所述制造设施层中。

Description

半导体制备和研发制造设施的资本设备上使用的检修隧道
本申请是申请号为201610860001.2,申请日为2016年9月28日,申请人为朗姆研究公司,发明创造名称为“半导体制备和研发制造设施的资本设备上使用的检修隧道”的发明专利申请的分案申请。
技术领域
本发明的实施方式涉及半导体晶片加工设备,更特别地,涉及多室处理工具系统、装置和相关方法。
背景技术
在半导体制造设施(通常被称为“fab”)中,空间是有限且昂贵的,并且不能容易地增加。因此,为了实现最高的生产效率,高效的空间利用率是期望的。然而,为了维护和检修,也有必要提供到fab中的设备的足够通道(access)。
本发明的实施方式就是在这种背景下产生的。
发明内容
本公开的实施方案提供与紧凑的集群工具架构相关的方法、装置和系统。该架构包括限定在晶片输送组件下方的检修隧道,所述检修隧道利用低于制造设施层高度的底层空间。另外,晶片输送组件可包括若干缓冲器,所述若干缓冲器被定位以实现紧凑的设计(acompact footprint)并提供用于通过晶片输送组件配置晶片运动的灵活性。
根据本公开的实施方案,提供了用于处理晶片的集群工具系统,其包括:晶片输送组件,其沿所述集群工具系统的纵轴延伸,所述晶片输送组件具有被定向为朝向设备前端模块(EFEM)的前端,所述晶片输送组件具有与所述前端相对的后端;沿所述晶片输送组件的第一横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第一横向侧耦合的所述至少两个处理模块输送晶片;沿所述晶片输送组件的第二横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第二横向侧耦合的所述至少两个处理模块输送晶片;检修隧道,其被限定在所述晶片输送组件的下方,所述检修隧道沿着所述集群工具系统的所述纵轴从所述晶片输送组件的所述前端延伸到所述后端,所述检修隧道具有垂直尺寸,所述垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间,所述检修层被限定在比所述制造设施层的高度低的高度处,所述集群工具系统放置在所述制造设施层中。
在一些实施方案中,所述晶片输送组件包括沿所述纵轴彼此耦合的至少两个晶片输送模块,其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块中的一个,并且其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块中的一个。
在一些实施方案中,所述集群工具系统进一步包括:至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第一横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上;至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第二横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上。
在一些实施方案中,阶梯装置被限定在所述检修隧道的后端,所述检修隧道的后端基本上被限定在所述晶片输送组件的所述后端的下方,所述阶梯装置被配置成限定从所述制造设施层向下至所述检修层的路径。
在一些实施方案中,所述检修层的所述高度在所述制造设施层的高度以下约1英尺(30.5厘米)到2英尺(61厘米)。
在一些实施方案中,所述晶片输送组件的前侧被配置为连接到装载锁,所述装载锁控制往返所述EFEM的通道,其中所述检修隧道的前端基本上延伸到所述EFEM。
在一些实施方案中,所述检修隧道的高度大约为6英尺(183厘米)至8英尺(242厘米)。
在一些实施方案中,所述检修隧道的第一横向侧提供到沿着所述晶片输送组件的所述第一横向侧耦合的所述处理模块的通道,并且所述检修隧道的第二横向侧提供到沿着所述晶片输送组件的所述第二横向侧耦合的所述处理模块的通道。
在一些实施方案中,所述检修隧道的所述第一横向侧提供到被限定用于沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块的一个或多个气箱的通道,并且所述检修隧道的所述第二横向侧提供到被限定用于沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块的一个或多个气箱的通道。
在一些实施方案中,所述检修隧道符合SEMI E72标准。
根据本公开的实施方案,提供了用于处理晶片的集群工具系统,其包括:晶片输送组件,其沿所述集群工具系统的纵轴延伸,所述晶片输送组件具有被定向为朝向设备前端模块(EFEM)的前端,所述晶片输送组件具有与所述前端相对的后端;沿所述晶片输送组件的第一横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第一横向侧耦合的所述至少两个处理模块输送晶片;沿所述晶片输送组件的第二横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第二横向侧耦合的所述至少两个处理模块输送晶片;检修隧道,其被限定在所述晶片输送组件下方,所述检修隧道沿着所述集群工具系统的所述纵轴从所述晶片输送组件的所述前端延伸到所述后端,所述检修隧道具有垂直尺寸,所述垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间,所述检修层被限定在比制造设施层的高度低的高度处,所述集群工具系统放置在所述制造设施层中,其中所述检修层的所述高度在所述制造设施层的高度以下约1英尺(30厘米)到2英尺(60厘米);至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第一横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上;至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第二横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上;其中,所述检修隧道的高度是由所述检修层的所述高度和所述处理模块框架的高度限定,所述检修隧道的所述高度为大约6英尺(180厘米)至8英尺(240厘米)。
在一些实施方案中,所述晶片输送组件包括沿所述纵轴彼此耦合的至少两个晶片输送模块,其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块中的一个,并且其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块中的一个。
在一些实施方案中,阶梯装置被限定在所述检修隧道的后端,所述检修隧道的后端基本上被限定在所述晶片输送组件的所述后端的下方,所述阶梯装置被配置成限定从所述制造设施层向下至所述检修层的路径。
在一些实施方案中,所述晶片输送组件的前侧被配置为连接到装载锁,所述装载锁控制往返所述EFEM的通道,其中所述检修隧道的前端基本上延伸到所述EFEM。
在一些实施方案中,所述检修隧道的第一横向侧提供到沿着所述晶片输送组件的所述第一横向侧耦合的所述处理模块的通道,并且所述检修隧道的第二横向侧提供到沿着所述晶片输送组件的所述第二横向侧耦合的所述处理模块的通道。
在一些实施方案中,所述检修隧道的所述第一横向侧提供到被限定用于沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块的一个或多个气箱的通道,并且所述检修隧道的所述第二横向侧提供到被限定用于沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块的一个或多个气箱的通道。
在一些实施方案中,所述检修隧道符合SEMI E72标准。
根据本公开的实施方案,提供了晶片输送组件,其包括:第一晶片输送模块;第二晶片输送模块;缓冲器模块,其被耦合在第一和第二晶片输送模块之间,使得第一晶片输送模块、第二晶片输送模块、以及缓冲器模块在单一方向轴对齐,所述缓冲器模块具有第一缓冲器堆和第二缓冲器堆,其中所述第一缓冲器堆被放置在设置在单一方向轴的第一侧的缓冲器模块的第一侧端,并且其中所述第二缓冲器堆被放置在设置在单一方向轴的第二侧的缓冲器模块的第二侧端;其中设置在单一方向轴的第一侧的第一晶片输送模块的第一侧被配置成耦合到第一处理模块;其中设置在单一方向轴的第一侧的第二晶片输送模块的第一侧被配置成耦合到第二处理模块;其中缓冲器模块的第一侧端限定嵌套在第一和第二晶片输送模块与第一和第二处理模块之间的第一侧突出;其中设置在单一方向轴的第二侧的第一晶片输送模块的第二侧被配置成耦合到第三处理模块;其中设置在单一方向轴的第二侧的第二晶片输送模块的第二侧被配置成耦合到第四处理模块;其中缓冲器模块的所述第二侧端限定嵌套在第一和第二晶片输送模块与第三和第四处理模块之间的第二侧突出;其中,所述第一晶片输送模块、所述第二晶片输送模块、和所述缓冲器模块被配置以限定连续的受控环境。
在一些实施方案中,晶片输送组件被配置成限定晶片从所述第一或第三处理模块之一到第一晶片输送模块的输送路径、到第一或第二缓冲器堆之一的输送路径、到第二晶片输送模块的输送路径、到第二或第四处理模块之一的输送路径。
在一些实施方案中,第一和第二缓冲器堆中的每一个被配置成存储大约5到10个晶片。
在一些实施方案中,受控环境是由真空受控环境限定。
在一些实施方案中,第一和第二缓冲器堆限定多个晶片存储槽,其中所述晶片存储插槽中的至少一个被配置成在由晶片输送组件限定的受控环境中存储覆盖晶片。
在一些实施方案中,晶片输送组件还包括:耦合到第二输送模块并沿单一方向轴对齐的第二缓冲器模块,所述第二缓冲器模块具有放置在布置在单一方向轴的第一侧上的第二缓冲器模块的第一侧端处的第三缓冲器堆,所述第二缓冲器模块具有放置在布置在单一方向轴的第二侧上的第二缓冲器模块的第二侧端处的第四缓冲器堆。
在一些实施方案中,第二缓冲器模块的第一侧端限定第三侧突出,并且其中第二缓冲器模块的第二侧端限定第四侧突出。
在一些实施方案中,晶片输送组件还包括:耦合到所述第二缓冲器模块并沿着单一方向轴对准的第三晶片输送模块,其中设置在单一方向轴的第一侧上的第三晶片输送模块的第一侧被配置为耦合到第五处理模块,并且其中布置在单一方向轴的第二侧上的第三晶片输送模块的第二侧被配置为耦合到第六处理模块。
在一些实施方案中,第三侧突出嵌套在第二和第三晶片输送模块与第二和第五处理模块之间;且其中所述第四侧突出嵌套在第二和第三晶片输送模块与第四和第六处理模块之间。
在一些实施方案中,晶片输送组件被限定在从晶片输送组件的前端延伸到晶片输送组件的后端的检修隧道的上方。
根据本公开的实施方案,提供了晶片输送组件,其包括:外壳;设置在外壳内的第一晶片输送机械手;设置在外壳内的第二晶片输送机械手;设置在外壳内的第一和第二缓冲器堆,其中所述第一和第二缓冲器堆被放置在所述第一和第二晶片输送机械手之间;其中第一接口沿外壳的第一侧限定并且被配置成耦合到第一处理模块;其中第二接口沿外壳的第一侧限定并配置为耦合到第二处理模块;其中第一侧突出沿所述第一和第二接口之间的外壳的第一侧限定,所述第一侧突出限定用于第一缓冲器堆的位置并被嵌套在第一和第二晶片输送机械手与第一和第二处理模块之间;其中第三接口沿外壳的第二侧限定并被配置为耦合到第三处理模块;其中第四接口沿外壳的第二侧限定并被配置为耦合到第四处理模块;其中第二侧突出沿所述第三和第四接口之间的外壳的第二侧限定,所述第二侧突出限定用于第二缓冲器堆的位置并被嵌套在第一和第二晶片输送机械手与第三和第四处理模块之间;其中所述晶片输送组件限定连续的受控环境。
在一些实施方案中,晶片输送组件被配置成限定晶片从所述第一或第三处理模块之一到第一晶片输送机械手的输送路径、到第一或第二缓冲器堆之一的输送路径、到第二晶片输送机械手的输送路径、到所述第二或第四处理模块之一的输送路径。
在一些实施方案中,晶片输送组件还包括:用于耦合到真空源的连接器,其中连续的受控环境由真空受控环境限定。
在一些实施方案中,第一缓冲器堆的上部限定了第一多个晶片存储槽,所述第一缓冲器堆的上部具有被限定在所述第一多个晶片存储槽中的每个之间的一个或多个分离器;且其中所述第一缓冲器堆的下部限定第二多个晶片存储槽,所述第一缓冲器堆的下部没有被限定在所述第二多个晶片存储槽中的每个之间的分离器。
在一些实施方案中,第一缓冲器堆的下部被配置为存储一个或多个覆盖晶片或陈化处理晶片(seasoning wafers)。
在一些实施方案中,第一和第二缓冲器堆中的每一个被配置成存储大约5到10个晶片。
在一些实施方案中,晶片输送组件被限定在从晶片输送组件的前端延伸到晶片输送组件的后端的检修隧道的上方。
根据本公开的实施方案,提供了装载锁组件,其包括:被配置用于设备前端模块(EFEM)和晶片输送模块之间的连接的第一装载锁,所述EFEM保持在实验室环境条件下,晶片输送模块被保持在真空状态下,晶片输送模块是晶片输送组件的一部分,所述晶片输送组件被配置为往来于连接到晶片输送组件的一个或多个处理模块传送晶片;设置在第一装载锁上方的第二装载锁,所述第二装载锁被配置用于EFEM和晶片输送模块之间的连接;设置在第二装载锁上方的后处理模块,所述后处理模块被配置为在经处理的晶片上执行后处理操作,所述经处理的晶片已经在连接到晶片输送组件的至少一个处理模块中被处理过,所述后处理模块被配置成连接到晶片输送模块。
在一些实施方案中,后处理模块被堆叠在第二装载锁上方,并且其中所述第二装载锁被堆叠在第一装载锁上方。
在一些实施方案中,第一装载锁被配置成用于将晶片从所述EFEM移动到晶片输送模块内;其中所述第二装载锁被配置成用于将晶片从所述晶片输送模块移动到EFEM。
在一些实施方案中,后处理模块被配置为在经处理的晶片上进行剥离处理或钝化处理。
在一些实施方案中,装载锁组件还包括:被布置成邻近第一装载锁的第三装载锁,所述第三装载锁被配置用于EFEM和晶片输送模块之间的连接;被布置在第三装载锁上方并且邻近第二装载锁的第四装载锁,所述第四装载锁被配置用于EFEM和晶片输送模块之间的连接;设置在第四装载锁上方的第二后处理模块,所述第二后处理模块被配置用于在经处理的晶片上执行后处理操作,所述第二后处理模块被配置成用于连接到所述晶片输送模块。
在一些实施方案中,第二后处理模块被堆叠在第四装载锁上方,并且其中所述第四装载锁被堆叠在第三装载锁上方。
在一些实施方案中,第一和第二装载锁被构造成用于将晶片从所述EFEM移动到晶片输送模块中;其中,第三和第四装载锁被构造用于将晶片从所述晶片输送模块移动到EFEM中。
根据本公开的实施方案,提供了一种系统,其包括:设备前端模块(EFEM);晶片输送组件,所述晶片输送组件包括被配置成连接到第一处理模块和第二处理模块的晶片输送模块,所述晶片输送模块包括用于往来于第一和第二处理模块移动晶片的机械手;在EFEM和晶片输送模块的前侧之间连接的第一装载锁和第二装载锁,所述第一和第二装载锁被构造成用于将晶片从所述EFEM移动到晶片输送模块;在EFEM和晶片输送模块的前侧之间连接的第三装载锁和第四装载锁,所述第三和第四装载锁被构造成用于将晶片从所述晶片输送模块移动到EFEM。
在一些实施方案中,第一和第二装载锁以堆叠结构放置;其中所述第三和第四装载锁以邻近所述第一和第二装载锁的堆叠结构放置。
在一些实施方案中,所述系统还包括:连接到所述晶片输送模块前侧的第一后处理模块,所述第一后处理模块被配置为在经处理的晶片上执行后处理操作;连接到所述晶片输送模块的前侧的第二后处理模块,所述第二后处理模块被配置为在经处理的晶片上执行后处理操作。
在一些实施方案中,第一或第二处理模块被配置为执行蚀刻操作,并且其中所述第一或第二后处理模块被配置成在执行蚀刻操作之后执行剥离操作。
在一些实施方案中,所述机械手被配置成将晶片从第一装载锁输送到第一处理模块以执行蚀刻操作,然后,将晶片从第一处理模块输送到第一后处理模块以执行剥离操作,然后将晶片从第一后处理模块输送到第三装载锁以退出晶片输送组件。
根据本公开的实施方案,提供了一种用于处理晶片的方法,其包括:通过第一装载锁将晶片从设备前端模块(EFEM)输送到晶片输送组件内,所述第一装载锁连接在EFEM和晶片输送组件之间;将晶片移入被配置为在晶片上执行处理操作的处理模块,所述处理模块连接到晶片输送组件;执行处理操作后,移动晶片进入被配置成在晶片上执行后处理操作的后处理模块,其中所述后处理模块连接到所述晶片输送组件并与第一装载锁被布置成垂直的堆叠结构;执行后处理操作之后,通过连接到晶片输送组件的第二装载锁将晶片从后处理模块移动到EFEM,所述第二装载锁连接在EFEM和晶片输送组件之间。
在一些实施方案中,处理操作是蚀刻操作,并且其中所述后处理操作是剥离操作。
在一些实施方案中,第二装载锁与第一装载锁被布置成垂直堆叠结构。
在一些实施方案中,第二装载锁邻近由所述第一装载锁和钝化模块限定的垂直堆叠结构放置。
具体而言,本发明的一些方面可以阐述如下:
1.用于处理晶片的集群工具系统,其包括:
晶片输送组件,其沿所述集群工具系统的纵轴延伸,所述晶片输送组件具有被定向为朝向设备前端模块(EFEM)的前端,所述晶片输送组件具有与所述前端相对的后端;
沿所述晶片输送组件的第一横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第一横向侧耦合的所述至少两个处理模块输送晶片;
沿所述晶片输送组件的第二横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第二横向侧耦合的所述至少两个处理模块输送晶片;
检修隧道,其被限定在所述晶片输送组件的下方,所述检修隧道沿着所述集群工具系统的所述纵轴从所述晶片输送组件的所述前端延伸到所述后端,所述检修隧道具有垂直尺寸,所述垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间,所述检修层被限定在比所述制造设施层的高度低的高度处,所述集群工具系统放置在所述制造设施层中。
2.根据条款1所述的集群工具系统,其中所述晶片输送组件包括沿所述纵轴彼此耦合的至少两个晶片输送模块,其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块中的一个,并且其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块中的一个。
3.根据条款1所述的集群工具系统,其进一步包括:
至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第一横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上;
至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第二横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上。
4.根据条款1所述的集群工具系统,其中阶梯装置被限定在所述检修隧道的后端,所述检修隧道的后端基本上被限定在所述晶片输送组件的所述后端的下方,所述阶梯装置被配置成限定从所述制造设施层向下至所述检修层的路径。
5.根据条款1所述的集群工具系统,其中所述检修层的所述高度在所述制造设施层的高度以下约1英尺(30.5厘米)到2英尺(61厘米)。
6.根据条款1所述的集群工具系统,其中所述晶片输送组件的前侧被配置为连接到装载锁,所述装载锁控制往返所述EFEM的通道,其中所述检修隧道的前端基本上延伸到所述EFEM。
7.根据条款1所述的集群工具系统,其中所述检修隧道的高度大约为6英尺(183厘米)至8英尺(242厘米)。
8.根据条款1所述的集群工具系统,其中所述检修隧道的第一横向侧提供到沿着所述晶片输送组件的所述第一横向侧耦合的所述处理模块的通道,并且所述检修隧道的第二横向侧提供到沿着所述晶片输送组件的所述第二横向侧耦合的所述处理模块的通道。
9.根据条款8所述的集群工具系统,其中所述检修隧道的所述第一横向侧提供到被限定用于沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块的一个或多个气箱的通道,并且所述检修隧道的所述第二横向侧提供到被限定用于沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块的一个或多个气箱的通道。
10.根据条款1所述的集群工具系统,其中所述检修隧道符合SEMI E72标准。
11.用于处理晶片的集群工具系统,其包括:
晶片输送组件,其沿所述集群工具系统的纵轴延伸,所述晶片输送组件具有被定向为朝向设备前端模块(EFEM)的前端,所述晶片输送组件具有与所述前端相对的后端;
沿所述晶片输送组件的第一横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第一横向侧耦合的所述至少两个处理模块输送晶片;
沿所述晶片输送组件的第二横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述第二横向侧耦合的所述至少两个处理模块输送晶片;
检修隧道,其被限定在所述晶片输送组件下方,所述检修隧道沿着所述集群工具系统的所述纵轴从所述晶片输送组件的所述前端延伸到所述后端,所述检修隧道具有垂直尺寸,所述垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间,所述检修层被限定在比制造设施层的高度低的高度处,所述集群工具系统放置在所述制造设施层中,其中所述检修层的所述高度在所述制造设施层的高度以下约1英尺(30厘米)到2英尺(60厘米);
至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第一横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上;
至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述第二横向侧耦合的所述至少两个处理模块,并进一步被配置成搁置在所述制造设施层上;
其中,所述检修隧道的高度是由所述检修层的所述高度和所述处理模块框架的高度限定,所述检修隧道的所述高度为大约6英尺(180厘米)至8英尺(240厘米)。
12.根据条款11所述的集群工具系统,其中所述晶片输送组件包括沿所述纵轴彼此耦合的至少两个晶片输送模块,其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块中的一个,并且其中所述晶片输送模块中的每一个耦合到沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块中的一个。
13.根据条款11所述的集群工具系统,其中阶梯装置被限定在所述检修隧道的后端,所述检修隧道的后端基本上被限定在所述晶片输送组件的所述后端的下方,所述阶梯装置被配置成限定从所述制造设施层向下至所述检修层的路径。
14.根据条款11所述的集群工具系统,其中所述晶片输送组件的前侧被配置为连接到装载锁,所述装载锁控制往返所述EFEM的通道,其中所述检修隧道的前端基本上延伸到所述EFEM。
15.根据条款11所述的集群工具系统,其中所述检修隧道的第一横向侧提供到沿着所述晶片输送组件的所述第一横向侧耦合的所述处理模块的通道,并且所述检修隧道的第二横向侧提供到沿着所述晶片输送组件的所述第二横向侧耦合的所述处理模块的通道。
16.根据条款15所述的集群工具系统,其中所述检修隧道的所述第一横向侧提供到被限定用于沿所述晶片输送组件的所述第一横向侧耦合的所述处理模块的一个或多个气箱的通道,并且所述检修隧道的所述第二横向侧提供到被限定用于沿所述晶片输送组件的所述第二横向侧耦合的所述处理模块的一个或多个气箱的通道。
17.根据条款11所述的集群工具系统,其中所述检修隧道符合SEMI E72标准。
附图说明
图1A概念性地示出了根据本公开的实施方案的用于处理晶片的集群工具的一部分的横截面。
图1B示出了根据本公开的实施方案的集群工具系统的透视图。
图1C示出了根据本公开的实施方案的集群工具系统的透视图。
图1D示出了根据本公开的实施方案的集群工具系统的横截面图。
图1E概念性示出了根据本公开的实施方案的用于处理晶片的集群工具的一部分的横截面。
图1F示出了根据本公开的实施方案的用于处理晶片的集群工具的剖视图。
图2示出了根据本公开的实施方案的集群工具系统的俯视图,示出了系统的各种设备件的概念上的剖面图。
图3A是根据本公开的实施方案的晶片输送组件的透视图。
图3B示出了图3A的根据本公开的实施方案的晶片输送组件的透视图,所述晶片输送组件未安装盖板,以便提供晶片输送组件内部的视图。
图4A示出了根据本公开的实施方案的晶片输送模块的透视图。
图4B示出了根据本公开的实施方案的连接有缓冲器模块410的晶片输送模块102的透视图。
图5概念性地示出了根据本公开的实施方案的缓冲器堆500的横截面视图。
图6示出了根据本公开的实施方案的缓冲器模块的一部分的横截面视图,其示出了缓冲器堆。
图7示出了根据本公开的实施方案的集群工具系统,其突出显示了当晶片通过该系统的各个部件移动时的晶片取向。
图8示出了根据本公开的实施方案的集群工具系统的一部分的横截面视图。
图9概念性地示出了根据本公开的实施方案的装载锁与集成的后处理模块的结构。
图10示出了用于控制本公开的系统的控制模块。
具体实施方式
本公开的实施方案提供与集群工具架构相关的方法、装置和系统,所述集群工具架构以限定在晶片输送组件下方的检修隧道的形式实现具有符合SEMI(SEMI-compliant)的访问空间的紧凑设计。晶片输送组件还可以包括若干缓冲区,所述若干缓冲区被保持在与晶片输送组件的其余部分相同的受控环境(例如真空条件)中并提供用于通过晶片输送组件配置晶片运动的大的灵活性。应当理解的是,本发明的实施方式可以以多种方式实施,诸如处理、装置、系统、设备、材料、或方法。若干实施方式描述如下。
图1A概念性地示出了根据本公开的实施方案的用于处理晶片的集群工具的一部分的横截面。在图示的实施方案中,示出了制造设施层116,设备可被放置在其上。制造设施层116限定为被支撑在下面的底层120上方的高层。制造设施层116可以由一系列的砖限定,所述砖可以被穿孔以允许气流通过砖以从fab环境中去除微粒。所述砖由底层120上方的支柱118支撑。在一些实施方案中,所述制造设施层与底层120之间的距离大约为2英尺(大约60厘米)。在一些实施方案中,制造设施层与底层120之间的距离在大约1.5到2.5英尺(约45至75厘米)的范围内。在一些实施方案中,制造设施层116和底层120之间的距离在大约1到4英尺(约0.3至1.2米)的范围内。
在一些实施方案中,底层120由混凝土华夫板限定。限定在制造设施层116和底层120之间的底层空间121可以用于各种设施线路的通道,例如工艺气体线路、真空线路、电气/射频线路/馈送、数据电缆、液体供给线路等。应当理解的是,这样的线路的通道可以沿着底层120,并且还可以通过底层120延伸到下面的层,允许连接到位于下面的层的上方的支撑设备。
处理模块100和106被放置在制造设施层116上方的升高的高度处。更具体地,在示出的实施方案中,处理模块100和106分别通过处理模块框架108和110支撑。每个处理模块框架被配置成举起其各自的处理模块,从而提供处理模块下方的下层空间以容纳处理模块的操作所需的各种设施和设备。通过将设施放置在处理模块的下方,操作所需的水平空间被保留,这允许处理模块在给定的集群工具系统内被放置成彼此更加靠近,由此也允许相邻的集群工具系统被放置成彼此更加靠近。
在一些实施方案中,由处理模块框架限定的处理模块下方的空间被配置为具有最小高度,以便容纳RF馈送源,所述RF馈送源具有从处理模块向下延伸的预定的垂直长度。RF馈送源结构可连接到被配置为在处理模块的室内上下移动的卡盘,从而也上下移动所述RF馈送源结构,所以处理模块框架被配置成提供足够的高度以适应这种垂直运动。
由于处理模块和集群工具系统被更紧密地布置在一起,因此相对于在制造设施的给定区域内的设备件和集群工具系统的数目,访问空间变得更有限。这可能是有问题的,因为到设备的通道受限,使得检修或修理的操作更难以进行,并且可能需要额外的步骤以获得通向设备的必要通道,诸如拆卸或移动部分或整个设备。这些额外的步骤会增加停机时间,从而降低否则可能通过紧凑设计的架构实现的一些产量效益。
为了解决这些问题,根据本公开的实施方案,在集群工具的晶片输送模块(包括晶片输送模块102)下方的空间提供检修隧道124。检修隧道124进一步由晶片输送模块102下方的检修层122限定。检修层122是相对于制造设施层116的低层,并被限定在比该制造设施层的高度低但比底层120高的高度处。检修层122从而利用否则会存在于制造设施层116和底层120之间的底层空间,并利用这个空间来为检修隧道124提供额外的高度。检修隧道124因此被限定在晶片输送模块102和检修层122之间,并具有足以平均高度(约5至6英尺(约150至180厘米)高)的人站起来的高度H1。在一些实施方案中,高度H1是在大约2至8英尺(约0.6至2.4米)的范围内。在一些实施方案中,高度H1是在大约5.5至7.5英尺(约1.7~2.3米)的范围内。在一些实施方案中,高度H1约为7英尺(约2.1米)。
应当理解的是,根据本公开的实施方案中所定义的检修隧道124和集群工具架构符合管理半导体制造设备的空间要求的SEMI(国际半导体设备和材料)E72标准。
在图示的实施方案中,为了本公开的完整性,示出了机械手致动器104,其是晶片输送模块102的一部分。如图所示的机械手致动器104被设想为从晶片输送模块102的主体向下延伸的大致圆柱形的结构。在机械手致动器104附近(在机械手致动器的前、后、和侧面),检修隧道空间的高度从检修层122延伸到晶片输送模块102的底面,这提供了足以平均高度的人不受阻碍地站立的高度H1
检修隧道124被限定在处理模块100和106之间,并且也在处理模块框架108和110之间。在一些实施方案中,分别检修处理模块100和106的气箱112和114沿着检修隧道124的侧面放置。检修隧道124可以因此具有宽度W1。根据一些实施方案,宽度W1在大约2至6英尺(约0.6至1.8米)的范围内。在一些实施方案中,宽度W1在大约2.5到4英尺(约0.7到1.3米)的范围内。在一些实施方案中,宽度W1在大约3至3.5英尺(约0.9至1.1米)的范围内。处理模块框架的面向内部的部分限定检修隧道的侧壁。
检修隧道124提供从集群工具系统的内部区域到集群工具系统的设备的通道。由于处理模块和集群工具系统的其它设备被放置成彼此非常靠近以减少系统的占地面积,所以这种通道是重要的。更具体地,检修隧道124提供到晶片输送模块102的底面的通道,以及到处理模块100和106的面向内部的侧面的通道。气箱112和114分别提供到检修处理模块100和106的气体管线的通道。气箱112和114沿检修隧道的侧壁被限定,并其也可从检修隧道124进入。
检修隧道的内部高度H1由系统的各种组件的垂直尺寸(包括检修层122在制造设施层116的水平以下的深度D1,以及配置处理模块框架108和110将处理模块100和106抬高的高度H2)限定。用于检修层的可用深度取决于底层上方的制造设施层的高度,即高度H1。因此,在各种实施方案中,检修层的深度D1可以在0到H1的范围内。在一些实施方案中,深度D1在大约0到4英尺(约0至60厘米)的范围内。在一些实施方案中,深度D1在约1至2英尺(大约30至60厘米)的范围内。在一些实施方案中,深度D1在约1.5至1.8英尺(约45至55厘米)的范围内。
在一些实施方案中,处理模块框架的高度H2在大约2至6英尺(约0.6至1.8米)的范围内。在一些实施方案中,高度H2在大约3至6英尺(约0.9至1.8米)的范围内。在一些实施方案中,高度H2在大约2.5至4.5英尺(约0.8米至1.4米)的范围内。在一些实施方案中,检修隧道124被限定在由一个或多个晶片输送模块(如晶片输送模块102)限定的晶片输送组件的下方。在一些实施方案中,检修隧道从在设备前端模块(EFEM)限定的前端纵向延伸到由最后面的晶片输送模块的后侧限定的后端。检修隧道124的检修层122可以从概念上理解为限定位于所述晶片输送组件下方的维修坑,以提供允许人员在维修坑无碍地站立的足够的垂直高度。
到检修隧道124中的入口由开口朝向制造设施层的检修隧道的后端提供。为了从检修隧道124提供入口和出口,楼梯或成组的阶梯/楼梯可以放置在检修隧道124的后端,所述楼梯或成组的阶梯/楼梯限定从检修层122向上到制造设施层116的路径。在一些实现方式中,可以提供可折叠阶梯,其在展开时限定所述阶梯,但也可以在不使用时折叠起来。以这种方式,用于进入/出来的阶梯在需要时被提供,而在不需要时可以被收起,因此不占用检修层空间,以满足标准的检修层空间的要求。在一些实现方式中,当阶梯折叠起来时,阶梯可被配置成阻挡到检修隧道内的入口,这提高了系统的安全性并防止人员意外地落入检修隧道。
图1B示出了根据本公开的实施方案的集群工具系统的透视图。在图示的实施方案中,检修隧道124的后端开口是可见的。如图所示,检修隧道124被限定在晶片输送组件209的下方,其从晶片输送组件209的朝向EFEM 200定位的前端延伸到晶片输送组件209的与该前端相对的后端。
图1C示出了根据本公开的实施方案的集群工具系统的透视图。在图示的实施方案中,示出了fab层次和辅助fab层次,以及用于操作被放置在该辅助fab层次处的处理模块的支撑设备。检修隧道124的前端128在图示的实施方案中是可见的。如图所示,根据本公开的实施方案,检修隧道124的前端128可延伸到EFEM 200。
图1D示出了根据本公开的实施方案的集群工具系统的横截面图。图示的实施方案再次示出了fab层次和辅助fab层次之间的关系。图示的人大致是按比例示出的,示出了在检修隧道124中用于人员操作的可用空间。
图1E概念性示出了根据本公开的实施方案的用于处理晶片的集群工具的一部分的横截面。在图1E的实施方案中,检修隧道124的底板被限定在制造设施层116的水平。在一些实施方案中,检修隧道124的底板可通过制造设施层116限定。而在其他实施方案中,检修隧道124的底板当被限定在制造设施层116水平时可具有与制造设施层116的结构不同的结构。应理解的是,该处理模块框架108和110的高度H2与图1A中的实施方案相比更高。在继续参考图1E所示的实施方案中,其中检修隧道124的底板在制造设施层116的水平处限定,处理模块框架的高度H2通常在约2至6英尺(约0.6至1.8米)的范围内。在一些实施方案中,高度H2在约4.5至6.5英尺(约1.4至2米)的范围内。在一些实施方案中,高度H2在约4至7英尺(约1.2至2.1米)的范围内。
图1F示出了根据本公开的实施方案的用于处理晶片的集群工具的剖视图(沿从前到后的纵向轴的垂直剖视图)。从而检修隧道124的内部在所示的图中示出。气箱114,134和136分别提供到供应处理模块106,214,和220的气体管线的通道。气箱定位在洗净的排气管道132上方,所述排气管道132排出来自fab的空气。在图示的实施方案中,排气管道132的侧盖被移除。气箱包括穿孔137,以允许空气从fab流经穿孔137并进入排气管道132。图中的虚线箭头说明气流的方向,该气流通过气箱进入排气管道,以便最终流向fab的底层并通过fab的底层被去除。
另外,在一些实施方案中,气体管线138被定位在排气管132的内部。通过使气体管线贯穿排气管道,在fab中空间被节约,这允许排气管道提供附加功能。此外,通过将气体管线布置在排气管道中,由于气体管线的泄漏而造成在fab中的污染的可能性被最小化,这是因为任何泄漏的气态物质通过排气管立即排出。因为污染的风险被最小化,那么使用(比被可用于放置在排气管外的气体管线的气体管线材料)较低级的气体管线材料可能是可行的,从而降低了成本。
图2示出了根据本公开的实施方案的集群工具系统的俯视图,其示出了系统的各种设备件的概念上的剖面图。集群工具系统的前面由设备前端模块(EFEM)200限定,所述EFEM包括分别用于接收多个晶片输送容器204a,204,204c和204d的多个装载口202a,202b,202c和202d。在一些实施方案中,晶片输送容器是正面开口标准箱(FOUP’s)。所述EFEM 200可进一步包括缓冲器站206a,206b和206c。EFEM 200及其缓冲器站206a,206b和206c可以在受控环境条件下或在大气条件下进行操作。
连接到EFEM 200后端的是限定进入晶片输送组件209的通道的装载锁208。晶片输送组件由串联连接的从装载锁208向后延伸的多个晶片输送模块102,212,和218限定。每个晶片输送模块控制进入和离开相邻的处理模块。例如,晶片输送模块102被配置成将晶片移入或移出相邻的处理模块100和106。晶片输送模块212被配置成将晶片移入或移出相邻的处理模块210和214。晶片输送模块218被配置成将晶片移入或移出相邻的处理模块216和220。
每个晶片输送模块包括被配置成接合和举起晶片并输送晶片的机械手(自动晶片装卸器)。在图示的实施方案中,晶片输送模块102,212和218分别包括机械手222,224和226。机械手可具有被构造成与晶片接合的末端执行器。因此,晶片输送模块的机械手被配置成在晶片输送组件209内移动晶片,并进一步将晶片移入或移出相邻的处理模块。
在图示的实施方案中,晶片输送组件209由晶片输送模块102,212,和218限定。晶片输送组件209从装载锁208向后延伸到最后面的晶片输送模块218的后侧。如前面所指出的,检修隧道124在晶片输送组件209的下方限定。在一些实施方案中,检修隧道124从晶片输送组件209的前端(由晶片输送模块102的前端限定)延伸到晶片输送组件209的后端(由晶片输送模块218的后端限定)。在一些实施方案中,检修隧道124在其前端延伸到EFEM200。检修隧道124提供到晶片输送模块102,212,和218的底面的通道,例如,以检修晶片输送模块的机械手222,224和226。
晶片输送组件209还包括控制给定晶片输送模块和相邻处理模块之间开口的闸阀。在图示的实现方式中,闸阀228控制晶片输送模块102和处理模块100之间的开口;闸阀230控制晶片输送模块102和处理模块106之间的开口;闸阀232控制晶片输送模块212和处理模块210之间的开口;闸阀234控制晶片输送模块212和处理模块214之间的开口;闸阀236控制晶片输送模块218和处理模块216之间的开口;闸阀238控制晶片输送模块218和处理模块220之间的开口。
给定的闸阀可以被打开以允许晶片由相应的晶片输送模块被输送进入或离开相邻的处理模块。闸阀可被关闭以隔离相邻的处理模块,例如,用于处理已被放置到处理模块中的晶片或执行需要将处理模块与晶片输送模块隔离的任何其它操作。在一些实施方案中,闸阀228,230,232,234,236,和238被集成到晶片输送组件209中。通过将闸阀集成到晶片输送组件209中,晶片输送组件的整体占用面积(相比于具有非集成闸阀的晶片输送组件)被减小。晶片输送组件209的内部环境被控制,并且可被定义为真空环境或受控的周围环境。在一些实施方案中,晶片输送组件209填充有惰性气体。在各种实施方案中,晶片输送组件209在从大气压到真空条件范围内的压强条件下操作。根据本公开的一些实施方案,真空条件可以被定义为小于约760托的内部压强。根据本公开的一些实施方案,真空条件可以被定义为小于约10托的内部压强。在一些实现方式中,真空条件可以被定义为在约1×10-9托到约1托的范围内的内部压强。
继续参考图2,根据本公开的实施方案,多个缓冲器堆被限定在晶片输送组件209中。缓冲器堆240和242被限定在晶片输送模块102和212之间。缓冲器堆244和246被限定在晶片输送模块212和218之间。缓冲器堆248和250被限定在晶片输送模块218的后面。应当理解的是,缓冲器堆被限定在晶片输送组件209内,并且同样地,缓冲器堆与晶片输送组件共享同样的受控环境。因为晶片不需要退出晶片输送组件209的受控环境进行存储,所以这提供了超越传统系统的优点。因为晶片可以在晶片输送组件209缓冲存储,因此,它们由晶片输送模块即时获取以被输送到处理模块或其他地方。
在一些实施方案中,给定的缓冲器堆被配置成具有存储大约2至20个晶片的容量。在一些实施方案中,给定的缓冲器堆被配置成具有存储大约5至15晶片的容量。在一些实施方案中,给定的缓冲器堆可具有存储大约5到10晶片的容量。在一些实施方案中,给定的缓冲器堆可具有存储大约8晶片的容量。每个缓冲器堆限定以垂直堆叠的布置限定的多个存储槽。存储槽可以具有在其间限定的分离器或分区,所述分离器或分区将晶片堆中的给定晶片与其他晶片分离。
如所指出的,缓冲器堆被放置在相邻的晶片输送模块之间。此外,缓冲器堆沿晶片输送组件的横向侧放置,这实现了晶片输送组件的紧凑设计,因为缓冲器堆被放置以利用存在于相邻晶片输送模块和被连接到晶片输送模块的相邻处理模块之间的空间。更具体地,缓冲器堆的中心轴从由晶片输送模块的机械手的中央旋转轴限定的中间平面横向偏移。给定的缓冲器堆的中心轴被定义为通过被存储在缓冲器堆中的晶片的中心延伸的垂直轴。机械手的中心旋转轴在限定中间平面的从前到后的布置中被彼此对准。单一方向轴270可沿该中间平面限定,从EFEM 200向后延伸。如在下面进一步讨论的,可以提供限定缓冲器堆的缓冲器模块。晶片输送模块和缓冲器模块在单一方向轴270被对齐。
继续参考图2,缓冲器堆242被限定在位于晶片输送模块102和212与处理模块106和214之间的位置。晶片输送组件209的横侧突出252,其容纳和限定缓冲器堆242的位置,所述横侧突出252横向延伸超出分别与相邻的处理模块214和106交界的横向侧部分256和254。晶片输送组件的额外的横侧突出容纳并限定额外的缓冲器堆的位置,并且相对于其相应的处理模块被类似地配置。例如,该横侧突出262位于晶片输送模块212和218与处理模块214和220之间。横侧突出262被构造成限定所述缓冲器堆246的位置。
在一些实施方案中,晶片输送组件被构造成使得晶片的外边缘在放置在缓冲器堆上时横向延伸至少到由相邻闸阀的开口限定的平面。在一些实施方案中,晶片的外边缘在位于缓冲器堆上时横向延伸超出这样的平面。缓冲器堆被横向放置得越远,则相邻晶片输送模块可被放置得彼此越接近;然而,晶片输送组件209将变得越宽。
就晶片输送组件的架构理念而言,缓冲器堆的位置被横向向外放置,这使得晶片输送模块彼此更靠近。这减小了晶片输送组件从前到后的总长度。在一些实施方案中,对于具有三个晶片输送模块和四个缓冲器堆(没有可选的缓冲器堆248和250)的晶片输送组件,晶片输送组件从前到后的长度约为10至11英尺(约3到3.3米)。在一些实施方案中,对于具有两个晶片输送模块和两个或四个缓冲器堆的晶片输送组件,晶片输送组件从前到后的长度为约6至8英尺(约1.8到2.4米)。
考虑到缓冲器堆的位置,且更具体地,考虑到晶片输送组件的容纳缓冲器堆的横侧部分的突出的位置,最靠近缓冲器堆的处理模块的角部区域被切去或磨圆。继续参考图2,举例来说,处理模块106的角部区域258被切去,以容纳晶片输送组件的横侧部分252的突出。同样地,处理模块214的角部区域260也被切去,以容纳横侧部分252的突出。最靠近缓冲器堆的附加处理模块的角部区域被类似地配置成容纳被限定以容纳缓冲器堆的晶片输送组件的横侧部分的横向突出。
应当理解的是,相比于在晶片输送组件209中将可能以其他方式给出的缓冲器堆的布置,处理模块的切去的角部区域使得处理模块可以位于更接近其各自的晶片输送模块。这降低了集群工具系统的横向空间需求,从而提供了在制造设施中更有效的空间利用率。总体而言,缓冲器堆的布置、晶片输送组件的横侧的结构、以及处理模块的角部区域的切去的结构共同提供非常紧凑的集群工具的架构,所述架构也在晶片处理、储存和在受控环境中的输送方面提供了很大的灵活性。
图3A是根据本公开的实施方案的晶片输送组件的透视图。在图示的实施方案中,晶片输送组件209包含两个部分,所述两个部分彼此组装以限定如图所示的晶片输送组件209。晶片输送组件209的第一部分被限定成包括所述晶片输送模块102和212、以及限定在晶片输送模块102和212之间的缓冲器堆240和242。所述第一部分可以由晶片输送模块102和212结合限定缓冲器堆240和242的缓冲器模块(位于晶片输送模块之间)模块化组装。应该理解的是,晶片输送模块和缓冲器模块沿单一方向轴270对齐。晶片输送组件209的第二部分被限定为包括晶片输送模块218以及限定在晶片输送模块212和218之间的缓冲器堆244和246。第二缓冲器模块可以被配置为限定缓冲器堆244和246,晶片输送模块218和第二缓冲器模块沿单一方向轴270对齐。尽管在图3A中未示出,但可选的第三部分可连接到第二部分的后端,第三部分是(第三)缓冲器模块,其限定缓冲器堆248和250,并且也沿单一方向轴270对齐。
从而所示出和描述的模块化配置允许晶片输送组件被配置成具有两个或三个晶片输送模块,并具有一对、两对、或三对缓冲器堆。在基本配置中,晶片输送组件可以被定义为只包括第一部分,因此,将具有两个晶片输送模块和两个缓冲器堆。在一些实现方案中,基本配置被设计以配合在SEMI E72标准升降器(compliant elevator)内,从而便于移入制造设施。在另一配置中,缓冲器模块可以被添加到基本配置,以增加两个额外的缓冲器堆(总共四个缓冲器堆)。在另一种配置中,上述第二部分可以连接到所述第一部分以限定如图3A所示的晶片输送组件,所述晶片输送组件具有三个晶片输送模块和四个缓冲器堆。在又一个配置中,然后可以加入缓冲器模块,以提供具有三个晶片输送模块和六个缓冲器堆的晶片输送组件。
在图示的实施方案中,第一盖板300限定晶片输送组件209的第一部分的顶部。第一盖板300包括窗框302和304,所述窗框302和304使得能够对晶片输送组件的内部进行视觉检查,并且可被打开以提供到内部的通道。第二盖板306限定晶片输送组件209的第二部分的顶部。第二盖板306还包括窗框308,所述窗框308同样使得能够对内部进行视觉检查,并且可以被打开以提供到内部的通道。
晶片输送组件209也因此被描述为包含若干模块化组件。晶片输送组件的模块化装配为便于配置以适应特定集群工具设置,并且还便于维修和/或更换单独的模块。此外,模块的组装/拆卸便于移入或移出给定的制造设施位置。应当理解的是,当完全组装时,晶片输送组件209的各种模块一起限定外壳,所述外壳限定连续的且保持为受控环境的内部区域。外壳包含晶片输送组件209的各种元件部分,包括机械手和缓冲器堆。
放置在晶片输送组件209的前端的是装载锁模块208。装载锁模块208控制进出晶片输送组件209,便于在EFEM的实验室环境条件和晶片输送组件209的真空或受控环境条件之间转移晶片。在一些实现方式中,装载锁模块208是双单元,也就是说,装载锁模块208包括两个单独的装载锁槽,所述装载锁槽被独立地控制以允许从晶片输送组件209同时装载和/或卸载两个独立的晶片。腾空锁定槽(例如,当晶片从EFEM的环境条件移入晶片输送组件的真空条件时)和/或填充装载锁槽(例如,当晶片从晶片输送组件的真空条件移入EFEM的环境条件时)所需的时间是耗时的,并且可以成为集群工具系统处理晶片的能力的限制因素。因此,希望提供一个以上的装载锁槽,以允许多个晶片同时进入和/或退出晶片输送组件209。
图3B示出了图3A的根据本公开的实施方案的晶片输送组件的透视图,所述晶片输送组件未安装盖板,以便提供晶片输送组件内部的视图。如可以看到的,晶片输送组件209的第一部分310包括缓冲器堆240和242,以及机械手224(机械手102在图3B中不可见)。机械手224包括被配置成接合和支撑晶片以通过机械手搬运晶片的末端执行器314。示出了晶片输送组件的第二部分312,其包括缓冲器堆244(缓冲器堆246在图3B中不可见)和机械手226的末端执行器316。
图4A示出了根据本公开的实施方案的晶片输送模块的透视图。为便于对晶片输送组件的描述和上下文的理解,对晶片输送模块102进行描述。然而,应当理解的是,该描述也适用于晶片输送组件209的晶片输送模块212和218。晶片输送组件209可以由模块化组件限定,所述模块化组件可被组装或拆卸以易于配置以及在必要时便于修复和更换单个组件。在图示的实施方案中,提供了晶片输送模块102的内部视图,其中,所述机械手222被示出,其包括被配置为接合和支撑由机械手222搬运的晶片的末端执行器400。
还可见的是控制通向处理模块106内的开口的闸阀230。闸阀230可被打开,以用于晶片在晶片输送模块102和处理模块106之间的转移(例如,用于装载晶片到处理模块或从处理模块卸载晶片),或者闸阀230可以被关闭,以(例如,在晶片处理过程中)将处理模块106与晶片输送模块102隔离。闸阀230沿晶片输送模块102的横向侧402限定。晶片输送模块102的横向侧402被配置成用于连接到处理模块106。晶片输送模块102的横向侧402可以进一步包括密封机构404,所述密封机构404当处理模块106被连接到晶片输送模块102时被配置以形成气密密封。例如但非限制,密封机构404可由垫圈、相应的槽和狭缝、和/或当处理模块106被连接到晶片输送模块102时可实现气密密封的任何其它类型的机构限定。
继续参照图4A,还示出了晶片输送模块102的后侧406(远离面向EFEM 200),后侧406被构造用于连接到缓冲器模块410(在图4B示出)。晶片输送模块102的后侧406还可以包括密封机构408,所述密封机构408被配置成当缓冲器模块410被连接到晶片输送模块102时提供气密密封。
在一些实施方案中,例如用于晶片输送模块212或218,而不是缓冲器模块,后盖板可以连接到晶片输送模块的后侧,由此在这样的实施方案中限定晶片输送组件的后侧。这样描述的模块化组件提供交替的晶片输送模块和缓冲器模块的系统架构,其可以被连续地组装或拆卸以限定晶片输送组件的期望结构。
图4B示出了根据本公开的实施方案的连接有缓冲器模块410的晶片输送模块102的透视图。缓冲器模块410的前侧(在图4B中不可见)连接到晶片输送模块102的后侧406,这样形成了模块之间的气密密封。缓冲器模块410的后侧412被进一步构造成用于连接至另一个晶片输送模块或后盖板。缓冲器模块410的后侧412可以包括配置为形成与相邻晶片输送模块或后盖板的气密密封的密封机构414。
缓冲器模块410限定被构造为存储多个晶片的缓冲器堆240和242。缓冲器模块的横向端部限定上述晶片输送组件的侧突出。缓冲器堆共享为所述晶片输送模块定义的相同的受控环境。因为晶片可以在系统的受控环境中被缓冲寄存,而不需要转移到系统外,所以这提供了优势。这有助于限制暴露于可能的污染物并且还避免晶片通过不同的环境的循环。例如,已经在真空条件下处理并随后经受环境条件的晶片可能与周围气体反应或者说暴露于污染物或颗粒。因此,缓冲器堆在晶片输送系统的受控环境内的布置提供晶片存储位置以避免这种潜在的不利影响。
每个缓冲器堆限定多个晶片存储槽,其中每个晶片存储槽被配置为存储单个晶片(例如晶片420)。在图示的实施方案中,缓冲器堆240的晶片存储槽由被配置为在缓冲器堆中支撑晶片的支撑臂416限定。此外,可能有定义成使晶片存储槽彼此分离的分离器。应当理解的是,在各种实施方案中,分离器和(由支撑臂所限定的)晶片存储槽二者的数量和布置可以变化。在图示的实施方案中,缓冲器堆240被示出,其具有分离四对晶片存储槽的分离器418a,418b和418c。如图所示的缓冲器堆240因此包括总共八个晶片存储槽。缓冲器堆242被类似地配置成具有八个晶片存储槽,其中四对晶片存储槽由三个分离器分离。
图5概念性地示出了根据本公开的实施方案的缓冲器堆500的横截面视图。缓冲器堆500包括具有通过物理分区彼此分离的晶片存储槽的上部502,以及具有没有通过物理分区彼此分离的晶片存储槽的下部504。给定的晶片存储槽由被配置以支撑存储在给定晶片存储槽的晶片(例如晶片510)的成组的支撑臂508限定。上部502的晶片存储槽通过分离器506a,506b,和506c彼此分离,并且上部502通过分离器506d与下部504分离。所述分离器限定相邻晶片存储槽之间的物理分区。
在一些实施方案中,上部502的晶片存储槽可被用来存储可能更敏感或更易受污染的晶片,而下部504的晶片存储槽可被用来存储较不敏感或较不易受污染的晶片。在一些实施方案中,下部504可以被用来存储在集群工具系统中被再次使用的晶片(如覆盖晶片或陈化处理(seasoning)晶片)。
覆盖晶片用于在室维护操作(例如,原位清洁)期间覆盖处理模块的卡盘。在常规系统中,覆盖晶片通常在实验室环境条件下被存储在晶片输送组件的外面。这需要给定的覆盖晶片在每当所述覆盖晶片将被使用时通过气闸进入晶片输送组件,其可以是在系统中不需要的粒子的源。当覆盖晶片被经常使用(例如每一批使用)时,这可能尤其是有问题的。当覆盖晶片被使用时,覆盖晶片被蚀刻,并且通过装载锁将它们反复输送进出晶片输送组件是粒子的来源,从而可能最终降低产量或需要更频繁的维护/清洁。因此,将覆盖晶片存储在晶片输送组件(例如,在真空条件下)中从而使覆盖晶片免受由将其移动进出晶片输送组件所产生的压力循环的影响,这是有利的,因为这将减少进入系统的污染物的量。
此外,在一些实施方案中,用于室清洁的化学物质当暴露于大气/湿气时可以是腐蚀性的。例如,当使用基于氯的化学物质时,保存在真空中的覆盖晶片在其表面上可能具有相当非挥发性的氯化物。但是,如果覆盖晶片被运出晶片输送组件进入大气中,那么氯化物可以与空气中的水分发生反应,并可能脱气并导致腐蚀。因此,通过如所描述的将覆盖晶片存储在缓冲器堆中的真空中,那么就避免了这个问题,并且覆盖晶片的使用寿命可被延长。
应当理解的是,关于覆盖晶片和粒子产生/腐蚀的上述讨论也适用于被利用以在室中燃烧的陈化处理晶片。在图5中图示的实施方案中,不具有分离晶片存储槽的物理分区的缓冲器堆的下部可被保留和/或用于存储覆盖晶片或陈化处理晶片,而缓冲器堆的上部(其可具有分离单独晶片存储槽的物理分区)被保留和/或用于存储在集群工具系统中进行处理操作的晶片。然而,应理解的是,在其它实施方案中,覆盖或陈化处理晶片可以存储在具有任何特定结构的晶片存储槽和分离器的缓冲器堆中的任何给定的晶片存储槽(或晶片存储槽的部分)中。
在一些实施方案中,整个缓冲器堆可被保留和/或用于存储覆盖/陈化处理晶片。例如,参考图2的实施方案,缓冲器堆248和/或缓冲器堆250可以被配置成用于存储覆盖晶片/陈化处理晶片,从而提供用于此目的的专用的一个或多个缓冲器堆。
图6示出了根据本公开的实施方案的缓冲器模块的一部分的横截面视图,其示出了缓冲器堆。缓冲器堆600类似于图5的实施方式,其包括具有晶片存储槽610a,610b,610c,和610d的上部602,以及具有晶片存储槽612a,612b,和612c的下部604。晶片存储槽610a-d分别由分离器606a,606b,606c和606d分离,而晶片存储槽612a-c并不通过物理分离器单独分离。在一些实施方案中,下部604的晶片存储槽612a-c被保留和/或用于存储覆盖晶片、陈化处理晶片、或者在处理模块中被再次使用的任何其它类型的晶片。
缓冲器堆600还包括位于下部604下方并通过分离器614与下部604分离的晶片存储槽615。晶片存储槽615包括能够支撑和旋转放置在其上的晶片的晶片定向器616。应当理解的是,根据本公开的实施方案,当给定的晶片贯穿晶片输送组件被输送时,晶片的旋转方向会发生变化。对于一些处理模块,以特定的旋转方向将晶片放置到处理模块中可能是希望的。因此,具有集成到缓冲器堆中以旋转给定的晶片使得其将具有处理模块所期望的特定的旋转方向的晶片定向器是有用的。在一些实施方案中,晶片定向器616还包括延伸到缓冲器模块410的主外壳下方的致动器618。致动器618被配置以驱动晶片定向器616的旋转。
图7示出了根据本公开的实施方案的集群工具系统,其突出显示了当晶片通过该系统的各个部件移动时晶片的方位。示出的系统类似于图2的实施方案,其包括共同限定晶片输送组件的晶片输送模块102,212和218和缓冲器堆240,242,244和246。
在图示的实施方案中,缓冲器堆被倾斜33度。也就是说,每个缓冲器堆的中心偏离由从相邻晶片输送模块的中心到另一相邻晶片输送模块的中心限定的矢量33度。例如,如果矢量被限定为从晶片输送模块102的中心到晶片输送模块212的中心,则缓冲器堆240和242的中心各自偏离这样的矢量33度。在系统内不存在给定晶片的任何主动旋转时,由于缓冲器堆被倾斜,所以当晶片移动通过缓冲器堆时晶片的旋转方向将改变,而且从一个处理模块到下一个处理模块,晶片的旋转方向可能不同。
继续参考图7,另外示出了具有切口的晶片700,所述切口的位置通过在标记702处所示的点概念性地示出。举例来说,在所示的实施方案中,晶片700被示出,其通过气闸208进入晶片输送组件,在此阶段的晶片700,其切口对准在朝向晶片输送组件的后部的纵向方向上。从这个位置,当晶片700通过晶片输送模块102被移入处理模块106中时,然后该晶片逆时针旋转90度,使得切口变成定位在朝向处理模块106的开口的横向方向上。在一些实施方案中,当晶片在处理模块106中时,这对于晶片是所期望的方向,并因此不需要晶片的额外旋转,也不需要处理模块的重新配置。在处理模块106中的接下来的处理中,晶片700可以通过晶片输送模块102被移动到缓冲器堆242,这导致晶片逆时针旋转额外的57度。应该理解的是,当切口由晶片输送模块102搬运并且在装载锁208、处理模块100或106、和缓冲器堆240或242中的任何两者之间移动时,切口朝向晶片输送模块102的中心定向。
如果晶片700由晶片输送模块212从缓冲器堆242移动到处理模块214,则晶片700逆时针旋转额外的57度。这意味着该切口逆时针方向偏离由从晶片700的中心到晶片输送模块212的中心限定的矢量114度。当对于处理模块214晶片700的期望的方向可以不偏离所限定的矢量时,那么在进入处理模块214之前,晶片700的旋转可能是希望的。例如,集成到缓冲器堆242中的晶片定向器可被配置成沿顺时针方向将晶片700旋转114度,以便当晶片输送到处理模块214中时,使得晶片700对准在所期望的方向上。
以类似的方式,如果如图所示的晶片700被从缓冲器堆242移入处理模块210中,那么该晶片700顺时针旋转123度。这可能会再次导致晶片方向逆时针偏离处理模块210所期望的方向114度。类似地,集成到缓冲器堆242中的晶片定向器可被配置成以顺时针方向使晶片700旋转114度,以便当晶片输送到处理模块210中时使得晶片700对准在所期望的方向上(切口朝向晶片输送模块212的中心定向)。
如果如图所示的晶片700然后由晶片输送模块212从处理模块214移动到缓冲器堆246,那么晶片700被顺时针旋转57度。应当理解的是,如果如图所示的晶片700通过晶片输送模块212从缓冲器堆242或处理模块210移动到缓冲器堆246时,那么晶片700将实现相同的取向。如果晶片700然后由晶片输送模块218从缓冲器堆246移动到处理模块220,那么该晶片700将被旋转额外的57度,这意味着切口的方向将顺时针偏离由从晶片700的中心到晶片输送模块218的中心所限定的矢量132度。如果晶片700由晶片输送模块218从缓冲器堆246移至处理模块216,那么晶片700将被顺时针旋转123度,这再次意味着切口的方向将顺时针偏离由从晶片700的中心到晶片输送模块218的中心所限定的矢量132度。晶片定向器可被包括在缓冲器堆246中,以在处理期间根据需要旋转晶片,从而当晶片被输送到处理模块时确保最佳的旋转取向。
虽然在前面的讨论中,缓冲器堆被描述为被倾斜33度,但应当理解的是,在其它实现方式中,缓冲器堆倾斜的角度在约30至35度的范围内。还有在其它实现方式中,缓冲器堆倾斜的角度在约25至40度的范围内。上面讨论的在系统中的输送过程中有关晶片的旋转的构思不管缓冲器堆倾斜的特定角度如何都适用,并且在系统的任何给定位置,晶片的特定取向对于本领域的技术人员将是显而易见的。
在本公开的实施方式中,晶片输送组件可包括若干个缓冲器堆,所述缓冲器堆可被配置成用于各种目的,并且有助于提供超过现有技术系统的生产率优势。缓冲器堆可被用来限定晶片的路径。例如,在一些实施方案中,在给定的晶片输送组件中的至少一些缓冲器堆中的至少一些晶片存储槽被配置成使得晶片通过给定的缓冲器堆仅移动一次。在一些实施方案中,该系统被配置成使得晶片从一侧进入给定的缓冲器堆并从另一侧离开缓冲器堆。例如,继续参考图7,缓冲器堆242可被配置成使得晶片从缓冲器堆242的面向晶片输送模块102的那侧(面向前方的侧)进入,并且从面向晶片输送模块212的那侧(面向后方的侧)离开。以这种方式,晶片经由通过缓冲器堆242的单向方式的输送从晶片输送模块102移动到晶片输送模块212。通过使得晶片以单向方式移动通过缓冲器堆,晶片不返回到相同的缓冲器堆,并且来自不同处理的交叉污染的可能性被降低。
延伸继续参考图7的构思,缓冲器堆242和246可以被配置成使得晶片通过它们中的每一个从面向前方的侧到面向后方的侧移动,而缓冲器堆244和240被配置成使得晶片通过它们中的每一个以相反的方式从面向后方的侧到面向前方的侧移动。概念上,晶片输送模块和缓冲器堆从而限定用于晶片穿过晶片输送组件和/或集群工具系统的路径。
举非限制性示例而言,晶片的路径可以被限定为用于晶片700从装载锁208到晶片输送模块102、到缓冲器堆242、到晶片输送模块212、到处理模块210或214两者之一、到晶片输送模块212、到缓冲器堆240、到晶片输送模块102、到装载锁208。
作为另一个例子,晶片的路径可以被限定为用于晶片700从装载锁208到晶片输送模块102、到缓冲器堆242、到晶片输送模块212、到缓冲器堆246、到处理模块216或220之一、到晶片输送模块218、到缓冲器堆244、到晶片输送模块212、到缓冲器堆240、到晶片输送模块102、到装载锁208。
在一些实施方式中,某些缓冲器堆被指定为输入缓冲器堆,其用于暂时存储那些要被输送到处理模块进行处理的晶片,而其他缓冲器堆被指定为用于暂时存储那些已被处理的晶片以及将被运出该系统的晶片。例如,在图示的实施方案中,缓冲器堆242和246可以被指定为输入缓冲器堆,而缓冲器堆240和244可以被指定为输出缓冲器堆。这使得晶片的输入路径与晶片的输出路径被分开限定,这可以有助于避免交叉污染和交通阻塞。
有关路径和缓冲器堆的使用的上面的讨论是通过非限制性示例的方式提供的。应当理解的是,在与晶片输送模块相同的受控环境(例如真空)中限定的本发明的缓冲器堆可以以任何合适的方式灵活地部署和利用,以通过集群工具系统实现晶片的高效的存储和运动。
图8示出了根据本公开的实施方案的集群工具系统的一部分的横截面视图。在图示的实施方案中,一对装载锁800和802以并排结构布置,并连接在晶片输送模块102和EFEM200之间。装载锁800和802中的每个可以是双槽装载锁,每一个双槽装载锁具有可用于在EFEM和晶片输送模块102之间的晶片转移的两个槽。在这种配置中,装载锁一起提供同时搬运四个晶片的能力。在一些实施方案中,装载锁中的一个可以用于使晶片进入晶片输送组件,然而装载锁中的一个可用于使晶片离开晶片输送组件。
图9概念性地示出了根据本公开的实施方案的装载锁与集成的后处理模块的结构。装载锁800和802以并排结构布置。装载锁800包括槽900和902,并且装载锁802包括槽904和906,每个槽被配置成用于输送晶片进入或离开晶片输送组件。
此外,后处理模块908和910分别与装载锁800和802垂直地堆叠。在各种实施方案中,后处理模块可配置为在经处理的晶片上执行后处理操作,例如剥离操作或钝化操作。在图示的实施方案中,后处理模块908和910分别位于装载锁800和802的上方,但在其他实施方案中,后处理模块908和910可分别位于装载锁800和802的下方。后处理模块908和910可被构造成在经处理的晶片离开晶片输送组件之前在经处理的晶片上执行剥离或钝化操作。后处理模块908和910向晶片输送组件的内部打开。
因此,举例来说,参照图2的系统,经处理的晶片可通过晶片输送模块102被放置到后处理模块中的一个中。完成后处理(如剥离或钝化)操作之后,晶片通过晶片输送模块102被从后处理模块移除,并被放置到装载锁800或802中的一个的晶片槽中,以被运出晶片输送组件209到达EFEM 200。
应当理解的是,本公开的实施方案可应用于任何各种尺寸的衬底,包括200mm、300mm、和450mm的衬底,以及非标准尺寸和形状的衬底,包括方形衬底。
图10示出了用于控制上述系统的控制模块1000。例如,控制模块1000可以包括处理器、存储器和一个或多个接口。控制模块1000可被采用以按照预定的编程以及部分地基于感测到的值控制系统中的装置,所述装置包括集群工具系统的上述组件中的任何一个,包括但不限于EFEM、装载锁、后处理模块、晶片输送模块、晶片定向器、和处理模块。应当理解的是,根据本公开的实施方案,控制模块1000可以控制其中给定组件被限定或能够执行的任何类型的操作。
仅举例而言,控制模块1000可以基于感测的值、预定的编程/指令和其他控制参数控制阀1002、致动器1004、泵1006、RF发生器1022、和其他装置1008中的一个或多个。控制模块1000从,仅作为示例的,压力计1010、流量计1012、温度传感器1014、和/或其他传感器1016接收所感测的值。
相对于给定的处理模块,控制模块1000也可以被采用以在反应物/前体传输和等离子体处理过程中控制处理条件。控制模块1000通常将包括一个或多个存储器设备和一个或多个处理器。
控制模块1000可以控制反应物/前体传输系统和等离子体处理装置的活动。控制模块1000执行计算机程序,所述计算机程序包括用于控制处理计时、传输系统温度、跨越过滤器的压差、阀位置、气体混合物、室压力、室温度、晶片温度、RF功率电平、晶片卡盘或基座位置、以及特定工艺的其它参数的指令集。控制模块1000也可以监测压差,并自动将气相前体传输从一个或多个路径切换到其它的一个或多个路径。与控制模块1000相关联的存储在存储器设备的其他计算机程序可以在一些实施方式中被采用。
通常将有与控制模块1000相关联的用户接口。用户接口可以包括显示器1018(例如,显示屏、和/或装置和/或工艺条件的图形软件显示)、和用户输入设备1020(如定点设备、键盘、触摸屏、麦克风等)。
用于控制工艺序列中的前体输送、等离子体处理和其他工艺的计算机程序可以用任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran或其它语言)编写。编译的目标代码或脚本由处理器执行以执行在程序中确定的任务。
控制模块参数涉及处理条件,诸如,例如,过滤器的压差、工艺气体组分和流速、温度、压强、等离子体条件(如RF功率电平和低频RF频率)、冷却气体压强、和室壁温度。
该系统软件可以以许多不同的方式被设计或配置。例如,各种室部件子程序或控制对象可以被写入以控制实施创造性的沉积过程所需的室部件的操作。用于此目的的程序或部分程序的例子包括衬底定位代码、工艺气体控制代码、压强控制代码、加热器控制代码和等离子体控制代码。
衬底定位程序可包括用于控制室组件的程序代码,其被用于装载衬底到基座或卡盘,并控制所述衬底和室的其它部分(例如气体入口和/或靶)之间的间距。工艺气体控制程序可包括用于控制气体组分和流速以及可选地用于在沉积之前使气体流入室中以稳定室中压强的代码。过滤器监控程序包括比较一个或多个所测得的差和一个或多个预定值的代码和/或用于切换路径的代码。压强控制程序可包括用于通过调节例如室的排气系统中的节流阀来控制室中的压强的代码。加热器控制程序可包括用于控制流向用于加热前体传输系统的组件、衬底和/或系统的其它部分的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦)到晶片卡盘的输送。
在处理过程中可被监测的传感器的示例包括,但不限于质量流量控制模块、压力传感器(如压力计1010)、以及位于传输系统的热电偶、基座或卡盘(例如,温度传感器1014)。可以使用适当编程的反馈和控制算法以及来自这些传感器的数据以维持所需的工艺条件。前述内容描述了在单个或多个室半导体处理工具中本发明的实施方式的实现。
为了例证和描述的目的,已经提供了实施方式的前述描述。这并不旨在穷尽或限制本发明。特定实施方式的单个元件或特征通常并不限于该特定实施方式,而是在适用时可以互换,并且可以在所选择的实施方式中使用,即使没有具体示出或描述。相同的方式也可以以许多方式变化。这样的变化不应被认为脱离本发明,并且所有这种修改旨在被包括在本发明的范围之内。
虽然为了清楚理解的目的前述实施方式已经在一些细节进行了描述,但明显的是,某些变化和修改可在所附权利要求的范围内实施。因此,本发明的实施方式应被认为是说明性的而不是限制性的,并且实施方式并不限于本文所给出的细节,而是可以在其范围和权利要求的等同方案内进行修改。

Claims (20)

1.用于处理晶片的集群工具系统,包括:
晶片输送组件,其沿着所述集群工具系统的纵轴延伸;
沿所述晶片输送组件的横向侧耦合到所述晶片输送组件的至少两个处理模块,所述晶片输送组件被配置成往来于沿所述横向侧耦合的所述至少两个处理模块输送晶片;
检修隧道,其被限定在所述晶片输送组件的下方,所述检修隧道沿着所述集群工具系统的所述纵轴延伸,所述检修隧道的垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间。
2.根据权利要求1所述的集群工具系统,其中,所述检修层的高度低于所述集群工具系统被布置在其中的制造设施层的高度。
3.根据权利要求2所述的集群工具系统,其中,所述检修层的高度比所述制造设施层的高度低大约1英尺(30.5cm)至2英尺(61cm)。
4.根据权利要求1所述的集群工具系统,其中,所述晶片输送组件包括至少两个晶片输送模块,所述至少两个晶片输送模块沿着纵轴彼此耦接,其中,每个所述晶片输送模块耦接到所述处理模块之一,所述处理模块沿着所述晶片输送组件的横向侧耦接。
5.根据权利要求4所述的集群工具系统,还包括:
至少两个处理模块框架,其被配置成支撑沿所述晶片输送组件的所述横向侧耦合的所述至少两个处理模块并进一步被配置成搁置在所述制造设施层上。
6.根据权利要求1所述的集群工具系统,其中,所述晶片输送组件具有朝向设备前端模块(EFEM)定向的前端,所述晶片输送组件具有与所述前端相对的后端。
7.根据权利要求6所述的集群工具系统,其中,所述检修隧道沿着所述纵轴从所述晶片输送组件的前端延伸到所述晶片输送组件的后端。
8.根据权利要求6所述的集群工具系统,其中所述晶片输送组件的前端被配置为连接到装载锁,所述装载锁控制往返所述EFEM的通道,其中所述检修隧道的前端基本上延伸到所述EFEM。
9.根据权利要求6所述的集群工具系统,其中阶梯装置被限定在所述检修隧道的后端,所述检修隧道的后端基本上被限定在所述晶片输送组件的后端的下方,所述阶梯装置被配置成限定从所述制造设施层向下至所述检修层的路径。
10.根据权利要求1所述的集群工具系统,其中,所述检修隧道的高度为大约6英尺(183cm)至8英尺(244cm)。
11.根据权利要求1所述的集群工具系统,其中,所述检修隧道的横向侧提供对沿着所述晶片输送组件的横向侧耦接的所述处理模块的通道。
12.根据权利要求11所述的集群工具系统,其中所述检修隧道的所述横向侧提供到被限定用于沿所述晶片输送组件的所述横向侧耦合的所述处理模块的一个或多个气箱的通道。
13.根据权利要求1所述的集群工具系统,其中,所述检修隧道符合SEMI E72标准。
14.一种用于处理晶片的集群工具系统,包括:
晶片输送组件,其沿着所述集群工具系统的纵轴延伸;
沿所述晶片输送组件的第一横向侧耦合到所述晶片输送组件的一个或多个处理模块,所述晶片输送组件构造成往来于沿所述第一横向侧耦合的所述一个或多个处理模块运送晶片;
沿所述晶片输送组件的第二横向侧耦合到所述晶片输送组件的一个或多个处理模块,所述晶片输送组件构造成往来于沿所述第二横向侧耦合的所述一个或多个处理模块运送晶片;
检修隧道,其被限定在所述晶片输送组件的下方,所述检修隧道沿着所述集群工具系统的所述纵轴延伸,所述检修隧道的垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间。
15.根据权利要求14所述的集群工具系统,其中,所述晶片输送组件具有被配置为连接至装载锁的前端,所述晶片输送组件具有与所述前端相对的后端,所述检修隧道沿着所述集群工具系统的纵轴从所述晶片输送组件的前端延伸到所述晶片输送组件的后端。
16.根据权利要求14所述的集群工具系统,其中,所述检修层的高度被限定为低于设置有所述集群工具系统的制造设施层的高度,其中,所述检修层的高度比所述制造设施层的高度低约1英尺(30厘米)至2英尺(60厘米)。
17.根据权利要求16所述的集群工具系统,还包括:
一个或多个处理模块框架,被配置为支撑沿着所述晶片输送组件的第一横向侧耦合的所述一个或多个处理模块,并且还被配置为搁置在所述制造设施层上;
一个或多个处理模块框架,被配置为支撑沿着晶片输送组件的第二横向侧耦合的所述一个或多个处理模块,并且还被配置为搁置在制造设施层上;
其中,所述检修隧道的高度由所述检修层的高度和所述处理模块框架的高度限定,所述检修隧道的高度为大约6英尺(180厘米)至8英尺(240厘米)。
18.一种用于处理晶片的集群工具系统,包括:
晶片输送组件,其沿着所述集群工具系统的纵轴延伸;
沿着所述晶片输送组件的第一横向侧耦合到所述晶片输送组件的一个或多个处理模块,所述晶片输送组件构造成往来于沿所述第一横向侧耦合的所述一个或多个处理模块运输晶片;
沿着所述晶片输送组件的第二横向侧耦合到所述晶片输送组件的一个或多个处理模块,所述晶片输送组件构造成往来于沿所述第二横向侧耦合的所述一个或多个处理模块运输晶片;
检修隧道,其被限定在所述晶片输送组件的下方,所述检修隧道沿着所述集群工具系统的所述纵轴延伸,所述检修隧道的垂直尺寸被限定在所述晶片输送组件的底面和定位在所述晶片输送组件下方的检修层之间。
19.根据权利要求18所述的集群工具系统,其中,所述晶片输送组件具有被配置用于连接至装载锁的前端,所述晶片输送组件具有与所述前端相对的后端,所述检修隧道沿着所述集群工具系统的纵轴从所述晶片输送组件的所述前端到所述晶片输送组件的后端。
20.根据权利要求18所述的集群工具系统,其中,所述检修层的高度被限定为低于设置有所述集群工具系统的制造设施层的高度,其中,所述检修层的高度比所述制造设施层的高度低约1英尺(30厘米)至2英尺(60厘米)。
CN202010398530.1A 2015-10-20 2016-09-28 半导体制备和研发制造设施的资本设备上使用的检修隧道 Pending CN111739819A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/887,876 2015-10-20
US14/887,876 US9502275B1 (en) 2015-10-20 2015-10-20 Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
CN201610860001.2A CN106992132B (zh) 2015-10-20 2016-09-28 半导体制备和研发制造设施的资本设备上使用的检修隧道

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610860001.2A Division CN106992132B (zh) 2015-10-20 2016-09-28 半导体制备和研发制造设施的资本设备上使用的检修隧道

Publications (1)

Publication Number Publication Date
CN111739819A true CN111739819A (zh) 2020-10-02

Family

ID=57287782

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010398530.1A Pending CN111739819A (zh) 2015-10-20 2016-09-28 半导体制备和研发制造设施的资本设备上使用的检修隧道
CN201610860001.2A Active CN106992132B (zh) 2015-10-20 2016-09-28 半导体制备和研发制造设施的资本设备上使用的检修隧道

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610860001.2A Active CN106992132B (zh) 2015-10-20 2016-09-28 半导体制备和研发制造设施的资本设备上使用的检修隧道

Country Status (4)

Country Link
US (2) US9502275B1 (zh)
KR (2) KR102637157B1 (zh)
CN (2) CN111739819A (zh)
TW (2) TWI780689B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
JP2019537253A (ja) * 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 被加工物を処理するためのシステムおよび方法
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP7240980B2 (ja) * 2019-07-29 2023-03-16 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
JP7365822B2 (ja) * 2019-08-22 2023-10-20 東京エレクトロン株式会社 基板処理システム
US20230085987A1 (en) * 2020-03-02 2023-03-23 Lam Research Corporation Linear arrangement for substrate processing tools

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6277199B1 (en) * 1999-01-19 2001-08-21 Applied Materials, Inc. Chamber design for modular manufacturing and flexible onsite servicing
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
EP1193736A1 (en) * 2000-09-27 2002-04-03 Infineon Technologies SC300 GmbH & Co. KG Vehicle for transporting a semiconductor device carrier to a semiconductor processing tool
JP2002122340A (ja) * 2000-10-16 2002-04-26 Sony Corp 半導体装置の製造装置
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US7153079B2 (en) * 2001-09-18 2006-12-26 Murata Kikai Kabushiki Kaisha Automated guided vehicle
JP2003203963A (ja) 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
JP2006093710A (ja) * 2005-09-22 2006-04-06 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2007123326A (ja) * 2005-10-25 2007-05-17 Nikon Corp メンテナンスシステム、露光装置及びデバイス製造方法
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
KR20090013941A (ko) * 2007-08-03 2009-02-06 세메스 주식회사 반도체 제조 설비
KR100929817B1 (ko) * 2007-10-23 2009-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 장치의 제조 방법
US8070410B2 (en) * 2008-02-05 2011-12-06 Lutz Rebstock Scalable stocker with automatic handling buffer
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
MY157637A (en) * 2009-03-18 2016-07-15 Oerlikon Advanced Technologies Ag Vacuum treatment apparatus
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US9312155B2 (en) * 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN103917466B (zh) * 2011-09-14 2019-01-04 布鲁克斯自动化公司 装载工位
WO2013072760A2 (en) * 2011-10-26 2013-05-23 Brooks Automation, Inc. Semiconductor wafer handling and transport
JP2013229373A (ja) * 2012-04-24 2013-11-07 Tokyo Electron Ltd 基板処理装置及びそのメンテナンス方法
US9385019B2 (en) * 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
WO2014025918A1 (en) * 2012-08-08 2014-02-13 Applied Materials, Inc Linked vacuum processing tools and methods of using the same
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs

Also Published As

Publication number Publication date
KR20240024872A (ko) 2024-02-26
US20170110350A1 (en) 2017-04-20
CN106992132A (zh) 2017-07-28
TWI780689B (zh) 2022-10-11
KR20170054241A (ko) 2017-05-17
KR102637157B1 (ko) 2024-02-14
CN106992132B (zh) 2020-06-09
US9502275B1 (en) 2016-11-22
TWI730007B (zh) 2021-06-11
US9929028B2 (en) 2018-03-27
TW201727799A (zh) 2017-08-01
TW202135211A (zh) 2021-09-16

Similar Documents

Publication Publication Date Title
CN106992132B (zh) 半导体制备和研发制造设施的资本设备上使用的检修隧道
US11764086B2 (en) Wafer transport assembly with integrated buffers
TWI471968B (zh) Vacuum processing device
KR20160115794A (ko) 기판 반송 방법 및 기판 처리 장치
US20140216658A1 (en) Vacuum processing device
KR20190036476A (ko) 기판 처리 장치, 기판 처리 방법 및 컴퓨터 기억 매체
US10304707B2 (en) Load lock interface and integrated post-processing module
JP2011054679A (ja) 基板処理装置
US20230085987A1 (en) Linear arrangement for substrate processing tools
KR20080071682A (ko) 로드락 챔버 및 이를 이용한 반도체 제조 장치
JP2012164850A (ja) 基板処理装置及び基板処理装置の表示方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination