KR20240024872A - 반도체 제작 및 연구 팹 내의 자본 장비에서 사용되는 서비스 터널 - Google Patents

반도체 제작 및 연구 팹 내의 자본 장비에서 사용되는 서비스 터널 Download PDF

Info

Publication number
KR20240024872A
KR20240024872A KR1020240019924A KR20240019924A KR20240024872A KR 20240024872 A KR20240024872 A KR 20240024872A KR 1020240019924 A KR1020240019924 A KR 1020240019924A KR 20240019924 A KR20240019924 A KR 20240019924A KR 20240024872 A KR20240024872 A KR 20240024872A
Authority
KR
South Korea
Prior art keywords
process module
wafer
wafer transfer
module
module frames
Prior art date
Application number
KR1020240019924A
Other languages
English (en)
Inventor
데이비드 트러셀
존 더허티
마이클 켈로그
크리스토퍼 페나
리차드 굴드
클레이 쿤켈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240024872A publication Critical patent/KR20240024872A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Robotics (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

웨이퍼들을 하나 이상의 프로세스 모듈들로 그리고 하나 이상의 프로세스 모듈들로부터 이송하도록 구성되는 웨이퍼 이송 어셈블리로서, 웨이퍼 이송 어셈블리는 적어도 하나의 웨이퍼 이송 모듈을 갖고, 적어도 하나의 웨이퍼 이송 모듈의 측면들은 하나 이상의 프로세스 모듈들에 커플링되도록 구성되는, 웨이퍼 이송 어셈블리; 웨이퍼 이송 어셈블리 아래에 규정된 서비스 플로어로서, 시스템이 배치되는 제조 설비 플로어의 높이보다 낮은 높이에 규정되는, 서비스 플로어를 포함하는, 기판들을 프로세싱하기 위한 시스템이 제공된다.

Description

반도체 제작 및 연구 팹 내의 자본 장비에서 사용되는 서비스 터널{SERVICE TUNNEL FOR USE ON CAPITAL EQUIPMENT IN SEMICONDUCTOR MANUFACTURING AND RESEARCH FABS}
본 실시예들은 반도체 웨이퍼 프로세싱 장비, 보다 구체적으로, 멀티-챔버 프로세스 툴 시스템들, 장치, 및 연관된 방법들에 관한 것이다.
반도체 제조 설비 (흔히 "팹 (fab)"으로 지칭됨) 에서, 공간은 제한되고 고가이고, 그리고 쉽게 증가되지 않을 수 있다. 그러므로, 효율적인 공간 활용이 최대 생산성을 달성하도록 목표된다. 그러나, 또한 유지보수 및 서비스를 위해 팹 내의 장비로의 적절한 액세스를 제공할 필요가 있다.
본 발명의 실시예들은 이 맥락에서 발생한다.
본 개시의 구현예들은 콤팩트한 (compact) 클러스터 툴 아키텍처에 관한 방법들, 장치, 및 시스템들을 제공한다. 아키텍처는 웨이퍼 이송 어셈블리 아래에 규정된 서비스 터널을 포함하고, 서비스 터널은 제조 설비 플로어의 레벨 이하인 서브플로어 공간을 레버리지한다 (leverage). 또한, 웨이퍼 이송 어셈블리는 콤팩트한 풋프린트 (footprint) 를 달성하도록 위치되고 그리고 웨이퍼 이송 어셈블리를 통한 웨이퍼 이동을 구성하기 위한 유연성을 제공하는 복수의 버퍼들을 포함할 수 있다.
본 개시의 구현예들에 따르면, 클러스터 툴 시스템의 세로 축을 따라 연장하는 웨이퍼 이송 어셈블리로서, 웨이퍼 이송 어셈블리는 EFEM (equipment front end module) 을 향하여 배향된 전방 단부를 갖고, 웨이퍼 이송 어셈블리는 전방 단부 반대편에 후방 단부를 갖는, 웨이퍼 이송 어셈블리; 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 웨이퍼 이송 어셈블리에 커플링된 적어도 2 개의 프로세스 모듈들로서, 웨이퍼 이송 어셈블리는 제 1 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들로 그리고 적어도 2 개의 프로세스 모듈들로부터 웨이퍼들을 이송하도록 구성되는, 적어도 2 개의 프로세스 모듈들; 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 웨이퍼 이송 어셈블리에 커플링된 적어도 2 개의 프로세스 모듈들로서, 웨이퍼 이송 어셈블리는 제 2 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들로 그리고 적어도 2 개의 프로세스 모듈들로부터 웨이퍼들을 이송하도록 구성되는, 적어도 2 개의 프로세스 모듈들; 및 웨이퍼 이송 어셈블리 밑에 규정된 서비스 터널로서, 서비스 터널은 웨이퍼 이송 어셈블리의 전방 단부로부터 후방 단부로 클러스터 툴 시스템의 세로 축을 따라 연장하고, 서비스 터널은 웨이퍼 이송 어셈블리 밑에 위치된 서비스 플로어와 웨이퍼 이송 어셈블리의 밑면 사이에 규정된 수직 치수를 갖고, 서비스 플로어는 클러스터 툴 시스템이 배치되는 제조 설비 플로어의 높이보다 낮은 높이에 규정되는, 서비스 터널을 포함하는, 웨이퍼들을 프로세싱하기 위한 클러스터 툴 시스템이 제공된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는 세로 축을 따라 서로 커플링되는 적어도 2 개의 웨이퍼 이송 모듈들을 포함하고, 웨이퍼 이송 모듈들 각각은 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링되는 프로세스 모듈들 중 일 프로세스 모듈에 커플링되고, 그리고 웨이퍼 이송 모듈들 각각은 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링되는 프로세스 모듈들 중 일 프로세스 모듈에 커플링된다.
일부 구현예들에서, 클러스터 툴 시스템은: 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들을 지지하도록 구성되고, 그리고 제조 설비 플로어 상에 놓이도록 더 구성된, 적어도 2 개의 프로세스 모듈 프레임들; 및 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들을 지지하도록 구성되고, 그리고 제조 설비 플로어 상에 놓이도록 더 구성된, 적어도 2 개의 프로세스 모듈 프레임들을 더 포함한다.
일부 구현예들에서, 계단 세트는 웨이퍼 이송 어셈블리의 후방 단부 밑에 실질적으로 규정되는 서비스 터널의 후방 단부에서 규정되고, 계단 세트는 제조 플로어로부터 서비스 플로어에 이르기까지의 경로를 규정하도록 구성된다.
일부 구현예들에서, 서비스 플로어의 높이는 제조 설비 플로어의 높이 이하인, 대략 1 피트 (30.5 ㎝) 내지 2 피트 (61 ㎝) 이다.
일부 구현예들에서, 웨이퍼 이송 어셈블리의 전방 측면은 EFEM으로 그리고 EFEM으로부터의 액세스를 제어하는 로드록에 대한 연결을 위해 구성되고, 서비스 터널의 전방 단부는 EFEM으로 실질적으로 연장한다.
일부 구현예들에서, 서비스 터널의 높이는 대략 6 피트 (183 ㎝) 내지 8 피트 (244 ㎝) 이다.
일부 구현예들에서, 서비스 터널의 제 1 측방향 측면은 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링된 프로세스 모듈들로의 액세스를 제공하고, 그리고 서비스 터널의 제 2 측방향 측면은 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링된 프로세스 모듈들로의 액세스를 제공한다.
일부 구현예들에서, 서비스 터널의 제 1 측방향 측면은 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링된 프로세스 모듈들에 대해 규정된 하나 이상의 가스 박스들로의 액세스를 제공하고, 그리고 서비스 터널의 제 2 측방향 측면은 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링된 프로세스 모듈들에 대해 규정된 하나 이상의 가스 박스들로의 액세스를 제공한다.
일부 구현예들에서, 서비스 터널은 SEMI E72 규격들을 준수한다.
본 개시의 구현예들에 따르면, 클러스터 툴 시스템의 세로 축을 따라 연장하는 웨이퍼 이송 어셈블리로서, 웨이퍼 이송 어셈블리는 EFEM을 향하여 배향된 전방 단부를 갖고, 웨이퍼 이송 어셈블리는 전방 단부 반대편에 후방 단부를 갖는, 웨이퍼 이송 어셈블리; 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 웨이퍼 이송 어셈블리에 커플링된 적어도 2 개의 프로세스 모듈들로서, 웨이퍼 이송 어셈블리는 제 1 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들로 그리고 적어도 2 개의 프로세스 모듈들로부터 웨이퍼들을 이송하도록 구성되는, 적어도 2 개의 프로세스 모듈들; 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 웨이퍼 이송 어셈블리에 커플링된 적어도 2 개의 프로세스 모듈들로서, 웨이퍼 이송 어셈블리는 제 2 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들로 그리고 적어도 2 개의 프로세스 모듈들로부터 웨이퍼들을 이송하도록 구성되는, 적어도 2 개의 프로세스 모듈들; 웨이퍼 이송 어셈블리 밑에 규정된 서비스 터널로서, 서비스 터널은 웨이퍼 이송 어셈블리의 전방 단부로부터 후방 단부로 클러스터 툴 시스템의 세로 축을 따라 연장하고, 서비스 터널은 웨이퍼 이송 어셈블리 밑에 위치된 서비스 플로어와 웨이퍼 이송 어셈블리의 밑면 사이에 규정된 수직 치수를 갖고, 서비스 플로어는 클러스터 툴 시스템이 배치되는 제조 설비 플로어의 높이보다 낮은 높이에 규정되고, 서비스 플로어의 높이는 제조 설비 플로어의 높이 이하인, 대략 1 피트 (30 ㎝) 내지 2 피트 (60 ㎝) 인, 서비스 터널; 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들을 지지하도록 구성되고, 그리고 제조 설비 플로어 상에 놓이도록 더 구성된, 적어도 2 개의 프로세스 모듈 프레임들; 및 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링된 적어도 2 개의 프로세스 모듈들을 지지하도록 구성되고, 그리고 제조 설비 플로어 상에 놓이도록 더 구성된, 적어도 2 개의 프로세스 모듈 프레임들을 포함하는, 웨이퍼들을 프로세싱하기 위한 클러스터 툴 시스템이 제공되고, 서비스 터널의 높이는 서비스 플로어의 높이 및 프로세스 모듈 프레임들의 높이에 의해 규정되고, 서비스 터널의 높이는 대략 6 피트 (180 ㎝) 내지 8 피트 (240 ㎝) 이다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는 세로 축을 따라 서로 커플링되는 적어도 2 개의 웨이퍼 이송 모듈들을 포함하고, 웨이퍼 이송 모듈들 각각은 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링되는 프로세스 모듈들 중 일 프로세스 모듈에 커플링되고, 그리고 웨이퍼 이송 모듈들 각각은 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링되는 프로세스 모듈들 중 일 프로세스 모듈에 커플링된다.
일부 구현예들에서, 계단 세트는 웨이퍼 이송 어셈블리의 후방 단부 밑에 실질적으로 규정되는 서비스 터널의 후방 단부에서 규정되고, 계단 세트는 제조 플로어로부터 서비스 플로어에 이르기까지의 경로를 규정하도록 구성된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리의 전방 측면은 EFEM으로 그리고 EFEM으로부터의 액세스를 제어하는 로드록에 대한 연결을 위해 구성되고, 서비스 터널의 전방 단부는 EFEM으로 실질적으로 연장한다.
일부 구현예들에서, 서비스 터널의 제 1 측방향 측면은 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링된 프로세스 모듈들로의 액세스를 제공하고, 그리고 서비스 터널의 제 2 측방향 측면은 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링된 프로세스 모듈들로의 액세스를 제공한다.
일부 구현예들에서, 서비스 터널의 제 1 측방향 측면은 웨이퍼 이송 어셈블리의 제 1 측방향 측면을 따라 커플링된 프로세스 모듈들에 대해 규정된 하나 이상의 가스 박스들로의 액세스를 제공하고, 그리고 서비스 터널의 제 2 측방향 측면은 웨이퍼 이송 어셈블리의 제 2 측방향 측면을 따라 커플링된 프로세스 모듈들에 대해 규정된 하나 이상의 가스 박스들로의 액세스를 제공한다.
일부 구현예들에서, 서비스 터널은 SEMI E72 규격들을 준수한다.
본 개시의 구현예들에 따르면, 제 1 웨이퍼 이송 모듈; 제 2 웨이퍼 이송 모듈; 제 1 웨이퍼 이송 모듈, 제 2 웨이퍼 이송 모듈, 및 버퍼 모듈이 단일 방향 축으로 정렬되도록, 제 1 웨이퍼 이송 모듈과 제 2 웨이퍼 이송 모듈 사이에 커플링된 버퍼 모듈로서, 버퍼 모듈은 제 1 버퍼 스택 및 제 2 버퍼 스택을 갖고, 제 1 버퍼 스택은 단일 방향 축의 제 1 측 상에 배치된 버퍼 모듈의 제 1 측방향 단부에 위치되고, 그리고 제 2 버퍼 스택은 단일 방향 축의 제 2 측 상에 배치된 버퍼 모듈의 제 2 측방향 단부에 위치되는, 버퍼 모듈을 포함하는 웨이퍼 이송 어셈블리가 제공되고; 단일 방향 축의 제 1 측 상에 배치된 제 1 웨이퍼 이송 모듈의 제 1 측면은 제 1 프로세스 모듈에 커플링되도록 구성되고; 단일 방향 축의 제 1 측 상에 배치된 제 2 웨이퍼 이송 모듈의 제 1 측면은 제 2 프로세스 모듈에 커플링되도록 구성되고; 버퍼 모듈의 제 1 측방향 단부는 제 1 및 제 2 웨이퍼 이송 모듈과 제 1 및 제 2 프로세스 모듈 사이에 네스팅되는 (nested) 제 1 측면 돌출부를 규정하고; 단일 방향 축의 제 2 측 상에 배치된 제 1 웨이퍼 이송 모듈의 제 2 측면은 제 3 프로세스 모듈에 커플링되도록 구성되고; 단일 방향 축의 제 2 측 상에 배치된 제 2 웨이퍼 이송 모듈의 제 2 측면은 제 4 프로세스 모듈에 커플링되도록 구성되고; 버퍼 모듈의 제 2 측방향 단부는 제 1 및 제 2 웨이퍼 이송 모듈과 제 3 및 제 4 프로세스 모듈 사이에 네스팅되는 제 2 측면 돌출부를 규정하고; 제 1 웨이퍼 이송 모듈, 제 2 웨이퍼 이송 모듈, 및 버퍼 모듈은 연속적인 제어된 분위기를 규정하도록 구성된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는 제 1 또는 제 3 프로세스 모듈들 중 하나로부터, 제 1 웨이퍼 이송 모듈로, 제 1 또는 제 2 버퍼 스택들 중 하나로, 제 2 웨이퍼 이송 모듈로, 제 2 또는 제 4 프로세스 모듈들 중 하나로의 웨이퍼를 위한 이송 경로를 규정하도록 구성된다.
일부 구현예들에서, 제 1 및 제 2 버퍼 스택들 각각은 대략 5 내지 10 개의 웨이퍼들을 저장하도록 구성된다.
일부 구현예들에서, 제어된 분위기는 진공 제어된 분위기로 규정된다.
일부 구현예들에서, 제 1 및 제 2 버퍼 스택들은 복수의 웨이퍼 저장 슬롯들을 규정하고, 웨이퍼 저장 슬롯들 중 적어도 하나는 웨이퍼 이송 어셈블리에 의해 규정된 제어된 분위기 내에 커버 웨이퍼를 저장하도록 구성된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는: 제 2 이송 모듈에 커플링되고 그리고 단일 방향 축을 따라 정렬된 제 2 버퍼 모듈을 더 포함하고, 제 2 버퍼 모듈은 단일 방향 축의 제 1 측 상에 배치된 제 2 버퍼 모듈의 제 1 측방향 단부에 위치된 제 3 버퍼 스택을 갖고, 제 2 버퍼 모듈은 단일 방향 축의 제 2 측 상에 배치된 제 2 버퍼 모듈의 제 2 측방향 단부에 위치된 제 4 버퍼 스택을 갖는다.
일부 구현예들에서, 제 2 버퍼 모듈의 제 1 측방향 단부는 제 3 측면 돌출부를 규정하고, 그리고 제 2 버퍼 모듈의 제 2 측방향 단부는 제 4 측면 돌출부를 규정한다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는: 제 2 버퍼 모듈에 커플링되고 그리고 단일 방향 축을 따라 정렬된 제 3 웨이퍼 이송 모듈을 더 포함하고, 단일 방향 축의 제 1 측 상에 배치된 제 3 웨이퍼 이송 모듈의 제 1 측면은 제 5 프로세스 모듈에 커플링되도록 구성되고, 그리고 단일 방향 축의 제 2 측 상에 배치된 제 3 웨이퍼 이송 모듈의 제 2 측면은 제 6 프로세스 모듈에 커플링되도록 구성된다.
일부 구현예들에서, 제 3 측면 돌출부는 제 2 및 제 3 웨이퍼 이송 모듈들과 제 2 및 제 5 프로세스 모듈들 사이에 네스팅되고; 그리고 제 4 측면 돌출부는 제 2 및 제 3 웨이퍼 이송 모듈들과 제 4 및 제 6 프로세스 모듈들 사이에 네스팅된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는 웨이퍼 이송 어셈블리의 전방 단부로부터 웨이퍼 이송 어셈블리의 후방 단부로 연장하는 서비스 터널 위에 규정된다.
본 개시의 구현예들에 따르면, 하우징; 하우징 내에 배치된 제 1 웨이퍼 이송 로봇; 하우징 내에 배치된 제 2 웨이퍼 이송 로봇; 하우징 내에 배치된 제 1 및 제 2 버퍼 스택들로서, 제 1 및 제 2 버퍼 스택들은 제 1 및 제 2 웨이퍼 이송 로봇들 사이에 위치되는, 제 1 및 제 2 버퍼 스택들을 포함하는 웨이퍼 이송 어셈블리이 제공되고; 제 1 인터페이스는 하우징의 제 1 측면을 따라 규정되고 그리고 제 1 프로세스 모듈에 커플링되도록 구성되고; 제 2 인터페이스는 하우징의 제 1 측면을 따라 규정되고 그리고 제 2 프로세스 모듈에 커플링되도록 구성되고; 제 1 측면 돌출부는 제 1 및 제 2 인터페이스들 사이에 하우징의 제 1 측면을 따라 규정되고, 제 1 측면 돌출부는 제 1 버퍼 스택을 위한 위치를 규정하고 그리고 제 1 및 제 2 웨이퍼 이송 로봇들과 제 1 및 제 2 프로세스 모듈들 사이에 네스팅되고; 제 3 인터페이스는 하우징의 제 2 측면을 따라 규정되고 그리고 제 3 프로세스 모듈에 커플링되도록 구성되고; 제 4 인터페이스는 하우징의 제 2 측면을 따라 규정되고 그리고 제 4 프로세스 모듈에 커플링되도록 구성되고; 제 2 측면 돌출부는 제 3 및 제 4 인터페이스들 사이에 하우징의 제 2 측면을 따라 규정되고, 제 2 측면 돌출부는 제 2 버퍼 스택을 위한 위치를 규정하고 그리고 제 1 및 제 2 웨이퍼 이송 로봇들과 제 3 및 제 4 프로세스 모듈들 사이에 네스팅되고; 웨이퍼 이송 어셈블리는 연속적인 제어된 분위기를 규정한다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는 제 1 또는 제 3 프로세스 모듈들 중 하나로부터, 제 1 웨이퍼 이송 로봇으로, 제 1 또는 제 2 버퍼 스택들 중 하나로, 제 2 웨이퍼 이송 로봇으로, 제 2 또는 제 4 프로세스 모듈들 중 하나로의 웨이퍼를 위한 이송 경로를 규정하도록 구성된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는: 진공 소스에 대한 커플링을 위한 커넥터를 더 포함하고, 연속적인 제어된 분위기는 진공 제어된 분위기로 규정된다.
일부 구현예들에서, 제 1 버퍼 스택의 상부 부분은 제 1 복수의 웨이퍼 저장 슬롯들을 규정하고, 제 1 버퍼 스택의 상부 부분은 제 1 복수의 웨이퍼 저장 슬롯들 각각 사이에 규정된 하나 이상의 분리기들을 갖고; 그리고 제 1 버퍼 스택의 하부 부분은 제 2 복수의 웨이퍼 저장 슬롯들을 규정하고, 제 1 버퍼 스택의 하부 부분은 제 2 복수의 웨이퍼 저장 슬롯들 각각 사이에 규정된 분리기들을 갖지 않는다.
일부 구현예들에서, 제 1 버퍼 스택의 하부 부분은 하나 이상의 커버 웨이퍼들 또는 시즈닝 (seasoning) 웨이퍼들을 저장하도록 구성된다.
일부 구현예들에서, 제 1 및 제 2 버퍼 스택들 각각은 대략 5 내지 10 개의 웨이퍼들을 저장하도록 구성된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는 웨이퍼 이송 어셈블리의 전방 단부로부터 웨이퍼 이송 어셈블리의 후방 단부로 연장하는 서비스 터널 위에 규정된다.
본 개시의 구현예들에 따르면, EFEM과 웨이퍼 이송 모듈 사이의 연결을 위해 구성된 제 1 로드록으로서, EFEM은 실험실 환경 조건에서 유지되고, 웨이퍼 이송 모듈은 진공 조건에서 유지되고, 웨이퍼 이송 모듈은 웨이퍼 이송 어셈블리에 연결되는 하나 이상의 프로세스 모듈들로 그리고 하나 이상의 프로세스 모듈들로부터 웨이퍼들을 이송하도록 구성되는 웨이퍼 이송 어셈블리의 부분인, 제 1 로드록; 제 1 로드록 위에 배치된 제 2 로드록으로서, 제 2 로드록은 EFEM과 웨이퍼 이송 모듈 사이의 연결을 위해 구성된, 제 2 로드록; 제 2 로드록 위에 배치된 포스트 프로세싱 모듈로서, 포스트 프로세싱 모듈은 웨이퍼 이송 어셈블리에 연결되는 프로세스 모듈들 중 적어도 하나 내에서 프로세싱되었던 프로세싱된 웨이퍼 상에서 포스트 프로세싱 동작을 수행하기 위해 구성되고, 포스트 프로세싱 모듈은 웨이퍼 이송 모듈에 대한 연결을 위해 구성되는, 포스트 프로세싱 모듈을 포함하는 로드록 어셈블리가 제공된다.
일부 구현예들에서, 포스트 프로세싱 모듈은 제 2 로드록 위에 적층되고, 그리고 제 2 로드록은 제 1 로드록 위에 적층된다.
일부 구현예들에서, 제 1 로드록은 EFEM으로부터 웨이퍼 이송 모듈 내로의 웨이퍼들의 이동을 위해 구성되고; 제 2 로드록은 웨이퍼 이송 모듈로부터 EFEM으로의 웨이퍼들의 이동을 위해 구성된다.
일부 구현예들에서, 포스트 프로세싱 모듈은 프로세싱된 웨이퍼 상에서 스트립 프로세스 또는 패시베이션 프로세스를 수행하도록 구성된다.
일부 구현예들에서, 로드록 어셈블리는: 제 1 로드록에 인접하게 배치된 제 3 로드록으로서, EFEM과 웨이퍼 이송 모듈 사이의 연결을 위해 구성된 제 3 로드록; 제 3 로드록 위에 그리고 제 2 로드록에 인접하게 배치된 제 4 로드록으로서, EFEM과 웨이퍼 이송 모듈 사이의 연결을 위해 구성된 제 4 로드록; 제 4 로드록 위에 배치된 제 2 포스트 프로세싱 모듈로서, 제 2 포스트 프로세싱 모듈은 프로세싱된 웨이퍼 상에서 포스트 프로세싱 동작을 수행하기 위해 구성되고, 제 2 포스트 프로세싱 모듈은 웨이퍼 이송 모듈에 대한 연결을 위해 구성되는, 제 2 포스트 프로세싱 모듈을 더 포함한다.
일부 구현예들에서, 제 2 포스트 프로세싱 모듈은 제 4 로드록 위에 적층되고, 그리고 제 4 로드록은 제 3 로드록 위에 적층된다.
일부 구현예들에서, 제 1 및 제 2 로드록들은 EFEM으로부터 웨이퍼 이송 모듈 내로의 웨이퍼들의 이동을 위해 구성되고; 제 3 및 제 4 로드록들은 웨이퍼 이송 모듈로부터 EFEM 내로의 웨이퍼들의 이동을 위해 구성된다.
본 개시의 구현예들에 따르면, EFEM; 웨이퍼 이송 어셈블리로서, 웨이퍼 이송 어셈블리는 제 1 프로세스 모듈 및 제 2 프로세스 모듈에 대한 연결을 위해 구성된 웨이퍼 이송 모듈을 포함하고, 웨이퍼 이송 모듈은 제 1 및 제 2 프로세스 모듈들로 그리고 제 1 및 제 2 프로세스 모듈들로부터 웨이퍼들을 이동시키기 위한 로봇을 포함하는, 웨이퍼 이송 어셈블리; 웨이퍼 이송 모듈의 전방 측면과 EFEM 사이에 연결된 제 1 로드록 및 제 2 로드록으로서, EFEM으로부터 웨이퍼 이송 모듈로의 웨이퍼들의 이동을 위해 구성되는 제 1 및 제 2 로드록들; 웨이퍼 이송 모듈의 전방 측면과 EFEM 사이에 연결된 제 3 로드록 및 제 4 로드록으로서, 웨이퍼 이송 모듈로부터 EFEM으로의 웨이퍼들의 이동을 위해 구성되는 제 3 및 제 4 로드록들을 포함하는 시스템이 제공된다.
일부 구현예들에서, 제 1 및 제 2 로드록들은 적층된 구성으로 위치되고; 제 3 및 제 4 로드록들은 제 1 및 제 2 로드록들에 인접하게 적층된 구성으로 위치된다.
일부 구현예들에서, 시스템은: 웨이퍼 이송 모듈의 전방 측면에 연결된 제 1 포스트 프로세싱 모듈로서, 프로세싱된 웨이퍼 상에서 포스트 프로세싱 동작을 수행하도록 구성되는, 제 1 포스트 프로세싱 모듈; 웨이퍼 이송 모듈의 전방 측면에 연결된 제 2 포스트 프로세싱 모듈로서, 프로세싱된 웨이퍼 상에서 포스트 프로세싱 동작을 수행하도록 구성되는, 제 2 포스트 프로세싱 모듈을 더 포함한다.
일부 구현예들에서, 제 1 또는 제 2 프로세스 모듈은 에칭 동작을 수행하도록 구성되고, 그리고 제 1 또는 제 2 포스트 프로세싱 모듈은 에칭 동작의 수행 후에 스트립 동작을 수행하도록 구성된다.
일부 구현예들에서, 로봇은 에칭 동작의 수행을 위해 웨이퍼를 제 1 로드록으로부터 제 1 프로세스 모듈로 수송하고, 이어서 스트립 동작의 수행을 위해 웨이퍼를 제 1 프로세스 모듈로부터 제 1 포스트 프로세싱 모듈로 수송하고, 이어서 웨이퍼 이송 어셈블리를 나가기 위해서 웨이퍼를 제 1 포스트 프로세싱 모듈로부터 제 3 로드록으로 수송하도록 구성된다.
본 개시의 구현예들에 따르면, 웨이퍼를 EFEM으로부터 제 1 로드록을 통해 웨이퍼 이송 어셈블리 내로 수송하는 단계로서, 제 1 로드록은 EFEM과 웨이퍼 이송 어셈블리 사이에 연결되는, 웨이퍼를 수송하는 단계; 웨이퍼 상에서 프로세스 동작을 수행하도록 구성되는 프로세스 모듈 내로 웨이퍼를 이동시키는 단계로서, 프로세스 모듈은 웨이퍼 이송 어셈블리에 연결되는, 웨이퍼를 이동시키는 단계; 프로세스 동작의 수행 후에, 웨이퍼 상에서 포스트 프로세싱 동작을 수행하기 위해 구성된 포스트 프로세싱 모듈 내로 웨이퍼를 이동시키는 단계로서, 포스트 프로세싱 모듈은 웨이퍼 이송 어셈블리에 연결되고 그리고 제 1 로드록과 수직으로 적층된 구성으로 배치되는, 웨이퍼를 이동시키는 단계; 포스트 프로세싱 동작의 수행 후에, 웨이퍼를 포스트 프로세싱 모듈로부터 웨이퍼 이송 어셈블리에 연결되는 제 2 로드록을 통해 EFEM으로 이동시키는 단계로서, 제 2 로드록은 EFEM과 웨이퍼 이송 어셈블리 사이에 연결되는, 웨이퍼를 이동시키는 단계를 포함하는, 웨이퍼를 프로세싱하기 위한 방법이 제공된다.
일부 구현예들에서, 프로세스 동작은 에칭 동작이고, 그리고 포스트 프로세싱 동작은 스트립 동작이다.
일부 구현예들에서, 제 2 로드록은 제 1 로드록과 수직으로 적층된 구성으로 배치된다.
일부 구현예들에서, 제 2 로드록은 제 1 로드록 및 패시베이션 모듈에 의해 규정된 수직으로 적층된 구성에 인접하게 위치된다.
도 1a는 본 개시의 구현예들에 따른, 웨이퍼들을 프로세싱하기 위한 클러스터 툴의 일부분의 단면을 개념적으로 예시한다.
도 1b는 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 사시도를 예시한다.
도 1c는 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 사시도를 예시한다.
도 1d는 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 단면도를 예시한다.
도 1e는 본 개시의 구현예들에 따른, 웨이퍼들을 프로세싱하기 위한 클러스터 툴의 일부분의 단면을 개념적으로 예시한다.
도 1f는 본 개시의 구현예들에 따른, 웨이퍼들을 프로세싱하기 위한 클러스터 툴의 절단도 (cut-away view) 를 예시한다.
도 2는 본 개시의 구현예들에 따른, 시스템의 다양한 장비 피스들의 개념적인 절단부들 (cutaways) 을 예시하는, 클러스터 툴 시스템의 부감도를 예시한다.
도 3a는 본 개시의 구현예들에 따른, 웨이퍼 이송 어셈블리의 사시도이다.
도 3b는 본 개시의 구현예들에 따른, 웨이퍼 이송 어셈블리의 내부의 뷰 (view) 를 제공하도록, 커버 플레이트들이 설치되지 않은, 도 3a의 웨이퍼 이송 어셈블리의 사시도를 예시한다.
도 4a는 본 개시의 구현예들에 따른, 웨이퍼 이송 모듈의 사시도를 예시한다.
도 4b는 본 개시의 구현예들에 따른, 웨이퍼 이송 모듈 (102) 에 부착된 버퍼 모듈 (410) 을 가진 웨이퍼 이송 모듈 (102) 의 사시도를 예시한다.
도 5는 본 개시의 구현예들에 따른, 버퍼 스택 (500) 의 단면도를 개념적으로 예시한다.
도 6은 본 개시의 구현예들에 따른, 버퍼 스택을 도시하는, 버퍼 모듈의 일부분의 단면도를 예시한다.
도 7은 본 개시의 구현예들에 따른, 웨이퍼가 시스템의 다양한 컴포넌트들 (components) 을 통해 이동될 때 웨이퍼의 배향을 강조하는, 클러스터 툴 시스템을 예시한다.
도 8은 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 일부분의 단면도를 예시한다.
도 9는 본 개시의 구현예들에 따른, 통합된 포스트 프로세싱 모듈들을 가진 로드록들의 구성을 개념적으로 예시한다.
도 10은 본 개시의 시스템들을 제어하기 위한 제어 모듈을 도시한다.
본 개시의 구현예들은 웨이퍼 이송 어셈블리 아래에 규정된 서비스 터널의 형태로 SEMI-준수하는 액세스 공간을 가진 콤팩트한 풋프린트를 달성하는 클러스터 툴 아키텍처에 관한 방법들, 장치, 및 시스템들을 제공한다. 웨이퍼 이송 어셈블리는 웨이퍼 이송 어셈블리의 나머지와 동일한 제어된 분위기 (예를 들어, 진공 조건) 에서 유지되고, 그리고 웨이퍼 이송 어셈블리를 통한 웨이퍼 이동을 구성하기 위해 큰 유연성을 제공하는 몇몇의 버퍼들을 더 포함할 수도 있다. 본 실시예들은 수많은 방식들, 예컨대, 프로세스, 장치, 시스템, 디바이스, 재료, 또는 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들은 이하에 기술된다.
도 1a는 본 개시의 구현예들에 따른, 웨이퍼들을 프로세싱하기 위한 클러스터 툴의 일부분의 단면을 개념적으로 예시한다. 예시된 구현예에서, 제조 설비 플로어 (116) 가 도시되고, 제조 설비 플로어 (116) 위에 장비가 위치될 수도 있다. 제조 설비 플로어 (116) 는 하부 서브플로어 (120) 위에 지지되는 상승된 플로어로서 규정된다. 제조 설비 플로어 (116) 는 팹 분위기로부터 미립자들을 제거하도록 타일들을 통한 기류를 허용하기 위해 관통될 수도 있는 일련의 타일들에 의해 규정될 수 있다. 타일들은 서브플로어 (120) 위의 지지대들 (118) 에 의해 지지된다. 일부 구현예들에서, 제조 설비 플로어와 서브플로어 (120) 사이의 거리는 대략 2 피트 (대략 60 ㎝) 이다. 일부 구현예들에서, 제조 설비 플로어와 서브플로어 (120) 사이의 거리는 대략 1.5 내지 2.5 피트 (대략 45 내지 75 ㎝) 의 범위 내이다. 일부 구현예들에서, 제조 설비 플로어 (116) 와 서브플로어 (120) 사이의 거리는 대략 1 내지 4 피트 (대략 0.3 내지 1.2 m) 의 범위 내이다.
일부 구현예들에서, 서브플로어 (120) 는 콘크리트 워플 슬래브 (waffle slab) 에 의해 규정된다. 제조 설비 플로어 (116) 와 서브플로어 (120) 사이에 규정되는 서브플로어 공간 (121) 은 프로세스 가스 라인들, 진공 라인들, 전기/RF 라인들/피드들, 데이터 케이블들, 액체 공급 라인들, 등과 같은 다양한 설비들 라인들의 통로를 위해 활용될 수 있다. 이러한 라인들의 통로는 서브플로어 (120) 를 따라 있을 수 있고 그리고 또한 서브플로어 (120) 를 통해 플로어로 아래로 연장할 수도 있고, 아래의 플로어 상에 위치된 지지 장비에 대한 연결을 허용한다는 것이 이해될 것이다.
프로세스 모듈들 (100 및 106) 은 제조 설비 플로어 (116) 위에 상승된 높이에 위치된다. 보다 구체적으로, 예시된 구현예에서, 프로세스 모듈들 (100 및 106) 은 프로세스 모듈 프레임들 (108 및 110) 에 의해 각각 지지된다. 각각의 프로세스 모듈 프레임은 프로세스 모듈의 동작을 위해 요구되는 다양한 설비들 및 장비를 수용하기 위해서 프로세스 모듈 프레임의 각각의 프로세스 모듈을 상승시키도록 그리고 이에 따라 프로세스 모듈 아래에 하부 공간을 제공하도록 구성된다. 프로세스 모듈 아래에 설비들을 위치시킴으로써, 동작을 위해 요구되는 수평 공간이 보존되고, 이는 프로세스 모듈들이 미리 결정된 클러스터 툴 시스템 내에서 서로 보다 가깝게 배치되게 하고, 그리고 이에 따라 또한 인접한 클러스터 툴 시스템들이 서로 보다 가깝게 배치되게 한다.
일부 구현예들에서, 프로세스 모듈 프레임에 의해 규정되는 프로세스 모듈 아래의 공간은 프로세스 모듈로부터 하측으로 연장하는 미리 규정된 수직 길이를 가진 RF 피드를 수용하도록 최소 높이를 갖기 위해서 구성된다. RF 피드 구조체는 프로세스 모듈의 챔버 내에서 위 그리고 아래로 이동되도록 구성되는 척에 연결될 수도 있고, 이에 따라 또한 RF 피드 구조체를 위 그리고 아래로 이동시키고, 그래서 프로세스 모듈 프레임은 이러한 수직 이동들을 수용하도록 앰플 높이를 제공하기 위해서 구성된다.
프로세스 모듈들 및 클러스터 툴 시스템들이 보다 타이트하게 함께 패킹되기 (packed) 때문에, 액세스 공간은 제조 설비의 미리 결정된 영역 내의 장비 피스들 및 클러스터 툴 시스템들의 수에 대해 보다 제한되게 된다. 이것은 장비에 대한 제한된 액세스가 서비스 또는 수리 동작들을 수행하기에 보다 어렵게 만들기 때문에 문제가 많을 수 있고, 그리고 장비의 부분들 또는 장비의 전체 피스들을 디스어셈블하거나 이동시키는 것과 같이, 장비에 대한 필수적인 액세스를 얻기 위해서 부가적인 단계들을 요구할 수도 있다. 이러한 부가적인 단계들은 정지시간을 증가시킬 것이고 그리고 따라서 다른 경우라면 콤팩트한 풋프린트 아키텍처를 통해 달성될 수율 이득의 일부를 감소시킬 것이다.
이들 이슈들을 처리하도록, 본 개시의 구현예들에 따르면, 서비스 터널 (124) 은 클러스터 툴의 (웨이퍼 이송 모듈 (102) 을 포함한) 웨이퍼 이송 모듈들 아래의 공간에 제공된다. 서비스 터널 (124) 은 웨이퍼 이송 모듈 (102) 아래의 서비스 플로어 (122) 에 의해 더 규정된다. 서비스 플로어 (122) 는 제조 설비 플로어 (116) 에 비해 드롭된 (dropped) 플로어이고, 그리고 제조 설비 플로어의 높이 이하이지만 서브플로어 (120) 이상인 높이에 규정된다. 그러므로 서비스 플로어 (122) 는 다른 경우에 제조 설비 플로어 (116) 와 서브플로어 (120) 사이에 존재할 서브플로어 공간을 레버리지하고, 그리고 서비스 터널 (124) 에 부가적인 높이를 제공하도록 이 공간을 활용한다. 그러므로 서비스 터널 (124) 은 웨이퍼 이송 모듈 (102) 과 서비스 플로어 (122) 사이에 규정되고, 그리고 평균 사이즈의 인간 (대략 5 내지 6 피트 (대략 150 내지 180 ㎝) 키) 이 서 있기에 충분한 높이 H1을 갖는다. 일부 구현예들에서, 높이 H1은 대략 2 내지 8 피트 (대략 0.6 내지 2.4 m) 의 범위 내에 있다. 일부 구현예들에서, 높이 H1은 대략 5.5 내지 7.5 피트 (대략 1.7 내지 2.3 m) 의 범위 내에 있다. 일부 구현예들에서, 높이 H1은 대략 7 피트 (대략 2.1 m) 이다.
본 개시의 구현예들에 따라 규정된 바와 같이 서비스 터널 (124) 및 클러스터 툴 아키텍처는 반도체 제작 장비를 위한 공간 필요조건들을 지배하는 SEMI (Semiconductor Equipment and Materials International) E72 규격들을 준수한다는 것이 이해되어야 한다.
예시된 구현예에서, 웨이퍼 이송 모듈 (102) 의 부분인 로봇 액추에이터 (104) 가 본 개시의 완성도를 위해 도시된다. 도시된 바와 같이 로봇 액추에이터 (104) 는 웨이퍼 이송 모듈 (102) 의 메인 바디로부터 하측으로 연장하는 대략 실린더형 구조체인 것으로 고려된다. 로봇 액추에이터 (104) 에 인접하게 (로봇 액추에이터 앞에, 뒤에, 그리고 측면에), 서비스 터널 공간의 높이는 서비스 플로어 (122) 로부터 웨이퍼 이송 모듈 (102) 의 하단으로 연장하고, 평균 사이즈의 인간이 장애 없이 서있기에 충분한 높이 H1을 제공한다.
서비스 터널 (124) 은 프로세스 모듈들 (100 및 106) 사이, 그리고 또한 프로세스 모듈 프레임들 (108 및 110) 사이에 규정된다. 일부 구현예들에서, 프로세스 모듈들 (100 및 106) 각각을 서비스하는 가스 박스들 (112 및 114) 은 서비스 터널 (124) 의 측면들을 따라 위치된다. 그러므로 서비스 터널 (124) 은 폭 W1을 가질 수도 있다. 일부 구현예들에 따르면, 폭 W1은 대략 2 내지 6 피트 (대략 0.6 내지 1.8 m) 의 범위 내이다. 일부 구현예들에서, 폭 W1은 대략 2.5 내지 4 피트 (대략 0.7 내지 1.3 m) 의 범위 내이다. 일부 구현예들에서, 폭 W1은 대략 3 내지 3.5 피트 (대략 0.9 내지 1.1 m) 의 범위 내이다. 프로세스 모듈 프레임들의 내부 대면 부분들은 서비스 터널을 위한 측벽들을 규정한다.
서비스 터널 (124) 은 클러스터 툴 시스템의 내부 구역으로부터 클러스터 툴 시스템의 장비로의 액세스를 제공한다. 이 액세스는 클러스터 툴 시스템의 다른 장비 및 프로세스 모듈들이 시스템의 풋프린트를 감소시키도록 서로 매우 가까이 위치되기 때문에 중요하다. 보다 구체적으로, 서비스 터널 (124) 은 웨이퍼 이송 모듈 (102) 의 밑면으로의 액세스, 그리고 프로세스 모듈들 (100 및 106) 의 내부-대면 측면들로의 액세스를 제공한다. 가스 박스들 (112 및 114) 은 프로세스 모듈들 (100 및 106) 을 각각 서비스하는 가스 라인들로의 액세스를 제공한다. 가스 박스들 (112 및 114) 은 서비스 터널의 측벽들을 따라 규정되고 그리고 또한 서비스 터널 (124) 로부터 액세스 가능하다.
서비스 터널의 내부 높이 H1은 시스템의 다양한 컴포넌트들의 수직 치수들에 의해 규정되고, 수직 치수들은 제조 설비 플로어 (116) 의 레벨 이하인 서비스 플로어 (122) 의 깊이 D1, 뿐만 아니라 프로세스 모듈 프레임들 (108 및 110) 이 프로세스 모듈들 (100 및 106) 을 상승시키도록 구성되는 높이 H2를 포함한다. 서비스 플로어를 위해 이용 가능한 깊이는 서브플로어 위의 팹 플로어의 높이 (elevation), 즉, 높이 H1에 의존한다. 그러므로, 다양한 구현예들에서, 서비스 플로어의 깊이 D1은 0 내지 H1의 값의 범위일 수도 있다. 일부 구현예들에서, 깊이 D1은 대략 0 내지 4 피트 (대략 0 내지 60 ㎝) 의 범위이다. 일부 구현예들에서, 깊이 D1은 대략 1 내지 2 피트 (대략 30 내지 60 ㎝) 의 범위이다. 일부 구현예들에서, 깊이 D1은 대략 1.5 내지 1.8 피트 (대략 45 내지 55 ㎝) 의 범위이다.
일부 구현예들에서, 프로세스 모듈 프레임들의 높이 H2는 대략 2 내지 6 피트 (대략 0.6 내지 1.8 m) 의 범위 내이다. 일부 구현예들에서, 높이 H2는 대략 3 내지 6 피트 (대략 0.9 내지 1.8 m) 의 범위 내이다. 일부 구현예들에서 높이 H2는 대략 2.5 내지 4.5 피트 (대략 0.8 내지 1.4 m) 의 범위 내이다. 일부 구현예들에서 서비스 터널 (124) 은 웨이퍼 이송 모듈 (102) 과 같이, 하나 이상의 웨이퍼 이송 모듈들에 의해 규정되는 웨이퍼 이송 어셈블리 밑에 규정된다. 일부 구현예들에서, 서비스 터널은 세로로 EFEM에 규정된 전방 단부로부터 최후방 웨이퍼 이송 모듈의 배면에 의해 규정된 후방 단부로 연장한다. 서비스 터널 (124) 의 서비스 플로어 (122) 는 사람들로 하여금 피트 (pit) 내에 방해받지 않고 서있게 하도록 충분한 수직 높이를 제공하기 위해서, 웨이퍼 이송 어셈블리 아래에 위치된 피트를 규정하는 것으로 개념적으로 이해될 수 있다.
서비스 터널 (124) 내로의 진입은 제조 설비 플로어에 대해 개방되는 서비스 터널의 후방 단부로부터 제공된다. 서비스 터널 (124) 에 진입하고 서비스 터널 (124) 을 나가기 위해서, 서비스 플로어 (122) 로부터 제조 설비 플로어 (116) 까지의 경로를 규정하는, 서비스 터널 (124) 의 후방 단부에 사다리 또는 계단들 (steps)/계단들 (stairs) 의 세트가 위치될 수 있다. 일부 구현예들에서, 접히지 않을 때 계단들을 형성하지만, 사용하지 않을 때 또한 접힐 수 있는, 접을 수 있는 계단 사다리가 제공될 수 있다. 이 방식으로, 필요할 때 진입하거나/나가기 위한 계단들이 제공되지만, 필요하지 않을 때 집어 넣어질 수 있고 그러므로 표준화된 서비스 플로어 공간 필요조건들을 충족할 목적들을 위해 서비스 플로어 공간을 차지하지 않는다. 일부 구현예들에서, 접힐 때, 계단 사다리는 서비스 터널 내로의 진입을 차단하도록 구성될 수도 있고, 시스템의 안전성을 향상시키고 그리고 사람들이 서비스 터널 내로 실수로 떨어지는 것을 방지한다.
도 1b는 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 사시도를 예시한다. 예시된 구현예에서, 서비스 터널 (124) 의 후방 단부 개구가 보인다. 도시된 바와 같이, 서비스 터널 (124) 은 웨이퍼 이송 어셈블리 (209) 밑에 규정되고, EFEM (200) 을 향하여 배향되는 웨이퍼 이송 어셈블리 (209) 의 전방 단부로부터, 전방 단부 반대편의 웨이퍼 이송 어셈블리 (209) 의 후방 단부로 연장한다.
도 1c는 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 사시도를 예시한다. 예시된 구현예에서, 팹 레벨 및 서브-팹 레벨이 도시되고, 프로세스 모듈들의 동작을 위한 지지 장비가 서브-팹 레벨에 위치된다. 서비스 터널 (124) 의 전방 단부 (128) 가 예시된 구현예에서 보인다. 본 개시의 구현예들에 따라 도시된 바와 같이, 서비스 터널 (124) 의 전방 단부 (128) 는 EFEM (200) 으로 연장할 수도 있다.
도 1d는 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 단면도를 예시한다. 예시된 구현예는 다시 팹 레벨과 서브-팹 레벨 사이의 관계를 도시한다. 예시된 사람들은 대략 스케일대로 도시되고, 사람들이 기동하기 (maneuver) 위한 서비스 터널 (124) 내의 이용 가능한 공간의 지표를 제공한다.
도 1e는 본 개시의 구현예들에 따른, 웨이퍼들을 프로세싱하기 위한 클러스터 툴의 일부분의 단면을 개념적으로 예시한다. 도 1e의 구현예에서, 서비스 터널 (124) 의 플로어는 팹 플로어 (116) 의 레벨에 규정된다. 일부 구현예들에서, 서비스 터널 (124) 의 플로어는 팹 플로어 (116) 에 의해 규정될 수 있다. 반면에, 다른 구현예들에서, 팹 플로어 (116) 의 레벨에 규정되는 동안, 서비스 터널 (124) 의 플로어는 주변 팹 플로어 (116) 의 구조체와 상이한 구조체를 가질 수도 있다. 프로세스 모듈 프레임들 (108 및 110) 의 높이 H2는 도 1a의 구현예와 비교할 때 보다 높을 것임이 이해될 것이다. 도 1e를 연속 참조하여 도시된 바와 같은 구현예들에서, 서비스 터널 (124) 의 플로어는 팹 플로어 (116) 의 레벨에 규정되고, 프로세스 모듈 프레임들의 높이 H2는 통상적으로 약 2 내지 6 피트 (대략 0.6 내지 1.8 m) 의 범위이다. 일부 구현예들에서, 높이 H2는 약 4.5 내지 6.5 피트 (대략 1.4 내지 2 m) 의 범위이다. 일부 구현예들에서, 높이 H2는 약 4 내지 7 피트 (대략 1.2 내지 2.1 m) 의 범위이다.
도 1f는 본 개시의 구현예들에 따른, 웨이퍼들을 프로세싱하기 위한 클러스터 툴의 절단도 (cut-away view) (세로의 전방-대-후방 축을 따른 수직 절단부) 를 예시한다. 그러므로 서비스 터널 (124) 의 내부가 예시된 도면에 도시된다. 가스 박스들 (114, 134, 및 136) 은 프로세스 모듈들 (106, 214, 및 220) 각각으로 피딩되는 가스 라인들로의 액세스를 제공한다. 가스 박스들은 팹으로부터 공기를 배기하는 스크러빙된 (scrubbed) 배기 배관 (132) 위에 위치된다. 예시된 구현예에서, 배기 배관 (132) 의 측면 커버가 제거된다. 가스 박스들은 팹으로부터의 공기로 하여금 구멍들 (137) 을 통해 그리고 배기 배관 (132) 내로 흐르게 하도록 구멍들 (137) 을 포함한다. 도면의 파선 화살표들은 팹의 서브플로어를 통한 궁극적인 라우팅 및 제거를 위해, 가스 박스들을 통한 그리고 배기 배관 내로의 기류의 방향을 예시한다.
또한, 일부 구현예들에서, 가스 라인들 (138) 은 배기 배관 (132) 의 내부에 위치된다. 배기 배관을 통해 가스 라인들을 이음으로써, 팹 내의 공간이 보존되고, 배기 배관으로 하여금 부가적인 기능을 하게 한다. 게다가, 배기 배관 내에 가스 라인들을 위치시킴으로써, 임의의 누출된 가스 종이 배기 배관을 통해 바로 배기되기 때문에, 가스 라인 누출들에 기인한 팹 내의 오염에 대한 가능성이 최소화된다. 오염의 위험이 최소화되기 때문에, 그러면 (다른 경우에 배기 배관의 외부에 위치된 가스 라인들을 위해 활용되는 것보다) 보다 저 등급의 가스 라인 재료를 사용하는 것이 가능할 수도 있고, 이에 따라 비용을 감소시킨다.
도 2는 본 개시의 구현예들에 따른, 시스템의 다양한 장비 피스들의 개념적인 절단부들 (cutaways) 을 예시하는, 클러스터 툴 시스템의 부감도를 예시한다. 클러스터 툴 시스템의 전방부는 복수의 웨이퍼 이송 컨테이너들 (204a, 204b, 204c, 및 204d) 을 각각 수용하기 위한 복수의 로드 포트들 (202a, 202b, 202c, 및 202d) 을 포함하는 EFEM (200) 에 의해 규정된다. 일부 구현예들에서, 웨이퍼 이송 컨테이너들은 FOUP들 (front opening unified pods) 이다. EFEM (200) 은 버퍼 스테이션들 (206a, 206b, 및 206c) 을 더 포함할 수도 있다. EFEM (200) 및 EFEM (200) 의 버퍼 스테이션들 (206a, 206b, 및 206c) 은 제어된 환경 조건들 하에서 또는 대기 조건들 하에서 동작될 수 있다.
웨이퍼 이송 어셈블리 (209) 내로의 통로를 규정하는 로드록 (208) 은 EFEM (200) 의 후방에 연결된다. 웨이퍼 이송 어셈블리는 직렬로 연결되고, 그리고 로드록 (208) 으로부터 다시 연장하는 복수의 웨이퍼 이송 모듈들 (102, 212, 및 218) 에 의해 규정된다. 웨이퍼 이송 모듈들 각각은 인접한 프로세스 모듈들 내로의 진입, 및 인접한 프로세스 모듈들로부터 퇴장을 제어한다. 예를 들어, 웨이퍼 이송 모듈 (102) 은 인접한 프로세스 모듈들 (100 및 106) 내로 또는 외부로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼 이송 모듈 (212) 은 인접한 프로세스 모듈들 (210 및 214) 내로 또는 외부로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼 이송 모듈 (218) 은 인접한 프로세스 모듈들 (216 및 220) 내로 또는 외부로 웨이퍼들을 이동시키도록 구성된다.
웨이퍼 이송 모듈들 각각은 웨이퍼들을 인게이징하고 그리고 웨이퍼들을 픽업하고 그리고 웨이퍼들을 이송하도록 구성된 로봇 (로보틱 웨이퍼 핸들러) 을 포함한다. 예시된 구현예에서, 웨이퍼 이송 모듈들 (102, 212, 및 218) 은 로봇들 (222, 224, 및 226) 을 각각 포함한다. 로봇들은 웨이퍼들과 인게이징하기 위해 구성되는 단부 이펙터들을 가질 수도 있다. 그러므로 웨이퍼 이송 모듈들의 로봇들은 웨이퍼 이송 어셈블리 (209) 내에서 웨이퍼들을 이동시키도록, 그리고 또한 인접한 프로세스 모듈들 내로 또는 외부로 웨이퍼들을 이동시키도록 구성된다.
예시된 구현예에서, 웨이퍼 이송 어셈블리 (209) 는 웨이퍼 이송 모듈들 (102, 212, 및 218) 에 의해 규정된다. 웨이퍼 이송 어셈블리 (209) 는 로드록 (208) 으로부터 후방으로 최후방 웨이퍼 이송 모듈 (218) 의 배면으로 연장한다. 이전에 주지된 바와 같이, 서비스 터널 (124) 은 웨이퍼 이송 어셈블리 (209) 밑에 규정된다. 일부 구현예들에서, 서비스 터널 (124) 은 (웨이퍼 이송 모듈 (102) 의 전방 단부에 의해 규정된) 웨이퍼 이송 어셈블리 (209) 의 전방 단부로부터 (웨이퍼 이송 모듈 (218) 의 후방 단부에 의해 규정된) 웨이퍼 이송 어셈블리 (209) 의 후방 단부로 연장한다. 일부 구현예들에서, 서비스 터널 (124) 은 서비스 터널 (124) 의 전방 단부에서 EFEM (200) 으로 연장한다. 서비스 터널 (124) 은 예를 들어, 웨이퍼 이송 모듈들의 로봇들 (222, 224, 및 226) 을 서비스하도록, 웨이퍼 이송 모듈들 (102, 212, 및 218) 의 밑면들로의 액세스를 제공한다.
웨이퍼 이송 어셈블리 (209) 는 미리 결정된 웨이퍼 이송 모듈과 인접한 프로세스 모듈 사이의 개구를 제어하는 게이트 밸브들을 더 포함한다. 예시된 구현예에서, 게이트 밸브 (228) 는 웨이퍼 이송 모듈 (102) 과 프로세스 모듈 (100) 사이의 개구를 제어하고; 게이트 밸브 (230) 는 웨이퍼 이송 모듈 (102) 과 프로세스 모듈 (106) 사이의 개구를 제어하고; 게이트 밸브 (232) 는 웨이퍼 이송 모듈 (212) 과 프로세스 모듈 (210) 사이의 개구를 제어하고; 게이트 밸브 (234) 는 웨이퍼 이송 모듈 (212) 과 프로세스 모듈 (214) 사이의 개구를 제어하고; 게이트 밸브 (236) 는 웨이퍼 이송 모듈 (218) 과 프로세스 모듈 (216) 사이의 개구를 제어하고; 게이트 밸브 (238) 는 웨이퍼 이송 모듈 (218) 과 프로세스 모듈 (220) 사이의 개구를 제어한다.
미리 결정된 게이트 밸브는 웨이퍼로 하여금 대응하는 웨이퍼 이송 모듈에 의해 인접한 프로세스 모듈 내로 또는 인접한 프로세스 모듈 외부로 이송되게 하도록 개방될 수 있다. 게이트 밸브는 예를 들어, 프로세스 모듈 내에 배치되는 웨이퍼의 프로세싱을 위해 또는 웨이퍼 이송 모듈로부터 프로세스 모듈의 격리를 요구하는 임의의 다른 동작의 수행을 위해 인접한 프로세스 모듈을 격리하도록 폐쇄될 수도 있다. 일부 구현예들에서, 게이트 밸브들 (228, 230, 232, 234, 236, 및 238) 는 웨이퍼 이송 어셈블리 (209) 와 통합된다. 게이트 밸브들을 웨이퍼 이송 어셈블리 (209) 와 통합함으로써, 웨이퍼 이송 어셈블리의 전체 풋프린트는 (비통합된 게이트 밸브들을 가진 웨이퍼 이송 어셈블리와 비교하여) 감소된다. 웨이퍼 이송 어셈블리 (209) 의 내부 분위기는 제어되고, 그리고 진공 분위기 또는 제어된 주변 분위기로서 규정될 수 있다. 일부 구현예들에서, 웨이퍼 이송 어셈블리 (209) 는 비활성 가스로 충진된다. 다양한 구현예들에서, 웨이퍼 이송 어셈블리 (209) 는 대기 조건으로부터 진공 조건으로의 범위의 압력 조건들 하에서 동작된다. 본 개시의 일부 구현예들에 따르면, 진공 조건들은 약 760 Torr 미만인 내부 압력에 의해 규정될 수 있다. 본 개시의 일부 구현예들에 따르면, 진공 조건들은 약 10 Torr 미만인 내부 압력에 의해 규정될 수 있다. 일부 구현예들에서, 진공 조건은 약 1×10-9 Torr 내지 약 1 Torr 범위의 내부 압력에 의해 규정된다.
계속해서 도 2를 참조하면, 본 개시의 구현예들에 따르면, 복수의 버퍼 스택들이 웨이퍼 이송 어셈블리 (209) 내에 규정된다. 버퍼 스택들 (240 및 242) 은 웨이퍼 이송 모듈들 (102 및 212) 사이에 규정된다. 버퍼 스택들 (244 및 246) 은 웨이퍼 이송 모듈들 (212 및 218) 사이에 규정된다. 버퍼 스택들 (248 및 250) 은 웨이퍼 이송 모듈 (218) 의 배면에 규정된다. 버퍼 스택들은 웨이퍼 이송 어셈블리 (209) 내에 규정되고, 그리고 이와 같이, 버퍼 스택들은 웨이퍼 이송 어셈블리의 환경과 동일한 제어된 분위기를 공유한다는 것이 이해되어야 한다. 이것은 웨이퍼들이 저장될 웨이퍼 이송 어셈블리 (209) 의 제어된 분위기를 나갈 필요가 없다는 점에서 종래의 시스템들에 비해 이점을 제공한다. 웨이퍼들이 웨이퍼 이송 어셈블리 (209) 내에서 버퍼링될 수 있기 때문에, 그러므로 웨이퍼들은 프로세스 모듈 또는 다른 곳으로 이송되도록 웨이퍼 이송 모듈들에 의해 바로 액세스 가능하다.
일부 구현예들에서, 미리 결정된 버퍼 스택은 대략 2 내지 20 개의 웨이퍼들을 저장할 수용력을 갖도록 구성된다. 일부 구현예들에서, 미리 결정된 버퍼 스택은 대략 5 내지 15 개의 웨이퍼들을 저장할 수용력을 갖도록 구성된다. 일부 구현예들에서, 미리 결정된 버퍼 스택은 대략 5 내지 10 개의 웨이퍼들을 저장할 수용력을 가질 수도 있다. 일부 구현예들에서, 미리 결정된 버퍼 스택은 대략 8 개의 웨이퍼들을 저장할 수용력을 가질 수도 있다. 버퍼 스택 각각은 수직으로 적층된 배열로 규정되는 복수의 저장 슬롯들을 규정한다. 저장 슬롯들은 다른 웨이퍼들로부터 웨이퍼 스택 내의 미리 결정된 웨이퍼를 격리하는, 저장 슬롯들 사이에 규정된 분리기들 또는 파티션들 (partitions) 을 가질 수 있다.
주지된 바와 같이, 버퍼 스택들은 인접한 웨이퍼 이송 모듈들 사이에 위치된다. 또한, 버퍼 스택들이 웨이퍼 이송 모듈들에 연결되는 인접한 프로세스 모듈들과 인접한 웨이퍼 이송 모듈들 사이에 존재하는 공간을 레버리지하도록 위치될 때, 버퍼 스택들은 웨이퍼 이송 어셈블리의 측방향 측면들을 따라 위치되고, 이는 웨이퍼 이송 어셈블리의 콤팩트한 풋프린트를 달성한다. 보다 구체적으로, 버퍼 스택들의 중심 축들은 웨이퍼 이송 모듈들의 로봇들의 중심 회전 축들에 의해 규정된 중간 평면으로부터 측방향으로 오프셋된다. 미리 결정된 버퍼 스택의 중심 축은 웨이퍼들이 버퍼 스택 내에 저장될 때 웨이퍼들의 중심들을 통해 연장하는 수직 축으로서 규정된다. 그리고 로봇들의 중심 회전 축들은 중간 평면을 규정하는 전방-대-후방 배열로 서로 정렬된다. 단일 방향 축 (270) 은 이 중간 평면을 따라 규정될 수 있고, EFEM (200) 으로부터 후방으로 연장한다. 이하에 더 논의되는 바와 같이, 버퍼 스택들을 규정하는 버퍼 모듈들이 제공될 수 있다. 웨이퍼 이송 모듈들 및 버퍼 모듈들은 단일 방향 축 (270) 으로 정렬된다.
계속해서 도 2를 참조하면, 버퍼 스택 (242) 은 웨이퍼 이송 모듈들 (102 및 212) 과 프로세스 모듈들 (106 및 214) 사이에 네스팅된 위치에 규정된다. 버퍼 스택 (242) 의 위치를 수용하고 규정하는 웨이퍼 이송 어셈블리 (209) 의 측방향 측면 돌출부 (252) 는, 인접한 프로세스 모듈들 (214 및 106) 각각과 인터페이싱하는 측방향 측면 부분들 (252 및 254) 을 넘어 측방향으로 연장한다. 부가적인 버퍼 스택들의 위치들을 수용하고 규정하는 웨이퍼 이송 어셈블리의 부가적인 측방향 측면 돌출부들은 그 대응하는 프로세스 모듈들에 대해 유사하게 구성된다. 예를 들어, 측방향 측면 돌출부 (262) 는 웨이퍼 이송 모듈들 (212 및 218) 과 프로세스 모듈들 (214 및 220) 사이에 네스팅된다. 측방향 측면 돌출부 (262) 는 버퍼 스택 (246) 의 위치를 규정하도록 구성된다.
일부 구현예들에서, 웨이퍼 이송 어셈블리는, 웨이퍼들이 버퍼 스택 상에 위치될 때, 웨이퍼들의 외측 에지들이 인접한 게이트 밸브의 개방에 의해 규정된 평면으로 적어도 측방향으로 연장하도록 구성된다. 일부 구현예들에서, 웨이퍼들이 버퍼 스택 상에 위치될 때, 웨이퍼들의 외측 에지들은 이러한 평면을 넘어 측방향으로 연장한다. 버퍼 스택들이 더 측방향으로 위치될수록, 인접한 웨이퍼 이송 모듈들은 서로 보다 가까이 위치될 수 있지만; 웨이퍼 이송 어셈블리 (209) 은 보다 넓어질 것이다.
웨이퍼 이송 어셈블리의 아키텍처 개념의 면에서, 버퍼 스택들의 위치들은 측방향으로 외측으로 위치되고, 이는 웨이퍼 이송 모듈들로 하여금 서로 보다 가깝게 배치되게 한다. 이것은 전방으로부터 후방으로의 웨이퍼 이송 어셈블리의 전체 길이를 감소시킨다. 일부 구현예들에서, 웨이퍼 이송 어셈블리의 전방-대-후방 길이는 (선택 가능한 버퍼 스택들 (248 및 250) 없이) 4 개의 버퍼 스택들 및 3 개의 웨이퍼 이송 모듈들을 가진 웨이퍼 이송 어셈블리에 대해 대략 10 내지 11 피트 (대략 3 내지 3.3 m) 이다. 일부 구현예들에서, 웨이퍼 이송 어셈블리의 전방-대-후방 길이는 2 개의 웨이퍼 이송 모듈들 및 2 개 또는 4 개의 버퍼 스택들을 가진 웨이퍼 이송 어셈블리에 대해 대략 6 내지 8 피트 (대략 1.8 내지 2.4 m) 이다.
버퍼 스택들 그리고 보다 구체적으로, 버퍼 스택들을 수용하는 웨이퍼 이송 어셈블리의 측방향 측면 부분들의 돌출부의 배치를 고려하여, 버퍼 스택들에 가장 가까운 프로세스 모듈들의 코너 구역들은 절단되거나 둥글게 만들어진다. 계속해서 도 2를 참조하는 예로서, 프로세스 모듈 (106) 의 코너 구역 (258) 은 웨이퍼 이송 어셈블리의 측방향 측면 부분 (252) 의 돌출부를 수용하도록 절단된다. 유사하게, 프로세스 모듈 (214) 의 코너 구역 (260) 은 측방향 측면 부분 (252) 의 돌출부를 수용하도록 또한 절단된다. 버퍼 스택들에 가장 가까운 부가적인 프로세스 모듈 코너 구역들은 버퍼 스택들을 수용하도록 규정되는 웨이퍼 이송 어셈블리의 측방향 측면 부분들의 측방향 돌출부를 수용하도록 유사하게 구성된다.
프로세스 모듈들의 절단된 코너 구역들은, 다른 경우에 웨이퍼 이송 어셈블리 (209) 내의 버퍼 스택들의 미리 결정된 배치를 가능하게 하는 것보다 프로세스 모듈들로 하여금 그 각각의 웨이퍼 이송 모듈들에 보다 가깝게 위치되게 한다는 것이 이해되어야 한다. 이것은 클러스터 툴 시스템의 측방향 공간 필요조건을 감소시키고, 그러므로 제조 설비 내의 보다 충분한 공간 활용을 제공한다. 전반적으로, 버퍼 스택들의 배치, 웨이퍼 이송 어셈블리의 측방향 측면들의 구성, 및 프로세스 모듈들의 코너 구역들의 절단된 구성은 함께 웨이퍼 핸들링, 저장, 및 제어된 분위기 내의 이송의 면에서 큰 유연성을 또한 제공하는 매우 콤팩트한 클러스터 툴 아키텍처를 제공한다.
도 3a는 본 개시의 구현예들에 따른, 웨이퍼 이송 어셈블리의 사시도이다. 예시된 구현예에서, 웨이퍼 이송 어셈블리 (209) 는 2 개의 섹션들로 이루어지고, 2 개의 섹션들은 도시된 바와 같이 웨이퍼 이송 어셈블리 (209) 를 규정하도록 서로 어셈블된다. 웨이퍼 이송 어셈블리 (209) 의 제 1 섹션은 웨이퍼 이송 모듈들 (102 및 212), 뿐만 아니라 웨이퍼 이송 모듈들 (102 및 212) 사이에 규정되는 버퍼 스택들 (240 및 242) 을 포함하도록 규정된다. 제 1 섹션은 버퍼 스택들 (240 및 242) 을 규정하는 (웨이퍼 이송 모듈들 사이에 위치된) 버퍼 모듈과 함께 웨이퍼 이송 모듈들 (102 및 212) 로부터 모듈식으로 어셈블될 수 있다. 웨이퍼 이송 모듈들 및 버퍼 모듈은 단일 방향 축 (270) 으로 정렬된다는 것이 이해될 것이다. 웨이퍼 이송 어셈블리 (209) 의 제 2 섹션은 웨이퍼 이송 모듈 (218) 뿐만 아니라 웨이퍼 이송 모듈들 (212 및 218) 사이에 규정되는 버퍼 스택들 (244 및 246) 을 포함하도록 규정된다. 제 2 버퍼 모듈은 버퍼 스택들 (244 및 246) 을 규정하도록 구성될 수 있고, 웨이퍼 이송 모듈들 (218) 및 제 2 버퍼 모듈은 단일 방향 축 (270) 으로 정렬된다. 도 3a에 도시되지 않았지만, 선택 가능한 제 3 섹션은 제 2 섹션의 후방 단부에 부착될 수 있고, 제 3 섹션은 버퍼 스택들 (248 및 250) 을 규정하는 (제 3) 버퍼 모듈이고, 그리고 (제 3) 버퍼 모듈은 단일 방향 축 (270) 으로 또한 정렬된다.
그러므로 도시되고 기술된 모듈식 구성은 웨이퍼 이송 어셈블리로 하여금 2 또는 3 개의 웨이퍼 이송 모듈들을 갖도록, 그리고 1, 2 또는 3 쌍들의 버퍼 스택들을 갖도록 구성되게 한다. 기본 구성에서, 웨이퍼 이송 어셈블리는 제 1 섹션만을 포함하도록 규정될 수 있고, 그러므로 2 개의 웨이퍼 이송 모듈들 및 2 개의 버퍼 스택들을 가질 것이다. 일부 구현예들에서, 기본 구성은 SEMI E72를 준수하는 엘리베이터 내에 피팅되도록 설계되고, 이에 따라 제조 설비로의 전입 (move-in) 을 용이하게 한다. 또 다른 구성에서, 버퍼 모듈은 2 개의 부가적인 버퍼 스택들 (총 4 개의 버퍼 스택들) 을 부가하도록 기본 구성에 부가될 수 있다. 또 다른 구성에서, 전술된 제 2 섹션은 3 개의 웨이퍼 이송 모듈들 및 4 개의 버퍼 스택들을 가진, 도 3a에 도시된 바와 같은 웨이퍼 이송 어셈블리를 규정하도록 제 1 섹션에 접합될 수 있다. 또 다른 구성에서, 이어서 버퍼 모듈은 3 개의 웨이퍼 이송 모듈들 및 6 개의 버퍼 스택들을 가진 웨이퍼 이송 어셈블리를 제공하도록 부가될 수 있다.
예시된 구현예에서, 제 1 커버 플레이트 (300) 는 웨이퍼 이송 어셈블리 (209) 의 제 1 섹션의 상단을 규정한다. 제 1 커버 플레이트 (300) 는 웨이퍼 이송 어셈블리의 내부의 시각적 검사를 허용하고, 그리고 내부로의 액세스를 제공하도록 개방될 수도 있는 윈도우 포털들 (302 및 304) 을 포함한다. 제 2 커버 플레이트 (306) 는 웨이퍼 이송 어셈블리 (209) 의 제 2 섹션의 상단을 규정한다. 제 2 커버 플레이트 (306) 는 또한 유사하게 내부의 시각적 검사를 허용하고 그리고 내부로의 액세스를 제공하도록 개방될 수도 있는 윈도우 포털 (308) 을 포함한다.
그러므로 웨이퍼 이송 어셈블리 (209) 는 몇몇의 모듈식 컴포넌트들로 이루어지는 것으로 기술된다. 웨이퍼 이송 어셈블리의 모듈식 어셈블리는 특정한 클러스터 툴 셋업들에 맞도록 구성의 용이성을 제공하고, 그리고 또한 개별적인 모듈들의 수리 및/또는 교체를 용이하게 한다. 또한, 모듈들의 어셈블리/디스어셈블리는 미리 결정된 제조 설비 위치로부터의 전입 또는 전출 (move-out) 을 용이하게 한다. 완전히 어셈블될 때, 웨이퍼 이송 어셈블리 (209) 의 다양한 모듈들은 함께 외측 하우징을 규정하고, 하우징은 연속적이고 그리고 제어된 분위기로서 유지되는 내부 구역을 규정한다는 것이 이해될 것이다. 하우징은 로봇들 및 버퍼 스택들을 포함한, 웨이퍼 이송 어셈블리 (209) 의 다양한 구성 부분을 포함한다.
로드록 모듈 (208) 은 웨이퍼 이송 어셈블리 (209) 의 전방 단부에 위치된다. 로드록 모듈 (208) 은 웨이퍼 이송 어셈블리 (209) 내로 그리고 외부로의 진입을 제어하고, EFEM의 실험실 환경 조건과 웨이퍼 이송 어셈블리 (209) 의 진공 또는 제어된 환경 조건 사이의 웨이퍼들의 수송들을 용이하게 한다. 일부 구현예들에서, 로드록 모듈 (208) 은 더블 유닛이다 - 즉, 로드록 모듈 (208) 은 2 개의 개별적인 웨이퍼들로 하여금 웨이퍼 이송 어셈블리 (209) 로부터 동시에 로딩되고 그리고/또는 언로딩되게 하도록 독립적으로 제어되는 2 개의 별개의 로드록 슬롯들을 포함한다 -. (예를 들어, 웨이퍼가 EFEM의 환경 조건으로부터 웨이퍼 이송 어셈블리의 진공 조건으로 이동할 때) 로드록 슬롯을 배기하고 그리고/또는 (웨이퍼가 웨이퍼 이송 어셈블리의 진공 조건으로부터 EFEM의 환경 조건으로 이동할 때) 로드록 슬롯을 충진하도록 요구되는 시간은 시간 소모적이고 그리고 웨이퍼들을 프로세싱하기 위한 클러스터 툴 시스템의 능력의 제한 요인이 될 수 있다. 그러므로, 복수의 웨이퍼들로 하여금 웨이퍼 이송 어셈블리 (209) 를 동시에 진입하거나 그리고/또는 나가게 하도록 2 이상의 로드록 슬롯을 제공하는 것이 바람직하다.
도 3b는 본 개시의 구현예들에 따른, 웨이퍼 이송 어셈블리의 내부의 뷰 (view) 를 제공하도록, 커버 플레이트들이 설치되지 않은, 도 3a의 웨이퍼 이송 어셈블리의 사시도를 예시한다. 알 수 있는 바와 같이, 웨이퍼 이송 어셈블리 (209) 의 제 1 섹션 (310) 은 버퍼 스택들 (240 및 242), 뿐만 아니라 로봇 (224) (로봇 (102) 은 도 3b에서 보이지 않음) 을 포함한다. 로봇 (224) 은 로봇에 의한 핸들링을 위해 웨이퍼를 인게이징하고 그리고 웨이퍼를 지지하도록 구성되는 단부 이펙터 (314) 를 포함한다. 버퍼 스택 (244) (버퍼 스택 (246) 은 도 3b에서 보이지 않음) 및 로봇 (226) 의 단부 이펙터 (316) 를 포함한 웨이퍼 이송 어셈블리의 제 2 섹션 (312) 이 도시된다.
도 4a는 본 개시의 구현예들에 따른, 웨이퍼 이송 모듈의 사시도를 예시한다. 웨이퍼 이송 어셈블리의 맥락 관련 이해 및 기술의 용이성을 위해, 웨이퍼 이송 모듈 (102) 이 기술된다. 그러나, 기술은 또한 웨이퍼 이송 어셈블리 (209) 의 웨이퍼 이송 모듈들 (212 및 218) 에 적용될 수도 있다는 것이 이해되어야 한다. 웨이퍼 이송 어셈블리 (209) 는 필요할 때 개별적인 컴포넌트들의 수리 및 교체를 용이하게 하는 것뿐만 아니라 구성의 용이성을 제공하도록 어셈블되거나 디스어셈블될 수 있는 모듈식 컴포넌트들로부터 규정될 수 있다. 예시된 구현예에서, 웨이퍼 이송 모듈 (102) 의 내부의 뷰가 제공되고, 로봇 (222) 에 의해 핸들링될 웨이퍼들을 인게이징하고 그리고 웨이퍼들을 지지하도록 구성되는 단부 이펙터 (400) 를 포함한 로봇 (222) 이 도시된다.
또한 프로세스 모듈 (106) 내로의 개방을 제어하는 게이트 밸브 (230) 가 보인다. 게이트 밸브 (230) 는 웨이퍼 이송 모듈 (102) 과 프로세스 모듈 (106) 사이의 웨이퍼의 수송을 위해 (예를 들어, 프로세스 모듈로부터의 웨이퍼의 로딩 또는 언로딩을 위해) 개방될 수 있거나, 게이트 밸브 (230) 는 웨이퍼 이송 모듈 (102) 로부터 프로세스 모듈 (106) 을 격리시키도록 폐쇄될 수 있다 (예를 들어, 웨이퍼의 프로세싱 동안). 게이트 밸브 (230) 는 웨이퍼 이송 모듈 (102) 의 측방향 측면 (402) 을 따라 규정된다. 웨이퍼 이송 모듈 (102) 의 측방향 측면 (402) 은 프로세스 모듈 (106) 에 대한 연결을 위해 구성된다. 웨이퍼 이송 모듈 (102) 의 측방향 측면 (402) 은 프로세스 모듈 (106) 이 웨이퍼 이송 모듈 (102) 에 부착될 때 기밀 시일을 형성하도록 구성되는 시일 메커니즘 (404) 을 더 포함할 수도 있다. 제한 없이 예로서, 시일 메커니즘 (404) 은 개스킷, 대응하는 홈 및 슬롯, 및/또는 프로세스 모듈 (106) 이 웨이퍼 이송 모듈 (102) 에 연결될 때 기밀 시일을 달성할 수도 있는 임의의 다른 타입의 메커니즘에 의해 규정될 수도 있다.
계속해서 도 4a를 참조하면, 웨이퍼 이송 모듈 (102) 의 (EFEM (200) 으로부터 이격되어 마주보는) 배면 (406) 이 또한 도시되고, 배면 (406) 은 (도 4b에 예시된) 버퍼 모듈 (410) 에 대한 연결을 위해 구성된다. 웨이퍼 이송 모듈 (102) 의 배면 (406) 은 또한 버퍼 모듈 (410) 이 웨이퍼 이송 모듈 (102) 에 부착될 때 기밀 시일을 제공하도록 구성되는 시일 메커니즘 (408) 을 포함할 수도 있다.
일부 구현예들에서, 웨이퍼 이송 모듈들 (212 또는 218) 을 위해서와 같이, 버퍼 모듈 대신에, 백 커버 플레이트가 웨이퍼 이송 모듈의 배면에 부착될 수도 있고, 그러므로 이러한 구현예들에서 웨이퍼 이송 어셈블리의 배면을 규정한다. 그러므로 기술된 모듈식 컴포넌트들은 웨이퍼 이송 어셈블리를 위한 목표된 구성을 규정하도록 연속적으로 어셈블되거나 디스어셈블될 수 있는, 교번하는 웨이퍼 이송 모듈들 및 버퍼 모듈들의 시스템 아키텍처를 제공한다.
도 4b는 본 개시의 구현예들에 따른, 웨이퍼 이송 모듈 (102) 에 부착된 버퍼 모듈 (410) 을 가진 웨이퍼 이송 모듈 (102) 의 사시도를 예시한다. 버퍼 모듈 (410) 의 전방 측면 (도 4b에 미도시) 은 웨이퍼 이송 모듈 (102) 의 배면 (406) 에 연결되고, 모듈들 사이에 기밀 시일을 형성한다. 버퍼 모듈 (410) 의 배면 (412) 은 또 다른 웨이퍼 이송 모듈 또는 백 커버 플레이트에 대한 부착을 위해 더 구성된다. 버퍼 모듈 (410) 의 배면 (412) 은 인접한 웨이퍼 이송 모듈 또는 백 커버 플레이트와의 기밀 시일을 형성하도록 구성된 시일 메커니즘 (414) 을 포함할 수도 있다.
버퍼 모듈 (410) 은 복수의 웨이퍼들을 저장하도록 구성되는 버퍼 스택들 (240 및 242) 을 규정한다. 버퍼 모듈의 측방향 단부들은 웨이퍼 이송 어셈블리의 전술된 측면 돌출부들을 규정한다. 버퍼 스택들은 웨이퍼 이송 모듈들을 위해 규정되는 동일한 제어된 분위기를 공유한다. 이것은 웨이퍼들이 시스템의 외부로의 수송들을 요구하지 않고 시스템의 제어된 분위기 내에서 버퍼링될 수 있다는 점에서 이점들을 제공한다. 이것은 가능한 오염물질들에 대한 노출을 제한하는 것을 돕고 그리고 또한 상이한 분위기들을 통한 웨이퍼들의 사이클링을 방지한다. 예를 들어, 진공 조건 하에서 프로세싱되고 그리고 또한 환경 조건에 영향을 받는 웨이퍼는 주변 가스들과 반응할 수도 있거나 그렇지 않으면 오염물질들 또는 미립자들에 노출될 수도 있다. 그러므로, 웨이퍼 이송 시스템의 제어된 분위기 내의 버퍼 스택들의 교체는 이러한 잠재적인 부작용들을 방지하도록 웨이퍼 저장 사이트들을 제공한다.
버퍼 스택들 각각은 복수의 웨이퍼 저장 슬롯들을 규정하고, 웨이퍼 저장 슬롯 각각은 단일의 웨이퍼 (예를 들어, 웨이퍼 (420)) 를 저장하도록 구성된다. 예시된 구현예에서, 버퍼 스택들 (240) 의 웨이퍼 저장 슬롯들은 버퍼 스택 내의 웨이퍼를 지지하기 위해 구성되는 지지 암들 (416) 에 의해 규정된다. 또한, 서로로부터 웨이퍼 저장 슬롯들을 분리하는 규정된 분리기들이 있을 수도 있다. 다양한 구현예들에서, 분리기들 및 (지지 암들에 의해 규정된 바와 같은) 웨이퍼 저장 슬롯들 양자의 수 및 배열은 가변할 수 있다는 것이 이해되어야 한다. 예시된 구현예에서, 웨이퍼 저장 슬롯들의 4 개의 쌍들을 분리하는, 분리기들 (418a, 418b, 및 418c) 을 가진 버퍼 스택들 (240) 이 도시된다. 그러므로 도시된 바와 같이 버퍼 스택 (240) 은 통틀어 8 개의 웨이퍼 저장 슬롯들을 포함한다. 버퍼 스택 (242) 은 유사하게 8 개의 웨이퍼 저장 슬롯들을 갖도록 구성되고, 웨이퍼 저장 슬롯들의 4 개의 쌍들은 3 개의 분리기들에 의해 분리된다.
도 5는 본 개시의 구현예들에 따른, 버퍼 스택 (500) 의 단면도를 개념적으로 예시한다. 버퍼 스택 (500) 은 물리적 파티션들에 의해 서로로부터 분리되는 웨이퍼 저장 슬롯들을 가진 상부 섹션 (502) 및 물리적 파티션들에 의해 서로로부터 분리되지 않은 웨이퍼 저장 슬롯들을 가진 하부 섹션 (504) 을 포함한다. 미리 결정된 웨이퍼 저장 슬롯은 미리 결정된 웨이퍼 저장 슬롯에 웨이퍼가 저장될 때 웨이퍼 (예를 들어, 웨이퍼 (510)) 를 지지하도록 구성되는 지지 암들 (508) 의 세트에 의해 규정된다. 상부 섹션 (502) 의 웨이퍼 저장 슬롯들은 분리기들 (506a, 506b, 및 506c) 에 의해 서로로부터 분리되고, 그리고 상부 섹션 (502) 은 분리기 (506d) 에 의해 하부 섹션 (504) 으로부터 분리된다. 분리기들은 인접한 웨이퍼 저장 슬롯들 사이에 물리적 파티션들을 규정한다.
일부 구현예들에서, 상부 섹션 (502) 의 웨이퍼 저장 슬롯들은 오염에 보다 민감하거나 오염되기 쉬울 수도 있는 웨이퍼들을 저장하도록 활용될 수도 있지만, 하부 섹션 (504) 의 웨이퍼 저장 슬롯들은 오염에 덜 민감하거나 오염되기 쉽지 않은 웨이퍼들을 저장하도록 활용될 수도 있다. 일부 구현예들에서, 하부 섹션 (504) 은 커버 웨이퍼들 또는 시즈닝 웨이퍼들과 같은, 클러스터 툴 시스템에서 재사용되는 웨이퍼들을 저장하도록 활용될 수도 있다.
커버 웨이퍼들은 챔버 유지보수 동작 (예를 들어, 인-시츄 세정) 동안 프로세스 모듈의 척을 커버하도록 사용된다. 종래의 시스템들에서, 커버 웨이퍼들은 실험실 환경 조건들 하에서 웨이퍼 이송 어셈블리의 외부에 통상적으로 저장된다. 이것은 미리 결정된 커버 웨이퍼로 하여금 커버 웨이퍼가 사용될 때마다 에어록을 통해 웨이퍼 이송 어셈블리에 진입할 것을 요구하고 이는 시스템 내의 원치않은 입자들의 소스일 수 있다. 이것은 커버 웨이퍼들이 자주 사용될 때 (예를 들어, 매 로트 (lot) 에 사용됨) 특히 문제가 많을 수도 있다. 커버 웨이퍼들이 활용될 때, 커버 웨이퍼들이 에칭되고, 그리고 로드록을 통해 웨이퍼 이송 어셈블리의 내외로 커버 웨이퍼들을 반복하여 이송하는 것은 궁극적으로 수율을 감소시키거나 보다 빈번한 유지보수/세정을 필요하게 만들 수도 있는 입자 소스이다. 그러므로, (예를 들어, 진공 조건 하에서) 웨이퍼 이송 어셈블리 내에 커버 웨이퍼들을 저장하는 것이 유리하고 그리고 웨이퍼 이송 어셈블리의 내외로 커버 웨이퍼들을 이동시키는 것을 발생시키는 압력 사이클들을 커버 웨이퍼들이 겪지 않게 하는 것이 유리하고, 이것은 시스템을 진입하는 오염물질들의 양을 감소시킬 것이기 때문이다.
게다가, 일부 구현예들에서, 챔버 세정을 위한 화학물질은 대기/수분에 대한 노출시 부식을 일으킬 수도 있다. 예를 들어, 염소계 화학물질이 도포될 때, 진공에서 유지되는 커버 웨이퍼는 커버 웨이퍼의 표면 상에 상당히 비휘발성인 클로라이드들을 가질 수도 있다. 하지만, 커버 웨이퍼가 웨이퍼 이송 어셈블리로부터 대기 내로 이송된다면, 그러면 클로라이드들은 공기 내의 수분과 반응할 수도 있고, 그리고 탈기될 수도 있고 부식을 유발할 수도 있다. 그러므로, 기술된 바와 같이 버퍼 스택 내의 진공에 커버 웨이퍼들을 저장함으로써, 그래서 이 이슈는 방지되고, 그리고 커버 웨이퍼들의 사용 기간이 연장될 수도 있다.
커버 웨이퍼들 및 입자 생성/부식에 관한 상기 논의는 챔버 내에서 버닝되도록 (burn) 활용되는 시즈닝 웨이퍼들에 적용된다는 것이 이해되어야 한다. 도 5의 예시된 구현예에서, 웨이퍼 저장 슬롯들을 분리하는 물리적 파티션들을 갖지 않는 버퍼 스택의 하부 섹션은 커버 웨이퍼들 또는 시즈닝 웨이퍼들의 저장을 위해 보유되고 그리고/또는 활용될 수도 있지만, (개별적인 웨이퍼 저장 슬롯들을 분리하는 물리적 파티션들을 갖지 않을 수도 있는) 버퍼 스택의 상부 섹션은 클러스터 툴 시스템 내에서 프로세스 동작들을 겪은 웨이퍼들을 저장하도록 보유되고 그리고/또는 활용된다. 그러나, 다른 구현예들에서, 커버 또는 시즈닝 웨이퍼들은 분리기들 및 웨이퍼 저장 슬롯들의 임의의 특정한 구성을 가진 버퍼 스택 내의 임의의 미리 결정된 웨이퍼 저장 슬롯 (또는 웨이퍼 저장 슬롯들의 섹션) 에 저장될 수도 있다는 것이 이해될 것이다.
일부 구현예들에서, 전체 버퍼 스택은 커버/시즈닝 웨이퍼들의 저장을 위해 보유 그리고/또는 활용될 수도 있다. 예를 들어, 도 2의 구현예를 참조하면, 버퍼 스택 (248) 및/또는 버퍼 스택 (250) 은 커버/시즈닝 웨이퍼들의 저장을 위해 구성될 수 있고, 이 목적을 위해 전용 버퍼 스택(들)을 제공한다.
도 6은 본 개시의 구현예들에 따른, 버퍼 스택을 도시하는, 버퍼 모듈의 일부분의 단면도를 예시한다. 버퍼 스택 (600) 은 도 5의 실시예와 유사하고, 웨이퍼 저장 슬롯들 (610a, 610b, 610c, 및 610d) 을 가진 상부 섹션 (602), 및 웨이퍼 저장 슬롯들 (612a, 612b, 및 612c) 을 가진 하부 섹션 (604) 을 포함한다. 웨이퍼 저장 슬롯들 (610a 내지 610d) 은 분리기들 (606a, 606b, 606c, 및 606d) 에 의해 개별적으로 파티셔닝되지만, 웨이퍼 저장 슬롯들 (612a 내지 612c) 은 물리적 분리기들에 의해 개별적으로 파티셔닝되지 않는다. 일부 구현예들에서, 하부 섹션 (604) 의 웨이퍼 저장 슬롯들 (612a 내지 612c) 은 커버 웨이퍼들, 시즈닝 웨이퍼들, 또는 프로세스 모듈들에서 재사용되는 임의의 다른 타입의 웨이퍼의 저장을 위해 보유되고 그리고/또는 활용된다.
버퍼 스택 (600) 은 하부 섹션 (604) 아래에 위치되고, 그리고 분리기 (614) 에 의해 하부 섹션 (604) 으로부터 파티셔닝된 웨이퍼 저장 슬롯 (615) 을 더 포함한다. 웨이퍼 저장 슬롯 (615) 은 웨이퍼 배향기 (616) 상에 배치된 웨이퍼를 지지하고 회전할 수 있는 웨이퍼 배향기 (616) 를 포함한다. 본 개시의 실시예들에 따라 미리 결정된 웨이퍼가 웨이퍼 이송 어셈블리 전반에 걸쳐 이송될 때, 웨이퍼의 회전 배향이 변화할 것임이 이해될 것이다. 일부 프로세스 모듈들에 대해 특정한 회전 배향으로 프로세스 모듈 내로 웨이퍼를 배치하는 것이 바람직할 수도 있다. 그러므로, 프로세스 모듈을 위해 목표되는 특정한 회전 배향을 갖기 위해서 미리 결정된 웨이퍼를 회전시키도록 버퍼 스택에 통합된 웨이퍼 배향기를 갖는 것이 유용하다. 일부 구현예들에서, 웨이퍼 배향기 (616) 는 버퍼 모듈 (410) 의 메인 하우징 아래에서 연장하는 액추에이터 (618) 를 더 포함한다. 액추에이터 (618) 는 웨이퍼 배향기 (616) 의 회전을 구동하도록 구성된다.
도 7은 본 개시의 구현예들에 따른, 웨이퍼가 시스템의 다양한 컴포넌트들 (components) 을 통해 이동될 때 웨이퍼의 배향을 강조하는, 클러스터 툴 시스템을 예시한다. 예시된 시스템은 웨이퍼 이송 어셈블리를 집합적으로 규정하는, 웨이퍼 이송 모듈들 (102, 212, 및 218), 뿐만 아니라 버퍼 스택들 (240, 242, 244, 및 246) 을 포함한, 도 2의 구현예와 유사하다.
예시된 구현예에서, 버퍼 스택들은 33 도만큼 비스듬하다 (canted). 즉, 각각의 버퍼 스택의 중심은 인접한 웨이퍼 이송 모듈의 중심으로부터 또 다른 인접한 웨이퍼 이송 모듈의 중심으로 규정된 벡터로부터 33 도만큼 벗어난다. 예를 들어, 벡터가 웨이퍼 이송 모듈 (102) 의 중심으로부터 웨이퍼 이송 모듈 (212) 의 중심으로 규정된다면, 그러면 버퍼 스택들 (240 및 242) 의 중심들 각각은 이러한 벡터로부터 33 도만큼 벗어난다. 버퍼 스택들이 비스듬하기 때문에, 시스템 내의 미리 결정된 웨이퍼의 임의의 활성 회전의 부재시, 웨이퍼의 회전 배향은 웨이퍼가 버퍼 스택들을 통해 이동될 때 변화할 것이고 그리고 일 프로세스 모듈로부터 다음의 프로세스 모듈로 상이할 수도 있다.
계속해서 도 7을 참조하면, 노치를 가진 웨이퍼 (700) 가 부가적으로 도시되고 노치의 위치는 참조로 나타낸 점 (702) 으로 개념적으로 도시된다. 예로서, 예시된 구현예에서, 에어록 (208) 을 통해 웨이퍼 이송 어셈블리에 진입하는 웨이퍼 (700) 가 도시되고, 이 단계에서 웨이퍼 (700) 는 웨이퍼 이송 어셈블리의 후방을 향하여 배향된 세로 방향으로 정렬된 웨이퍼의 노치를 갖는다. 이 위치로부터, 웨이퍼 (700) 가 웨이퍼 이송 모듈 (102) 에 의해 프로세스 모듈 (106) 내로 이동될 때, 그러면 웨이퍼는 노치가 프로세스 모듈 (106) 의 개구를 향하여 측방향으로 배향되도록 반시계 방향으로 90 도 회전한다. 일부 구현예들에서, 이것은 웨이퍼가 프로세스 모듈 (106) 내에 있을 때 웨이퍼에 대한 목표된 배향이고, 그러므로 웨이퍼의 부가적인 회전 또는 프로세스 모듈의 재구성은 요구되지 않는다. 프로세스 모듈 (106) 내의 프로세싱 후에, 웨이퍼 (700) 는 웨이퍼 이송 모듈 (102) 에 의해 버퍼 스택 (242) 으로 이동될 수도 있고, 이는 웨이퍼로 하여금 반시계 방향으로 추가로 57 도 회전하게 한다. 노치는 노치가 웨이퍼 이송 모듈 (102) 에 의해 핸들링되고 그리고 임의의 로드록 (208), 프로세스 모듈 (100 또는 106) 과 버퍼 스택들 (240 또는 242) 사이에서 이동될 때 웨이퍼 이송 모듈 (102) 의 중심을 향하여 배향된다는 것이 이해될 것이다.
버퍼 스택 (242) 으로부터, 웨이퍼 (700) 가 웨이퍼 이송 모듈 (212) 에 의해 프로세스 모듈 (214) 로 이동된다면, 그러면 웨이퍼 (700) 는 추가로 57 도만큼 반시계 방향으로 회전한다. 이것은 노치가 웨이퍼 (700) 의 중심으로부터 웨이퍼 이송 모듈 (212) 의 중심으로 규정된 벡터로부터 반시계 방향으로 114 도만큼 벗어난다는 것을 의미한다. 프로세스 모듈 (214) 에 대한 웨이퍼 (700) 의 목표된 배향은 규정된 바와 같이 벡터로부터 벗어남 (deviation) 이 없을 수도 있기 때문에, 그러면 프로세스 모듈 (214) 에 진입하기 전에 웨이퍼 (700) 의 회전이 바람직할 수도 있다. 예를 들어, 버퍼 스택 (242) 에 통합된 웨이퍼 배향기는 웨이퍼 (700) 가 프로세스 모듈 (214) 내로 이송될 때 목표된 배향으로 정렬될 웨이퍼 (700) 를 제공하도록, 시계 방향으로 114 도만큼 웨이퍼 (700) 를 회전시키기 위해서 구성될 수도 있다.
유사한 방식으로, 버퍼 스택 (242) 으로부터 도시된 바와 같은 웨이퍼 (700) 가 프로세스 모듈 (210) 내로 이동된다면, 그러면 웨이퍼 (700) 는 시계 방향으로 123 도만큼 회전한다. 이것은 프로세스 모듈 (210) 에 대해 목표된 배향으로부터 반시계 방향으로 114 도만큼 벗어나는 웨이퍼 배향을 다시 발생시킬 수도 있다. 유사하게, 버퍼 스택 (242) 에 통합된 웨이퍼 배향기는 웨이퍼 (700) 가 프로세스 모듈 (210) 내로 이송될 때 목표된 배향으로 (노치는 웨이퍼 이송 모듈 (212) 의 중심을 향하여 배향됨) 정렬될 웨이퍼 (700) 를 제공하도록 시계 방향으로 114 도만큼 웨이퍼 (700) 를 회전시키기 위해서 구성될 수도 있다.
프로세스 모듈 (214) 로부터, 도시된 바와 같은 웨이퍼 (700) 가 이어서 웨이퍼 이송 모듈 (212) 에 의해 버퍼 스택 (246) 으로 이동된다면, 그러면 웨이퍼 (700) 는 시계 방향으로 57 도만큼 회전된다. 이어서 도시된 바와 같은 웨이퍼 (700) 가 웨이퍼 이송 모듈 (212) 에 의해, 버퍼 스택 (242) 또는 프로세스 모듈 (210) 로부터, 버퍼 스택 (246) 으로 이동된다면, 그러면 웨이퍼 (700) 는 동일한 배향을 달성할 것임이 이해될 것이다. 이어서 웨이퍼 (700) 가 웨이퍼 이송 모듈 (218) 에 의해 버퍼 스택 (246) 으로부터 프로세스 모듈 (220) 로 이동된다면, 그러면 웨이퍼 (700) 는 추가로 57 도만큼 회전될 것이고, 이는 노치의 배향이 웨이퍼 (700) 의 중심으로부터 웨이퍼 이송 모듈 (218) 의 중심으로 규정된 벡터로부터 시계 방향으로 132 도만큼 벗어날 것임을 의미한다. 웨이퍼 (700) 가 웨이퍼 이송 모듈 (218) 에 의해 버퍼 스택 (246) 으로부터 프로세스 모듈 (216) 로 이동된다면, 그러면 웨이퍼 (700) 는 시계 방향으로 123 도만큼 회전될 것이고, 이는 노치의 배향은 웨이퍼 (700) 의 중심으로부터 웨이퍼 이송 모듈 (218) 의 중심으로 규정된 벡터로부터 시계 방향으로 132 도만큼 벗어날 것임을 다시 의미한다. 웨이퍼 배향기는 웨이퍼들이 프로세스 모듈들 내로 이송될 때 최적의 회전 배향을 보장하도록 필요할 때 프로세싱 동안 웨이퍼들을 회전시키도록 버퍼 스택 (246) 내에 포함될 수도 있다.
전술한 논의에서, 버퍼 스택들이 33 도만큼 비스듬한 것으로 기술될지라도, 다른 구현예들에서, 버퍼 스택들은 약 30 내지 35 도의 범위의 각들만큼 비스듬하다는 것이 이해될 것이다. 여전히 다른 구현예들에서, 버퍼 스택들은 약 25 내지 40 도의 범위의 각들만큼 비스듬하다. 시스템 내의 이송 동안 웨이퍼의 회전에 관해 상기에 논의된 개념들은 버퍼 스택들이 비스듬한 특정한 각에 상관 없이 적용되고, 그리고 시스템에서 임의의 미리 결정된 위치에서의 웨이퍼의 특정한 배향은 당업자들에게 자명할 것이다.
본 개시의 구현예들에서, 웨이퍼 이송 어셈블리는 다양한 목적들을 위해 사용되도록 구성 가능하고, 그리고 종래 기술의 시스템들에 비해 쓰루풋 이점들을 제공하는 것을 돕는 몇몇의 버퍼 스택들을 포함할 수 있다. 버퍼 스택들은 웨이퍼들을 위한 경로들을 규정하도록 활용될 수 있다. 예를 들어, 일부 구현예들에서, 미리 결정된 웨이퍼 이송 어셈블리 내의 적어도 일부의 버퍼 스택들의 적어도 일부 웨이퍼 저장 슬롯들은 웨이퍼들이 단 1 회 미리 결정된 버퍼 스택을 통해 이동하도록 구성된다. 일부 구현예들에서, 시스템은 웨이퍼들이 일 측면으로부터 미리 결정된 버퍼 스택에 진입하고 그리고 또 다른 측면으로부터 버퍼 스택을 나가도록 구성된다. 예를 들어, 계속해서 도 7을 참조하면, 버퍼 스택 (242) 은 웨이퍼들이 웨이퍼 이송 모듈 (102) 을 마주보는 버퍼 스택 (242) 의 측면 (전방-대면 측면) 으로부터 진입하고, 그리고 웨이퍼 이송 모듈 (212) 을 마주보는 측면 (후방-대면 측면) 으로부터 나가도록 구성될 수도 있다. 이 방식으로, 웨이퍼들은 웨이퍼 이송 모듈 (102) 로부터 웨이퍼 이송 모듈 (212) 로 버퍼 스택 (242) 을 통한 일 방향 방식의 이송을 통해 이동한다. 일 방향 방식으로 버퍼 스택들을 통해 웨이퍼들을 이동시킴으로써, 웨이퍼들은 동일한 버퍼 스택으로 복귀하지 않고, 그리고 상이한 프로세스들로부터 교차 오염에 대한 가능성이 감소된다.
계속해서 도 7을 참조하여 개념을 연장하면, 버퍼 스택들 (242 및 246) 은 웨이퍼들이 버퍼 스택들 (242 및 246) 각각을 통해 전방-대면 측면으로부터 후방-대면 측면으로 이동하도록 구성될 수도 있고, 반면에 버퍼 스택들 (244 및 240) 은 웨이퍼들이 버퍼 스택들 (244 및 240) 각각을 통해 반대 방식으로 후방-대면 측면으로부터 전방-대면 측면으로 이동하도록 구성된다. 개념적으로, 그러므로 웨이퍼 이송 모듈들 및 버퍼 스택들은 웨이퍼 이송 어셈블리 및/또는 클러스터 툴 시스템을 통한 웨이퍼들을 위한 경로를 규정한다.
제한 없이 예로서, 로드록 (700) 으로부터, 웨이퍼 이송 모듈 (102) 로, 버퍼 스택 (242) 으로, 웨이퍼 이송 모듈 (212) 로, 프로세스 모듈들 (210 또는 214) 중 하나로, 웨이퍼 이송 모듈 (212) 로, 버퍼 스택 (240) 으로, 웨이퍼 이송 모듈 (102) 로, 로드록 (208) 으로의 웨이퍼를 위한 경로가 규정될 수 있다.
또 다른 예로서, 로드록 (700) 으로부터, 웨이퍼 이송 모듈 (102) 로, 버퍼 스택 (242) 으로, 웨이퍼 이송 모듈 (212) 로, 버퍼 스택 (246) 으로, 프로세스 모듈들 (216 또는 220) 중 하나로, 웨이퍼 이송 모듈 (218) 로, 버퍼 스택 (244) 으로, 웨이퍼 이송 모듈 (212) 로, 버퍼 스택 (240) 으로, 웨이퍼 이송 모듈 (102) 로, 로드록 (208) 으로의 웨이퍼를 위한 경로가 규정될 수 있다.
일부 구현예들에서, 특정한 버퍼 스택들은 프로세싱을 위해 프로세스 모듈로 이송되는 웨이퍼들의 일시적인 저장을 위해 활용된 입력 버퍼 스택들로서 지정되고, 반면에 다른 버퍼 스택들은 프로세싱되고 그리고 시스템으로부터 이송되는 웨이퍼들의 일시적인 저장을 위해 활용된 출력 버퍼 스택들로서 지정된다. 예를 들어, 예시된 구현예에서, 버퍼 스택들 (242 및 246) 은 입력 버퍼 스택들로서 지정될 수도 있고, 반면에 버퍼 스택들 (240 및 244) 은 출력 버퍼 스택들로서 지정될 수도 있다. 이것은 웨이퍼의 입력 경로로 하여금 웨이퍼를 위한 출력 경로로부터 별도로 규정되게 하고, 이는 교차 오염 및 병목 현상들 (bottleneck) 의 방지를 도울 수 있다.
경로들 및 버퍼 스택들의 사용에 관한 상기 논의는 제한 없이 예로서 제공된다. 웨이퍼 이송 모듈들과 동일한 제어된 분위기 (예를 들어, 진공) 에서 규정되는, 본 개시의 버퍼 스택들이 클러스터 툴 시스템을 통한 웨이퍼들의 효율적인 저장 및 이동을 달성하는 임의의 적합한 방식으로 융통성있게 배치되고 활용될 수 있다는 것이 이해되어야 한다.
도 8은 본 개시의 구현예들에 따른, 클러스터 툴 시스템의 일부분의 단면도를 예시한다. 예시된 구현예에서, 한 쌍의 로드록들 (800 및 802) 이 나란한 구성으로 배치되고 그리고 웨이퍼 이송 모듈 (102) 과 EFEM (200) 사이에 연결된다. 로드록들 (800 및 802) 각각은 EFEM과 웨이퍼 이송 모듈 (102) 사이의 웨이퍼 수송을 위해 활용될 수 있는 2 개의 슬롯들을 각각 가진, 듀얼 슬롯 로드록일 수도 있다. 이러한 구성에서, 로드록들은 함께 4 개의 웨이퍼들을 동시에 핸들링하는 수용력을 제공한다. 일부 구현예들에서, 로드록들 중 일 로드록은 웨이퍼 이송 어셈블리에 진입하는 웨이퍼들을 위해 활용될 수 있고, 반면에 로드록들 중 일 로드록은 웨이퍼 이송 어셈블리를 나가는 웨이퍼들을 위해 활용될 수 있다.
도 9는 본 개시의 구현예들에 따른, 통합된 포스트 프로세싱 모듈들을 가진 로드록들의 구성을 개념적으로 예시한다. 로드록들 (800 및 802) 은 나란한 구성으로 배치된다. 로드록 (800) 은 슬롯들 (900 및 902) 을 포함하고, 그리고 로드록 (802) 은 슬롯들 (904 및 906) 을 포함하고, 슬롯들 각각은 웨이퍼 이송 어셈블리 내외로의 웨이퍼의 수송을 위해 구성된다.
또한, 포스트 프로세싱 모듈들 (908 및 910) 은 로드록들 (800 및 802) 과 각각 수직으로 적층된다. 다양한 구현예들에서, 포스트 프로세싱 모듈들은 스트립 동작 또는 패시베이션 동작과 같은 포스트 프로세싱 동작을 프로세싱된 웨이퍼 상에서 수행하도록 구성될 수 있다. 예시된 구현예에서, 포스트 프로세싱 모듈들 (908 및 910) 은 로드록들 (800 및 802) 위에 각각 위치되지만, 다른 구현예들에서, 포스트 프로세싱 모듈들 (908 및 910) 은 로드록들 (800 및 802) 아래에 각각 위치될 수 있다. 포스트 프로세싱 모듈들 (908 및 910) 은 프로세싱된 웨이퍼가 웨이퍼 이송 어셈블리를 나가기 전에, 프로세싱된 웨이퍼 상에서 스트립 또는 패시베이션 동작을 수행하도록 구성될 수 있다. 포스트 프로세싱 모듈들 (908 및 910) 은 웨이퍼 이송 어셈블리의 내부로 개방된다.
그러므로 예로서, 도 2의 시스템을 참조하여, 프로세싱된 웨이퍼는 웨이퍼 이송 모듈 (102) 에 의해 포스트 프로세싱 모듈들 중 일 포스트 프로세싱 모듈 내에 배치될 수도 있다. 포스트 프로세싱 (예를 들어, 스트립 또는 패시베이션) 동작의 완료 후에, 웨이퍼 이송 어셈블리 (209) 로부터 EFEM (200) 으로 이송되도록, 웨이퍼는 웨이퍼 이송 모듈 (102) 에 의해 포스트 프로세싱 모듈로부터 제거되고, 그리고 로드록들 (800 또는 802) 중 일 로드록 내의 웨이퍼 슬롯 내로 배치된다.
본 개시의 구현예들은 200 ㎜, 300 ㎜, 및 450 ㎜ 기판들을 포함한, 임의의 다양한 사이즈들의 기판들, 및 정사각형 기판들을 포함한 비표준 사이즈들 및 형상들의 기판들에 적용 가능하다는 것이 이해되어야 한다.
도 10은 상기에 기술된 시스템들을 제어하기 위한 제어 모듈 (1000) 을 도시한다. 예를 들어, 제어 모듈 (1000) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (1000) 은 미리 규정된 프로그래밍에 따라 그리고 부분적으로 센싱된 (sense) 값들에 기초하여 시스템 내의 디바이스들을 제어하도록 채용될 수도 있고, 제한하지 않고 EFEM, 로드록, 포스트 프로세싱 모듈, 웨이퍼 이송 모듈, 웨이퍼 배향기 (orienter), 및 프로세스 모듈을 포함하는, 클러스터 툴 시스템의 전술한 컴포넌트들 중 임의의 것들을 포함한다. 제어 모듈 (1000) 은 본 개시의 구현예들에 따라, 미리 결정된 컴포넌트가 규정되거나 수행할 수 있는 임의의 타입의 동작을 제어할 수도 있다는 것이 이해되어야 한다.
단지 예를 들면, 제어 모듈 (1000) 은 센싱된 값들, 미리 규정된 프로그래밍/인스트럭션들 및 다른 제어 파라미터들에 기초하여 밸브들 (1002), 액추에이터들 (1004), 펌프들 (1006), RF 생성기들 (1022), 및 다른 디바이스들 (1008) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (1000) 은 단지 예를 들면, 압력 마노미터들 (1010), 유량계들 (1012), 온도 센서들 (1014), 및/또는 다른 센서들 (1016) 로부터 센싱된 값들을 수신한다.
미리 결정된 프로세스 모듈에 대해, 제어 모듈 (1000) 은 또한 반응물질/전구체 전달 및 플라즈마 프로세싱 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (1000) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (1000) 은 반응물질/전구체 전달 시스템 및 플라즈마 프로세싱 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (1000) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (1000) 은 또한 압력차를 모니터링할 수도 있고 증기 전구체 전달을 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 자동으로 스위칭할 수도 있다. 제어 모듈 (1000) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (1000) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (1018) (예를 들어 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (1020) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 플라즈마 프로세싱 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들과 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 코드, 프로세스 가스 제어 코드, 프로세스 제어 코드, 히터 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고, 그리고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한, 그리고 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 선택 가능하게 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차이(들)와 미리 결정된 값(들)을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템 내의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의, 헬륨 같은 열 전달 가스의 전달을 제어할 수도 있다.
프로세싱 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되지 않지만, 질량 유량 제어 모듈들, 압력 마노미터들 (1010) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 써모커플들, 페데스탈 또는 척 (예를 들어 온도 센서들 (1014)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서의 본 발명의 실시예들의 구현예를 기술한다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공된다. 이는 총망라하거나 (exhaustive) 본 발명을 제한하도록 의도되지 않는다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않지만, 적용 가능한 경우에, 특별히 도시되거나 기술되지 않을지라도, 선택된 실시예에서 교체 가능하거나 사용될 수 있다. 동일한 것이 또한 많은 방식들로 가변될 수도 있다. 이러한 변화들은 본 발명으로부터의 일탈로서 간주되지 않고, 모든 이러한 수정들은 본 발명의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (21)

  1. 클러스터 툴 시스템에 있어서,
    프로세스 모듈 프레임들의 제 1 세트로서, 상기 제 1 세트의 프로세스 모듈 프레임들은 인접한 선형 배열로 배열되고, 상기 제 1 세트의 프로세스 모듈 프레임 각각은 제조 설비 플로어 위로 상승된 높이에서 각각의 프로세스 모듈을 지지하도록 구성되는, 상기 프로세스 모듈 프레임들의 제 1 세트;
    프로세스 모듈 프레임들의 제 2 세트로서, 상기 제 2 세트의 프로세스 모듈 프레임들은 인접한 선형 배열로 배열되고, 상기 제 2 세트의 프로세스 모듈 프레임 각각은 제조 설비 플로어 위로 상승된 높이에서 각각의 프로세스 모듈을 지지하도록 구성되는, 상기 프로세스 모듈 프레임들의 제 2 세트를 포함하고,
    상기 프로세스 모듈 프레임 각각은 상기 프로세스 모듈의 동작을 위한 설비들 및 장비가 하부 공간 내에 배치되도록 (dispose), 상기 프로세스 모듈 프레임에 의해 지지되는 상기 각각의 프로세스 모듈 아래에 상기 하부 공간을 규정하고;
    상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트는 서로 평행하게 위치되고, 클러스터 툴 시스템을 위한 상기 프로세스 모듈들의 상기 배열을 규정하고,
    서비스 터널이 상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트 사이에 규정되는, 클러스터 툴 시스템.
  2. 제 1 항에 있어서,
    상기 서비스 터널의 높이는 상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트의 높이에 의해 규정되는, 클러스터 툴 시스템.
  3. 제 1 항에 있어서,
    상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트의 내부 대면 부분들은 상기 서비스 터널의 측벽들을 규정하는, 클러스터 툴 시스템.
  4. 제 1 항에 있어서,
    상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트의 높이는 1.2 내지 2.1 미터의 범위인, 클러스터 툴 시스템.
  5. 제 1 항에 있어서,
    상기 하부 공간 내에 배치된 상기 설비들 및 상기 장비는 상기 프로세스 모듈의 상기 동작에 필요한 수평 공간을 감소시키는, 클러스터 툴 시스템.
  6. 제 1 항에 있어서,
    상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트는 상기 제조 설비 플로어 상에 놓이도록 구성되는, 클러스터 툴 시스템.
  7. 제 6 항에 있어서,
    상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트 사이에 서비스 플로어가 규정되는, 클러스터 툴 시스템.
  8. 제 7 항에 있어서,
    상기 서비스 플로어의 높이는 상기 제조 설비 플로어의 높이와 동일한, 클러스터 툴 시스템.
  9. 제 7 항에 있어서,
    상기 서비스 플로어의 높이는 상기 제조 설비 플로어의 높이 아래인, 클러스터 툴 시스템.
  10. 제 1 항에 있어서,
    상기 프로세스 모듈 프레임들의 내부 대면 부분들은 상기 프로세스 모듈 프레임들에 의해 각각 지지된 상기 프로세스 모듈들에 대해 규정된 가스 박스들의 배치 (placement) 를 위해 구성되는, 클러스터 툴 시스템.
  11. 제 1 항에 있어서,
    상기 프로세스 모듈 프레임들의 제 1 세트 및 상기 프로세스 모듈 프레임들의 제 2 세트는 SEMI E72 규격들을 준수하는, 클러스터 툴 시스템.
  12. 클러스터 툴 시스템에 있어서,
    인접한 선형 배열로 배열된 적어도 2 개의 프로세스 모듈 프레임들로서, 프로세스 모듈 프레임 각각은 제조 설비 플로어 위로 상승된 높이에서 각각의 프로세스 모듈을 지지하도록 구성되는, 상기 적어도 2 개의 프로세스 모듈 프레임들을 포함하고,
    상기 프로세스 모듈 프레임 각각은 상기 프로세스 모듈의 동작을 위한 설비들 및 장비가 하부 공간 내에 배치되도록, 상기 프로세스 모듈 프레임에 의해 지지되는 상기 각각의 프로세스 모듈 아래에 상기 하부 공간을 규정하고;
    서비스 터널이 상기 프로세스 모듈 프레임들의 측방향 측면을 따라 규정되는, 클러스터 툴 시스템.
  13. 제 12 항에 있어서,
    상기 서비스 터널의 높이는 상기 프로세스 모듈 프레임들의 높이에 의해 규정되는, 클러스터 툴 시스템.
  14. 제 12 항에 있어서,
    상기 프로세스 모듈 프레임들의 높이는 1.2 내지 2.1 미터의 범위인, 클러스터 툴 시스템.
  15. 제 12 항에 있어서,
    상기 하부 공간 내에 배치된 상기 설비들 및 상기 장비는 상기 프로세스 모듈의 상기 동작에 필요한 수평 공간을 감소시키는, 클러스터 툴 시스템.
  16. 제 12 항에 있어서,
    상기 프로세스 모듈 프레임들은 상기 제조 설비 플로어 상에 놓이도록 구성되는, 클러스터 툴 시스템.
  17. 제 16 항에 있어서,
    서비스 플로어는 상기 서비스 터널 아래에 규정되는, 클러스터 툴 시스템.
  18. 제 17 항에 있어서,
    상기 서비스 플로어의 높이는 상기 제조 설비 플로어의 높이와 동일한, 클러스터 툴 시스템.
  19. 제 17 항에 있어서,
    상기 서비스 플로어의 높이는 상기 제조 설비 플로어의 높이 아래인, 클러스터 툴 시스템.
  20. 제 12 항에 있어서,
    상기 프로세스 모듈 프레임들의 상기 측방향 측면은 상기 프로세스 모듈 프레임들에 의해 각각 지지된 상기 프로세스 모듈들에 대해 규정된 가스 박스들의 배치를 위해 구성되는, 클러스터 툴 시스템.
  21. 제 12 항에 있어서,
    상기 프로세스 모듈 프레임들은 SEMI E72 규격들을 준수하는, 클러스터 툴 시스템.
KR1020240019924A 2015-10-20 2024-02-08 반도체 제작 및 연구 팹 내의 자본 장비에서 사용되는 서비스 터널 KR20240024872A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/887,876 US9502275B1 (en) 2015-10-20 2015-10-20 Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US14/887,876 2015-10-20
KR1020160134857A KR102637157B1 (ko) 2015-10-20 2016-10-18 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160134857A Division KR102637157B1 (ko) 2015-10-20 2016-10-18 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널

Publications (1)

Publication Number Publication Date
KR20240024872A true KR20240024872A (ko) 2024-02-26

Family

ID=57287782

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160134857A KR102637157B1 (ko) 2015-10-20 2016-10-18 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널
KR1020240019924A KR20240024872A (ko) 2015-10-20 2024-02-08 반도체 제작 및 연구 팹 내의 자본 장비에서 사용되는 서비스 터널

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160134857A KR102637157B1 (ko) 2015-10-20 2016-10-18 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널

Country Status (4)

Country Link
US (2) US9502275B1 (ko)
KR (2) KR102637157B1 (ko)
CN (2) CN111739819A (ko)
TW (2) TWI780689B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9817407B2 (en) * 2014-12-01 2017-11-14 Varian Semiconductor Equipment Associates, Inc. System and method of opening a load lock door valve at a desired pressure after venting
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP2019537253A (ja) * 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 被加工物を処理するためのシステムおよび方法
JP7240980B2 (ja) * 2019-07-29 2023-03-16 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
JP7365822B2 (ja) * 2019-08-22 2023-10-20 東京エレクトロン株式会社 基板処理システム
KR20220148892A (ko) * 2020-03-02 2022-11-07 램 리써치 코포레이션 기판 프로세싱 툴들을 위한 선형 배열

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6277199B1 (en) * 1999-01-19 2001-08-21 Applied Materials, Inc. Chamber design for modular manufacturing and flexible onsite servicing
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
EP1193736A1 (en) * 2000-09-27 2002-04-03 Infineon Technologies SC300 GmbH & Co. KG Vehicle for transporting a semiconductor device carrier to a semiconductor processing tool
JP2002122340A (ja) * 2000-10-16 2002-04-26 Sony Corp 半導体装置の製造装置
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US7153079B2 (en) * 2001-09-18 2006-12-26 Murata Kikai Kabushiki Kaisha Automated guided vehicle
JP2003203963A (ja) 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
JP2006093710A (ja) * 2005-09-22 2006-04-06 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2007123326A (ja) * 2005-10-25 2007-05-17 Nikon Corp メンテナンスシステム、露光装置及びデバイス製造方法
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
KR20090013941A (ko) * 2007-08-03 2009-02-06 세메스 주식회사 반도체 제조 설비
KR100929817B1 (ko) * 2007-10-23 2009-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 장치의 제조 방법
US8070410B2 (en) * 2008-02-05 2011-12-06 Lutz Rebstock Scalable stocker with automatic handling buffer
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
WO2010105967A2 (en) * 2009-03-18 2010-09-23 Oc Oerlikon Balzers Ag Vacuum treatment apparatus
KR101331288B1 (ko) * 2010-08-06 2013-11-20 도쿄엘렉트론가부시키가이샤 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US9312155B2 (en) * 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP6106176B2 (ja) * 2011-09-14 2017-03-29 ブルックス オートメーション インコーポレイテッド ロードステーション
TWI637892B (zh) * 2011-10-26 2018-10-11 布魯克斯自動機械公司 基板處理系統
JP2013229373A (ja) * 2012-04-24 2013-11-07 Tokyo Electron Ltd 基板処理装置及びそのメンテナンス方法
US9385019B2 (en) * 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
KR102214961B1 (ko) * 2012-08-08 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs

Also Published As

Publication number Publication date
CN111739819A (zh) 2020-10-02
TWI730007B (zh) 2021-06-11
TWI780689B (zh) 2022-10-11
CN106992132A (zh) 2017-07-28
US9929028B2 (en) 2018-03-27
CN106992132B (zh) 2020-06-09
US9502275B1 (en) 2016-11-22
US20170110350A1 (en) 2017-04-20
TW202135211A (zh) 2021-09-16
TW201727799A (zh) 2017-08-01
KR20170054241A (ko) 2017-05-17
KR102637157B1 (ko) 2024-02-14

Similar Documents

Publication Publication Date Title
KR102601655B1 (ko) 통합된 버퍼들을 가진 웨이퍼 이송 어셈블리
KR102637157B1 (ko) 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
US20140216658A1 (en) Vacuum processing device
KR101764444B1 (ko) 모듈식 반도체 처리 시스템
US10304707B2 (en) Load lock interface and integrated post-processing module
JP2018170347A (ja) ウェハー搬送装置及びウェハー搬送方法
JP2012164850A (ja) 基板処理装置及び基板処理装置の表示方法

Legal Events

Date Code Title Description
A107 Divisional application of patent