JP2006528426A - 最新のLow−k材料のための紫外線硬化法 - Google Patents

最新のLow−k材料のための紫外線硬化法 Download PDF

Info

Publication number
JP2006528426A
JP2006528426A JP2006521061A JP2006521061A JP2006528426A JP 2006528426 A JP2006528426 A JP 2006528426A JP 2006521061 A JP2006521061 A JP 2006521061A JP 2006521061 A JP2006521061 A JP 2006521061A JP 2006528426 A JP2006528426 A JP 2006528426A
Authority
JP
Japan
Prior art keywords
dielectric material
curable
dielectric
cured
treated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006521061A
Other languages
English (en)
Inventor
ワルドフリード,カルロ
ハン,キンギュアン
エスコルシア,オーランド
サード,イバン,エル ベリー,ザ
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2006528426A publication Critical patent/JP2006528426A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Inorganic Insulating Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】最新のLow−k材料のための紫外線硬化法の提供。
【解決手段】改善された弾性率及び材料硬度を有する低誘電率材料。このような材料の製造方法は、誘電材料を準備すること、及び、該材料を紫外線(UV)硬化させて、UV硬化誘電材料を製造することを含む。UV硬化は、改善された弾性率及び材料硬度を有する材料をもたらす。改善は、それぞれ、典型的には、50%より大きいか、又は約50%である。UV硬化誘電材料は、所望により、UV後処理され得る。UV後処理は、LIV硬化誘電材料と比べて、材料の誘電率を低下させ、更に、改善された弾性率及び材料硬度を維持する。UV硬化誘電体は、更に、炉での硬化法よりも、硬化において、より低い総熱量を示し得る。
【選択図】図1

Description

本発明は、一般的に、半導体チップを製造するために使用される方法に関する。より特には、本発明は、集積回路(IC)誘電体として利用される特定の材料の構造特性及び信頼性を改善するための方法に関する。
低誘電率を有する新しい材料(従来、‘‘low−k誘電体’’として知られている)は、半導体チップの設計において、絶縁体として使用するために研究されている。低誘電率材料は、集積回路特性上の外形寸法を更に縮小するのに役立つ。慣用のIC加工においては、SiO2が、誘電材料のためのベースとして使用され、約3.9の誘電率を生じる。更に、最新の高密度low−k誘電材料は、約2.8以下の誘電率を有する。最も誘電率が低い物質は、空気(k=1.0)である。それ故、多孔質誘電体は、非常に低い誘電率を与える可能性を有するため、非常に有望な候補物質である。しかしながら、残念なことに、最新のlow−k誘電体は、典型的に、機械的強度が不十分であり、かつこれらの材料の堆積温度が許容可能な熱量を超え得るという問題を有する。
電子素子における薄膜誘電コーティングは、従来技術で知られている。例えば、ハルスカらによる米国特許第4,749,631号明細書及び4,756,977号明細書は、シリコンアルコキシド又は水素シルセスキオキサンの溶液を、それぞれ基材に適用し、その後、被覆した基材を200ないし1000℃の温度まで加熱することによって製造したシリカベースのコーティングを開示している。これらのコーティングの誘電率は、しばしば、特定の電子素子及び回路にとって高すぎる。
ハルスカらによる米国特許第4,847,162号明細書及び米国特許第4,842,888号明細書は、水素シルセスキオキサン樹脂及び珪酸エステルをそれぞれ、アンモニアの存在下において200ないし1000℃の温度まで加熱することによる窒化シリカコーティングの形成を教示している。
グレイサー他,Journal of Non−Crystalline Solids,63(1984)209−221頁は、アンモニアの存在下においてテトラエトキシシランを加熱することによるセラミックコーティングの形成を教示している。この文献は、無水アンモニアの使用、及び結果として生じるシリカコーティングが窒化されることを教示している。
ジャダによる米国特許第4,636,440号明細書は、基材を水性の水酸化第4級アンモニウム及び/又はアルカノールアミン化合物に曝露することからなる、ゾル−ゲル被覆基材のための乾燥時間の短縮方法を開示している。ジャダは、加熱する前に該コーティングを乾燥させることを要求している。それは、特に、加水分解された又は部分的に加水分解されたシリコンアルコキシドに限定される。
チャンドラらによる米国特許第5,262,201号明細書及びバニーらによる米国特許第5,116,637号明細書は、セラミックコーテイングへの水素シルセスキオキサンを含む全ての様々なプレセラミック材料の変換のために必要な温度を低くするための塩基触媒の使用を教示している。これらの文献は、コーティングを塩基触媒に曝露する前に、溶媒を除去することを教示している。
キャミレッティらによる米国特許第5,547,703号明細書は、湿潤アンモニア、
乾燥アンモニア及び酸素下において、順次、水素シルセスキオキサン樹脂を加熱することからなる、基材上に低誘電率のSi−O含有コーティングを形成するための方法を教示している。結果として生じたコーティングは、1MHzで2.42と同じくらい低い誘電率を有する。この文献は、コーティングをセラミックに変換する前に、溶媒を除去することを教示している。
バランスらによる米国特許第5,523,163号明細書は、水素シルセスキオキサン樹脂を加熱して、それをSi−O含有セラミックコーティングに変換し、その後、該コーティングを、水素ガスを含むアニール雰囲気に曝露することからなる、基材上にSi−O含有コーティングを形成するための方法を教示している。結果として生じたコーティングは、2.773と同じくらい低い誘電率を有する。この文献は、コーティングをセラミックに変換する前に、溶媒を除去することを教示している。
シクティッチらによる米国特許第5,618,878号明細書は、厚いセラミックコーティングを形成するために有用な飽和アルキル炭化水素中に溶解された水素シルセスキオキサン樹脂を含むコーティング組成物を開示している。開示されたアルキル炭化水素は、ドデカンまでのものである。この文献は、溶媒除去前に、塩基触媒へ被覆基材を曝露することを教示していない。
チャンらによる米国特許第6,231,989号明細書は、低誘電率を有する多孔質網状コーテイングの製造方法を開示している。この方法は、堆積後、少なくとも5容積%の溶媒がコーティング中に残るような方法において、少なくとも2つのSi−H基を含む樹脂と溶媒からなる溶液を用いて、基材上にコーティングを堆積させることからなる。その後、コーティングは、塩基触媒及び水を含む環境下に曝露される。最後に、溶媒がコーティングから蒸発させられ、多孔質網状コーティングを形成する。所望により、コーティングは、加熱によって硬化され、セラミックを形成し得る。この方法によって製造された膜は、1.5ないし2.4の範囲の誘電率、約2ないし約3GPaの弾性率を有する。
スピン−オン法及び化学蒸着法によって又は自己組織化法によって製造されたLow−k誘電材料は、典型的には、堆積の後に硬化プロセスを必要とする。low−k膜を硬化させるための典型的な加工条件は、約350ないし約450℃の温度においての30ないし180分間の窒素パージした炉でのアニールを含む。米国特許出願第09/681,332号(現在、米国特許第6,558,755号明細書)、米国特許出願第09/952,649号、米国特許出願第09/906,276号及び米国特許出願第10/627,894号に記載されるように、参照としてここに組込まれるこれらの開示において、熱硬化又はプラズマ処理の代わりに、low−k膜は、実質的により短時間で又はより低い温度においてUV硬化され得り、事前の炉での硬化の必要がなくなるため、総熱量が減少する。
米国特許第4,749,631号明細書 米国特許第4,756,977号明細書 米国特許第4,847,162号明細書 米国特許第4,842,888号明細書 Journal of Non−Crystalline Solids,63(1984)209−221頁 米国特許第4,636,440号明細書 米国特許第5,262,201号明細書 米国特許第5,116,637号明細書 米国特許第5,547,703号明細書 米国特許第5,523,163号明細書 米国特許第5,618,878号明細書 米国特許第6,231,989号明細書
しかしながら、電気的特性を妥協することなく又は低下させることなく、改善された構造特性、例えば改善された弾性率及び材料硬度を有する他のlow−k材料を製造するための方法がまだ必要とされている。
本発明は、最新のlow−k材料のための紫外線硬化法を提供することによって、その要求を満たす。
本発明は、特定の利点又は機能に制限されないが、注目すべきは、本発明の方法は、低い誘電率及び改善された弾性率及び材料硬度を有する材料を製造するか又は同程度の弾性率及び硬度を有する材料を製造し、かつ炉での硬化と比較して、総熱量を減少させ得ることにある。
本発明の1つの態様に従って、第1の誘電率を有し、第1の弾性率を有し、かつ第1の材料硬度を有する誘電材料を準備することからなるUV硬化材料の製造方法を提供する。誘電材料を、紫外線(UV)照射で硬化させて、第1の誘電率と同程度の第2の誘電率を有し、第1の弾性率よりも大きい第2の弾性率を有し、かつ第1の材料硬度より大きい第2の材料硬度を有するUV硬化誘電材料を製造する。‘‘同程度の’’は、第1の誘電率の約±20%の範囲内を意味する。弾性率及び材料硬度の増加は、典型的には、50%より大きいか、又は約50%である。
UV硬化誘電材料を、所望により、UV後処理して、第3の誘電率を有し、第3の弾性率を有し、かつ第3の材料硬度を有するUV後処理したUV硬化誘電材料を得ることができる。UV硬化誘電材料のUV後処理は、材料の誘電率を低下させ、その上、UV後処理前の弾性率及び材料硬度と比較して、弾性率及び材料硬度の増加を維持する。より特には、第3の弾性率及び材料硬度は、それぞれ、第2の弾性率及び材料硬度の±20%より大きいか、又は約±20%の範囲内である。
UV硬化材料の製造方法は、更に、誘電材料を加工チャンバ中に置くこと、加工チャンバを排気又はパージして、室内空気を除去すること、加工ガスを加工チャンバへ供給すること、誘電材料を加熱すること、及び、誘電材料をUV照射に曝露することを含み得る。
本発明の他の態様に従って、UV硬化材料の製造方法であって、未硬化のlow−k誘電材料を準備すること、及び、該誘電材料を、約5分間より短い時間で又は約450℃より低い温度でUV硬化させて、UV硬化誘電材料を製造することからなり、UV硬化の総熱量が、炉で硬化させる方法と比べて、約50%より大きく減少する方法が提供される。
従って、改善された弾性率及び材料硬度、及び低い誘電率を有する誘電材料を製造することは本発明の目的である。
更に、形成及び硬化プロセスが、短い時間又は低い温度又は短い時間、かつ低い温度で起こり、結果として該プロセスにおける熱量が減少するところの誘電材料を製造することは本発明の目的である。
これらの及び他の本発明の特徴及び利点は、添付の図面と共にひとまとめにして考えられる以下の本発明の詳細な説明からより詳しく理解され得る。請求の範囲の意図は、そこの詳述によって定義され、決して、本明細書中で示された特徴及び利点の具体的な論議で
はないことに注意すべきである。
以下の本発明の態様の詳細な説明は、構造等を参照番号等と共に示した以下の図面と共に読む場合、最も理解され得る:
図1は、熱硬化、O2中での熱硬化及びUV硬化、及びN2中での熱硬化及びUV硬化が行われたMSQベースのスピン−オン low−k膜のFTIRスペクトルを示す図表である。
図2は、未硬化の、O2中でのUV硬化、及びN2中でのUV硬化が行われたHSQベースのスピン−オン low−k膜のFTIRスペクトルを示す図表である。
図3は、未硬化の、O2中でのUV硬化、及びN2中でのUV硬化が行われた5%MSQ/95%HSQベースのスピン−オン low−k膜のFTIRスペクトルを示す図表である。
図4は、未硬化の、及びUV硬化が行われたCDV low−k膜のFTIRスペクトルを示す図表である。
当業者は、図中の成分が簡単かつ明瞭に示され、かつ必ずしも一定の縮尺で書かれていないことを正しく理解する。本発明の態様の理解の向上を助けるために、例えば、図面中のいくつかの成分の大きさは、他の成分と比べて、強調され得る。
本発明は、実質的に、あらゆる誘電材料のUV硬化は、炉での硬化よりもかなり速く行われ得、かつ、一般に、材料の弾性率(ヤング率)及び材料硬度を増加させ得、更に、低い誘電率特性を維持することを見出したことに基づく。誘電材料は、有機材料、無機材料又はそれらの組み合わせを含み得るが、これらに制限されない。より特には、誘電材料は、水素シルセスキオキサン(HSQ)誘電材料、メチルシルセスキオキサン(MSQ)誘電材料、炭素ドープ酸化物(CDO)誘電材料、水素化シリコン オキシ−炭化物(SiCOH)誘電材料、ベンゾシクロブテン(BCB)誘電材料、アリールシクロブテンベースの誘電材料、ポリフェニレンベースの誘電材料、及びこれらの組み合わせを含み得るが、これらに制限されず、そして、スピン−オン法、化学蒸着(CVD)法、プラズマ増強CVD法、蒸発法、反応性蒸発法又は自己組織化堆積法を使用して、基材上に誘電コーティングを堆積させることによって製造され得る。誘電材料は、多孔質又は高密度であり得る。多孔質誘電材料は、ポロゲンを用いて生成された(porogen−generated)、溶媒を用いて形成された、又は分子設計された複数の孔を有し得、そして、これらの孔は、相互に連結し得るか又は閉じ得り、かつランダムに又は垂直孔等のように規則正しく分布し得る。
いくつかのlow−k材料においては、様々な波長又は温度を使用して、UV硬化誘電材料をUV後処理して、より低い誘電率を有し、かつ同等の、又は更に改善された弾性率及び材料硬度を有する材料を製造する。
本発明の方法は、特に、電子素子又は電子回路上のコーテイングの堆積に適用でき、そして、コーティングは、層間誘電体層、トランジスタのような素子を製造するためのドープされた誘電体層、コンデンサ及びコンデンサのような素子を製造するためのシリコンを含む顔料配合結合剤系、多層素子、3−D素子、絶縁体素子上のシリコン、超格子素子等として役立ち得る。しかしながら、本発明によって被覆されるべき基材及び素子の選択は、本発明で使用される温度及び圧力における基材の熱安定性及び化学安定性の要求によってのみ制限される。このように、本発明の誘電体材料は、例えば、ポリイミド、エポキシ、ポリテトラフルオロエチレン及びそのコポリマー、ポリカーボネート、アクリル及びポリエステル、セラミック、皮、織物、金属、半導体等を含むプラスチック等の基材上に使用され得る。
本発明において使用される‘‘セラミック’’という表現は、非晶質シリカ等のセラミック、及び、炭素原子及び/又は水素原子を完全に取り除いているのではないが、しかし性質においてセラミックである非晶質シリカ様材料等のセラミック様材料を含む。‘‘電子素子’’又は‘‘電子回路’’という表現は、シリコンベース素子、ガリウムヒ素ベースの素子、炭化ケイ素ベースの素子、焦点面アレイ、光電子素子、光電池及び光素子を含むが、これらに制限されない。
誘電材料は、本発明のための出発物質として必要とされる。このような材料の1つは、例えば、オーロラ(登録商標:AURORA)、ASMインターナショナルN.V(オランダ国、ビルトーベン)社製の水素化シリコン オキシ−炭化物(SiCOH)誘電材料等の最新のCVD low−k膜である。このような最新のlow−k誘電材料は、プラズマ増強化学蒸着法を使用して製造され、かつ、典型的には、約2.5の又は2.5未満のk値を有する。CVD low−k材料の他の例は、アプライド マテリアルズ(登録商標:Applied Materials)インコーポレーテッド(カナダ国、サンタ
クララ)製のブラック ダイヤモンド(登録商標:Black Diamond)膜、ノベルス システムズ インコーポレーテッド(カナダ国、サン ジョセ)製のコラル(登録商標:Coral)low−k材料及びトリコン テクノロジーズ インコーポレーテッド(イギリス国、サウスウェルズ、ニューポート)製のオリオン(登録商標:Orion)材料を含む。本発明で使用するスピン−オンに基づく典型的な誘電材料は、1)ダウ コーニング コーポレーション(ミシガン州、ミッドランド)製のFOx−HSQベースの誘電材料及びXLK多孔質HSQベースの誘電材料、及び2)ケマット テクノロジーズ インコーポレーテッド(カナダ国、ノースリッジ)及びJSRコーポレーション(日本、東京)製のlow−k 多孔質誘電性MSQベースの材料を含む。
本発明で使用する典型的な最新のlow−k誘電材料の製造は、従来技術においてよく知られている。このような誘電材料の製造方法の1つは、米国特許第6,231,989号明細書に開示された多孔質網状コーティングであり、そしてこれは、超低誘電率を有する多孔質誘電材料の製造方法を教示するための参照としてここに組込まれる。該特許は、孔がHSQベースの膜中に導入されるところの約1.5ないし約2.4の誘電率を有する超低誘電率コーティングの製造を記載している。熱条件下で硬化する米国特許第6,231,989号明細書で教示された方法に従って製造されたHSQベースの膜は、約20ないし約60%のSi−H結合密度を含む。コーティングの誘電率が約2.0である場合、コーティングは、約2ないし約3GPaの弾性率を有する。
最新のlow−k誘電体の2番目の製造方法は、2.5ないし3.0と同じくらい低い誘電率を有するオルガノシリコンオキシド誘電体膜の形成を記載する米国特許第6,558,747号明細書に開示されている。代替法は、最新のlow−k誘電体が化学蒸着系において、シリコン含有ガスとペルオキシド化合物を反応させることによって形成されるところの米国特許第6,475,564号明細書、及びプラズマCVD法によるSiCOHベースの最新のlow−k材料の形成が記載される米国特許第6,383,955号明細書に記載されている。
もう一つの製造方法及び最新のlow−k材料は、大きなアルキル基を含むシロキサン樹脂を熱により硬化させること、及びアルキル基を熱分解して、コーティングに多くの孔をつくることである。参照としてここに組込まれるゾーングによる米国特許第6,143,360号明細書及び米国特許第6,184,260号明細書に開示されるように、ヒドリドシリコン含有樹脂を、白金族金属含有ヒドロシリル化触媒の存在下において約8ないし約28個の炭素原子からなる1−アルケンと接触させ、シリコン原子の少なくとも約5%が少なくとも1つの水素原子で置換されたアルキルヒドリドシロキサン樹脂を形成させ、そして、結果として生じた樹脂を、該樹脂を硬化させるのに十分な温度で加熱して、シ
リコン原子からアルキル基を加熱分解して、それにより、ナノ多孔質のシリコーン樹脂を形成する。
参照としてここに組込まれるゾーングらによる米国特許第6,232,424号明細書、米国特許6,359,096号明細書、米国特許第6,313,045号明細書及び米国特許第6,541,107号明細書は、シリコーン樹脂及びシリコーン樹脂から製造された多孔質コーティングを開示している。シリコーン樹脂は、15ないし70mol%のテトラアルコキシシラン、12ないし60mol%の式R’SiX3(式中、R’は、水素原子又は1ないし6個の炭素原子を含むアルキル基を表わす。)で表わされるオルガノシラン及び15ないし70mol%の式R’’Si(OR’’’)3(式中、R’’は、約8ないし24個の炭素原子を含む炭化水素基又は約8ないし24個の炭素原子を有する炭化水素鎖を含む置換された炭化水素基を表わす。)で表わされるオルガノトリアルコキシシランを含む混合物から製造される。
参照としてここに組込まれるゾーングによる米国特許第6,596,834号明細書は、一般式(R1SiO3/2x(HSiO3/2y(式中、R1は、8ないし24個の炭素原子を有するアルキル基を表わす。)を有するシリコーン樹脂から製造された多孔質コーティングを開示している。ここで製造されたコーティングは、1.5ないし2.3の誘電率を有する。上記で参照した特許は、更に、式(R1SiO3/2x(HSiO3/2y(式中、R1は、3,7,11,15−テトラメチル−3−ヒドロキシ−ヘキサデシル基を表わす。)を有する樹脂から2工程で製造された多孔質low−k誘電コーティングに関する以下の記載を提供している。
参照としてここに組込まれる2001年9月12日に出願されたゾーングによる、発明名称‘‘シリコーン樹脂及びそれから製造された多孔質材料’’の米国特許出願第09/951,899号は、一般式(R1SiO3/2u(HSiO3/2v(SiO4/2w(HOSiO3/2z(式中、R1は、アルキル鎖上に垂れ下がった位置に少なくとも1つの電子求引基を含む8ないし24個の炭素原子を有する枝分かれしたアルキル基を表わし、uは、0.1ないし0.7の値を有し、vは、0.12ないし0.6の値を有し、zは≧0.5であり、w+zは、0.15ないし0.7の値を有し、かつu+v+w+z=1である)を有するシリコーン樹脂から製造された多孔質コーティングを開示している。
工程1.樹脂サンプルは、以下に記載した成分(A)、(B)、(C)、(D)、(E)及び(F)を上記で参照した米国特許出願の表1に記載された量で組み合わせることによって製造した:
(A) 0.45モル部のトリエトキシシラン、
(B)0.25モル部のオルガノトリエトキシシラン、RSi(OR’)3(式中、Rは、3,7,11,15−テトラメチル−3−ヒドロキシ−ヘキサデシル基を表わす。)
(C)0.30モル部のテトラエトキシシラン、及び
(D)結果として生じる樹脂の濃度が9%となるのに十分な量のメチルイソブチルケトン(MIBK)とイソブチルイソブチレートの混合物(6:4の質量比)。

この混合物に、(E)水と(F)塩化水素の混合物を、上記で参照した特許出願の表1に記載された量で添加した。結果として生じた反応生成物を、固体含有率が14ないし21%になるまで、60℃で減圧下において揮発させた。イソブチルイソブチレートを添加して、固体含有率を14%とした。その後、溶液を、還流下で2時間加熱し、生成した水を、継続的に除去した。その後、イソブチルイソブチレートを揮発させて除去し、シクロヘキサンを添加することによって、溶媒をシクロヘキサンに交換した。
工程2.結果として生じた樹脂溶液を、誘電率測定のために適当なシリコンウェハ上に
スピンコートし、窒素フロー中で、440℃において1時間、硬化させた。誘電率を測定したところ、1.9であった。また、スピンコート膜の硬化は、プラズマ及び/又はUV増強法を用いることにより速められ得る。
参照としてここに組込まれるアルバウらによる米国特許出願第09/915,899号は、(RSiO3/2)(R’SiO3/2)(R’’SiO3/2)樹脂(式中、Rは、1ないし5個の炭素原子を有するアルキル基又は水素原子を表わし、R’は、枝分かれしたアルコキシ基を表わし、R’’は、6ないし30個の炭素原子を有する置換された又は未置換の、直鎖の、枝分かれした又は環状の一価の有機基を表わす。)を含む樹脂からの多孔質コーティングを開示している。
参照としてここに組込まれるボイスベートらによる米国特許出願第09/915,903号及びアルバウらによる米国特許出願第09/915,902号は、式TRTR’(式中、Rは、メチル基又は水素基を表わし、かつR’は、枝分かれしたアルコキシ基を表わす。)で表わされる樹脂から製造された多孔質コーティングを開示している。
低誘電率を有する誘電材料は望ましいが、より高い弾性率及び材料硬度を有する誘電材料を得ることは、更に有益であり得る。
誘電材料の弾性率を高めるために、それは、UV硬化法を受けさせられる。UV硬化法は、low−k誘電材料の機械的性質を改善し、材料硬度を増加させ、更に、誘電性の孔(dielectric pore)、構造、密度及び電気的性質を維持する。
典型的なUV硬化法においては、UV照射装置が利用され、そしてそれは、まず、UV照射が最小スペクトル吸収で加工チャンバに入るように、窒素、ヘリウム又はアルゴンでパージされる。誘電材料は、加工チャンバ内に置かれ、単独でパージされ、そして、その際、N2、H2、Ar、He、Ne、H2O蒸気、COz、Oz、Cxy、Cxy、Cxzy(前記各式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、かつzは1ないし3の整数を表わす。)及びそれらの混合物等の加工ガスが、様々な用途に応じて利用され得る。これに関して、UV硬化は、真空条件で又は酸素又は酸化ガスが存在しない条件で起こり得る。様々なスペクトル分布を有するUV発生バルブ(UV generating bulb)が、用途に応じて、例えば、アクセリス テクノロジーズ社(マサチューセッツ州、べバリー)製のHL又はHL−バルブ等から選択され得る。このようなものとして、UV光源は、マイクロ波発生光源、アーク放電光源、誘電バリア放電光源、又は電子衝撃発生光源であり得る。ウェハ温度は、所望により、赤外線光源、光学光源、熱面又はUV光源それ自体によって、ほぼ室温ないし約450℃の範囲で調節され得る。加工圧力は、大気圧未満の、大気圧より大きい又はほぼ大気圧と等しい圧力であり得る。
200mmウェハのための典型的なUV硬化条件の例を以下に示す。
UV出力 :0.1mWないし2000mW/cm2
UV波長 :100ないし600nmの連続スペクトル分布
ウェハ温度 :室温ないし450℃
加工圧力 :大気圧に対して<、>、または=
UV硬化時間:<300秒
加工ガス :He/Ne/Ar/H2/N2/H2O/CO/CO2/Cxy
/O2/Cxzy
炉(熱)で硬化した又は未硬化の誘電材料と比べて、UV硬化誘電材料の弾性率及び材料硬度は増加する。炉で硬化した又は未硬化の最新のlow−k材料は、典型的には、その誘電率が約1.6ないし約2.7である場合、約0.5GPaないし約8GPaの弾性
率を有する。典型的には、UV硬化誘電材料の弾性率は、2.5GPaより大きいか、又は約2.5GPaの、より典型的には約4GPaないし約12GPaである。炉で硬化した又は未硬化の膜の材料硬度は、約0.1GPaである。典型的には、UV硬化誘電材料の材料硬度は、0.25GPaより大きいか、又は約0.25GPaの、より典型的には約0.25GPaないし約1.2GPaである。
更に、炉での硬化が、典型的には、約420℃の温度において30分間ないし3時間、操作するのに対し、UV硬化法では、典型的には、約420℃又は420℃未満の温度において約20秒間ないし約5分間、操作すればよい。それ故、UV硬化法は、炉での硬化よりも総熱量を減少させる。
本発明のUV硬化誘電材料は、改善された化学安定性及び改善された寸法安定性を有する。改善された‘‘化学安定性’’は、誘電材料が、清浄液及び化学研磨溶液等の化学物質、及び、フォトレジストアッシング及びドライエッチング加工中のプラズマ損傷に対してより耐性を有することを意味する。
しかしながら、いくつかのlow−k材料及び加工ガスの組み合わせにおいて、UV硬化法は、誘電材料中にいくつかの望ましくない極性種を生じさせ得る。これらの場合において、UV硬化誘電材料は、所望により、誘電率を低下させるために、及び/又は、更に弾性率及び材料硬度を増加させるために、所望により、熱、UV又はプラズマ曝露を使用してUV後処理され得る。例えば、UV硬化誘電材料は、極性種が除去されるまで、例えば、約400℃ないし約450℃の温度において約30分間ないし約60分間、慣用のオーブン中に該材料を置くことによってアニールされ得る。また、該材料をアニールするためのもう一つの方法は、誘電率を低下させるために、急速アニール加工(Rapid Anneal Processing)(RAP)チャンバ中でUV硬化誘電材料をアニールすることを含む。UV硬化誘電材料は、典型的な温度において十分な時間アニールされ、約100℃まで冷却される。しかしながら、RAPは、ほとんどの用途において、必要とされ得ない。
RAP法のための典型的な操作条件を以下に示す。
ランプ率 :15ないし150℃/秒
ウェハ温度 :150ないし450℃
アニール時間:<120秒
加工圧力 :大気圧
雰囲気 :N2
UV後処理はまた、UV硬化誘電材料を更なるUV条件に曝露することによっても行われ得る。典型的には、UV硬化誘電材料は、300秒間未満の又は約300秒間の時間、より特には約60秒間ないし約180秒間、UV処理される。また、UV処理は、ほぼ室温ないし約450℃の温度;大気圧未満の、大気圧より大きい又はほぼ大気圧と等しい加工圧力;約0.1ないし約2000mW/cm2のUV出力;及び約100ないし約400nmのUV波長スペクトルにおいて行われ得る。更に、UV硬化誘電材料は、N2、Oz、Ar、He、H2、H2O蒸気、COz、Cxy、Cxy、Cxzy(前記各式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、かつzは1ないし3の整数を表わす。)、空気及びそれらの組み合わせ等の加工ガスパージでUV処理され得る。
使用され得る他の種類のUV後処理は、高温におけるプラズマ条件へのUV硬化誘電材料の曝露を含む。典型的なプラズマ増強UV後処理において、O2、N2、H2、Ar、He、Cxy(式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わす。)、フッ素含有ガス及びこれらの組み合わせ等の加工ガスが、様々な用途に応じて利用さ
れ得る。ウェハ温度は、ほぼ室温ないし約450℃の範囲で調節され得る。典型的には、UV硬化誘電材料は、約1トルないし約10トルの加工圧力でプラズマ処理される。
200mm及び300mmウェハのための典型的なプラズマ増強UV後処理条件の例を以下に示す。
Figure 2006528426
UV硬化誘電材料と比べ、UV後処理したUV硬化誘電材料の誘電率は低下する。UV後処理したUV硬化誘電材料の誘電率は、典型的には、約1.1ないし約3.5、より典型的には約1.6ないし約2.4である。
UV硬化した多孔質low−k膜の典型的な材料性質を、以下の表1に示す。
表1:UV硬化した多孔質low−k材料の性質
Figure 2006528426
UV硬化した最新の高密度low−k膜の典型的な材料性質を以下の表2に示す。
表2:UV硬化した最新の高密度low−k材料の性質
Figure 2006528426
本発明をより簡単に理解し得るために、参考として、以下の実施例を示すが、これは、本発明を説明することを意図するものであり、本発明の範囲を制限するものではない。
以下の図面は、UV硬化の特性を示す。実施例は、(i)MSQベースのlow−k膜、(ii)HSQベースのlow−k膜、(iii)MSQ/HSQ混合物のlow−k膜、及び(iV)プラズマ増強CVD蒸着によるSiCOH low−k膜について示したものであり、全ての膜は、約5000Åの厚さを有する。
実施例1:MSQベースのlow−k膜
MSQベースのlow−k膜のFTIRスペクトルは、1280cm-1及び3000c
-1付近のSi−CH3特性バンド、並びに1100cm-1付近の2つのSi−Oピークを特徴とする。これらの膜のUV硬化は、典型的には、100%の又は100%より高い弾性率の増加をもたらし、そしてそれは、Si−CH3バンドの一部除去及びケージ(より高い波数)Si−Oバンド対ネットワーク(より低い波数)Si−Oバンドの割合の変化によって、FTIRスペクトルに反映される。
UV処理は、MSQベースの多孔質low−k膜を効率よく硬化させ得る。図1は、MSQベースの多孔質low−k膜のFTIRスペクトルを示す;熱硬化(下)、O2中で1分間、熱+UV硬化(中央)、及びN2中で5分間、熱+UV硬化(上)。硬化効果は、チャンバパージガスの組成に強く依存する。UV硬化において、O2がN2よりもより効果的であることが観察された。
実施例2:HSQベースのlow−k膜
HSQベースのlow−k膜のFTIRスペクトルは、2200cm-1付近のSi−Hバンド、SiO−H伸縮モードに起因する850cm-1付近のバンド及び1100cm-1付近の2つのSi−Oピークを特徴とする。典型的には、100%の又は100%より高い弾性率の増加をもたらこれらの膜の硬化は、Si−Hバンドの完全な除去及びケージSi−Oバンド対ネットワークSi−Oバンドの割合の変化によって、FTIRスペクトルに反映される。
UV処理は、HSQベースのlow−k膜を効率よく硬化させ得る。図2は、HSQベースのlow−k膜のFTIRスペクトルを示す;未硬化(破線)、O2中で60秒間、UV硬化(一点鎖線)、及びN2中で60秒間、UV硬化(実線)。しかしながら、硬化の効率は、チャンバパージガスの組成に依存する。UV硬化において、O2がN2よりもより効果的であることが観察された。
実施例3:HSQ/MSQ混合物のlow−k膜
HSQ/MSQ混合物のlow−k膜のFTIRスペクトルは、通例の2200cm-1付近のSi−Hバンド、850cm-1付近のSiO−H伸縮モードバンド及び1100cm-1付近の2つのSi−Oピークを特徴とする。更に、1280cm-1付近にSi−CH3特性がある。この具体例として、5%のMSQ/95%のHSQ混合物の膜が研究されてきた。これらの膜のUV硬化は、純粋なHSQベースの膜よりも、UVパージガス混合物により強い依存性を示す。それにもかかわらず、O2パージしたUV処理は、low−k膜の効果的かつ効率の良い硬化をもたらす。
図3は、5%MSQ/95%HSQベースのlow−k膜のFTIRスペクトルを示す;未硬化(破線)、O2中で60秒間、UV硬化(一点鎖線)、及びN2中で60秒間、UV硬化(実線)。全ての場合において、その後の、又は、場合によっては同時のアニール工程が、典型的に、UV硬化加工中に発生するSi−OH結合を除去するために必要である。
実施例4:SiCOH CVD low−k膜
SiCOH CVD low−k膜のFTIRスペクトルは、1230cm-1付近のSi−CH3バンド、2950cm-1付近のC−Hバンド、並びに1100cm-1付近の2つのSi−Oピークを特徴とする。これらの膜のUV硬化は、典型的には、約50%の又は50%より高い弾性率及び材料硬度の増加をもたらし、そしてそれは、増加した網状構造(networking)を示す、2つのSi−Oバンドの割合の変化によって、FTIRスペクトルに反映される。Si−CH3及びC−Hバンドにおいて、典型的には、多少の減少が見られるが、これらの特定のバンドの減少は、好ましくは、最小化されるか又は除かれる。
UV処理は、SiCOH CVD low−k膜を効率よく硬化させ得る。図4は、CVD low−k膜のFTIRスペクトルを示す:未硬化(実線)及びHe中で120秒間、UV硬化(破線)。UV硬化は、HLバルブ、加工チャンバの大気圧におけるHeパ
ージ、及び約380℃のウェハ温度を用いて行われた。
以上、本発明を説明するために、いくつかの特定の態様及び詳細を説明してきたが、ここに開示した組成物及び方法における様々な変化が、本発明の請求の範囲から逸脱することなく為され得ることは、当業者にとって明白であり得る。つまり、それは、本発明が、開示した態様に制限されず、以下の請求の範囲の言いまわしによって認められる十分な範囲を有することを意図する。
図1は、熱硬化、O2中での熱硬化及びUV硬化、及びN2中での熱硬化及びUV硬化が行われたMSQベースのスピン−オン low−k膜のFTIRスペクトルを示す図表である。 図2は、未硬化の、O2中でのUV硬化、及びN2中でのUV硬化が行われたHSQベースのスピン−オン low−k膜のFTIRスペクトルを示す図表である。 図3は、未硬化の、O2中でのUV硬化、及びN2中でのUV硬化が行われた5%MSQ/95%HSQベースのスピン−オン low−k膜のFTIRスペクトルを示す図表である。 図4は、未硬化の、及びUV硬化が行われたCDV low−k膜のFTIRスペクトルを示す図表である。

Claims (66)

  1. UV硬化材料の製造方法であって、
    第1の誘電率を有し、第1の弾性率を有し、かつ第1の材料硬度を有する誘電材料を準備すること、及び、
    該誘電材料をUV硬化させて、該第1の誘電率の約±20%の範囲内の第2の誘電率を有し、該第1の弾性率より大きい第2の弾性率を有し、かつ該第1の材料硬度より大きい第2の材料硬度を有するUV硬化誘電材料を製造すること、
    からなる方法。
  2. 誘電材料が、有機材料、無機材料又はそれらの組み合わせから選択される、請求項1記載の方法。
  3. 誘電材料が、水素シルセスキオキサン(HSQ)誘電材料、メチルシルセスキオキサン(MSQ)誘電材料、炭素ドープ酸化物(CDO)誘電材料、水素化シリコン オキシ−炭化物(SiCOH)誘電材料、ベンゾシクロブテン(BCB)誘電材料、アリールシクロブテンベースの誘電材料、ポリフェニレンベースの誘電材料、又はそれらの組み合わせから選択される、請求項1記載の方法。
  4. 誘電材料が、スピン−オン法、化学蒸着法、プラズマ増強化学蒸着法、蒸発法、反応性蒸発法又は自己組織化堆積法を使用して、基材上に誘電コーティングを堆積させることによって製造される、請求項1記載の方法。
  5. 誘電材料が、高密度誘電材料、ポロゲンを用いて生成された多孔質誘電材料(porogen−generated porous dielectric material)、溶媒を用いて形成された多孔質誘電材料、又は分子設計された多孔質誘電材料、又はそれらの組み合わせから選択される、請求項1記載の方法。
  6. 誘電材料が、300秒間未満の又は約300秒間の時間、UV硬化される、請求項1記載の方法。
  7. 誘電材料が、約60秒間ないし約180秒間、UV硬化される、請求項1記載の方法。
  8. 誘電材料が、UV硬化中、80℃より高いか、又は約80℃のウェハ温度を有する、請求項1記載の方法。
  9. 誘電材料が、UV硬化中、ほぼ室温ないし約450℃のウェハ温度を有する、請求項1記載の方法。
  10. 誘電材料が、大気圧未満の又はほぼ大気圧に等しい加工圧力においてUV硬化される、請求項1記載の方法。
  11. 誘電材料が、大気圧より高いか、又はほぼ大気圧に等しい加工圧力においてUV硬化される、請求項1記載の方法。
  12. 誘電材料が、約0.1ないし約2000mW/cm2のUV出力でUV硬化される、請求項1記載の方法。
  13. 誘電材料が、加工ガスパージでUV硬化され、かつパージガスが、N2、Oz、Ar、He、H2、H2O蒸気、COz、Cxy、Cxy、Cxzy(前記各式中、xは1ないし6
    の整数を表わし、yは4ないし14の整数を表わし、かつzは1ないし3の整数を表わす。)、空気及びそれらの組み合わせから選択される、請求項1記載の方法。
  14. 誘電材料が、約100nmないし約400nmのUV波長スペクトルを使用してUV硬化される、請求項1記載の方法。
  15. 誘電材料の第1の弾性率とUV硬化誘電材料の第2の弾性率の間の弾性率の増加が50%より大きいか、又は約50%である、請求項1記載の方法。
  16. UV硬化誘電材料の第2の弾性率が、2.5GPaより大きいか、又は約2.5GPaである、請求項1記載の方法。
  17. UV硬化誘電材料の第2の弾性率が、約4GPaないし約12GPaである、請求項1記載の方法。
  18. 誘電材料の第1の材料硬度とUV硬化誘電材料の第2の材料硬度の間の材料硬度の増加が50%より大きいか、又は約50%である、請求項1記載の方法。
  19. UV硬化誘電材料の第2の材料硬度が、0.25GPaより大きいか、又は約0.25GPaである、請求項1記載の方法。
  20. UV硬化誘電材料の第2の材料硬度が、約0.25GPaないし約1.2GPaである、請求項1記載の方法。
  21. 更に、UV硬化誘電材料をUV後処理して、第2の誘電率未満の第3の誘電率を有し、第2の弾性率より大きいか、又は第2の弾性率の約±20%の範囲内の第3の弾性率を有し、かつ第2の材料硬度より大きいか、又は第2の材料硬度の約±20%の範囲内の第3の材料硬度を有するUV後処理したUV硬化誘電材料を得ることを含む、請求項1記載の方法。
  22. UV後処理したUV硬化誘電材料の第3の誘電率が、約1.1ないし約3.5である、請求項21記載の方法。
  23. UV後処理したUV硬化誘電材料の第3の誘電率が、約1.6ないし約2.4である、請求項21記載の方法。
  24. UV後処理が、熱アニールである、請求項21記載の方法。
  25. UV硬化誘電材料が、450℃未満の又は約450℃の温度でアニールされる、請求項24記載の方法。
  26. UV硬化誘電材料が、約150℃ないし約450℃の温度でアニールされる、請求項24記載の方法。
  27. UV硬化誘電材料が、60分間未満の又は約60分間の時間、アニールされる、請求項24記載の方法。
  28. UV後処理が、UV硬化誘電材料を高温においてプラズマ条件に曝露することによるプラズマ処理である、請求項21記載の方法。
  29. UV硬化誘電材料が、約500ないし約3000Wのプラズマ出力でプラズマ処理される、請求項28記載の方法。
  30. UV硬化誘電材料が、約100ないし約450℃の温度でプラズマ処理される、請求項28記載の方法。
  31. UV硬化誘電材料が、90秒間未満の又は約90秒間の時間、プラズマ処理される、請求項28記載の方法。
  32. UV硬化誘電材料が、約1トルないし約10トルの加工圧力においてプラズマ処理される、請求項28記載の方法。
  33. UV硬化誘電材料が、プラズマガスでプラズマ処理され、かつ該プラズマガスが、N2、O2、Ar、He、H2、Cxy(式中、xは1ないし6の整数を表わし、かつyは1ないし14の整数を表わす。)、フッ素含有ガス又はそれらの組み合わせから選択される、請求項28記載の方法。
  34. UV後処理が、UV硬化誘電材料をUV条件に曝露することによるUV処理である、請求項21記載の方法。
  35. UV硬化誘電材料が、300秒間未満の又は約300秒間の時間、UV処理される、請求項34記載の方法。
  36. UV硬化誘電材料が、約60秒間ないし約180秒間、UV処理される、請求項34記載の方法。
  37. UV硬化誘電材料が、ほぼ室温ないし約450℃の温度でUV処理される、請求項34記載の方法。
  38. UV硬化誘電材料が、大気圧未満の又はほぼ大気圧に等しい加工圧力においてUV処理される、請求項34記載の方法。
  39. UV硬化誘電材料が、大気圧より高いか、又はほぼ大気圧に等しい加工圧力においてUV処理される、請求項34記載の方法。
  40. UV硬化誘電材料が、約0.1ないし約2000mW/cm2のUV出力でUV処理される、請求項34記載の方法。
  41. UV硬化誘電材料が、加工ガスパージでUV処理され、かつパージガスが、N2、Oz、Ar、He、H2、H2O蒸気、COz、Cxy、Cxy、Cxzy(前記各式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、かつzは1ないし3の整数を表わす。)、空気及びそれらの組み合わせから選択される、請求項34記載の方法。
  42. UV硬化誘電材料が、約100nmないし約400nmのUV波長スペクトルを使用してUV処理される、請求項34記載の方法。
  43. UV硬化が、真空条件で又は酸素又は酸化ガスが存在しない条件で起こる、請求項1記載の方法。
  44. 請求項1の方法で製造されたUV硬化誘電材料。
  45. 請求項21の方法で製造されたUV後処理したUV硬化誘電材料。
  46. 請求項1の方法で製造されたUV硬化誘電材料を含む電子素子。
  47. 請求項21の方法で製造されたUV後処理したUV硬化誘電材料を含む電子素子。
  48. 請求項1の方法で製造されたUV硬化コーティングを有する基材。
  49. 請求項21の方法で製造されたUV後処理したUV硬化コーティングを有する基材。
  50. 更に、
    誘電材料を加工チャンバ中に置くこと、
    加工チャンバを排気又はパージして、室内空気を除去すること、
    加工ガスを加工チャンバへ供給すること、
    誘電材料を、約450℃又は450℃未満の加工温度まで加熱すること、及び、
    誘電材料を、約100ないし約400nmの領域の波長のUV照射に曝露すること、
    を含む、請求項1記載の方法。
  51. UV照射が、マイクロ波発生光源、アーク放電光源、誘電バリア放電光源、又は電子衝撃発生光源から選択されるUV光源によって与えられる、請求項50記載の方法。
  52. 誘電材料が、赤外線光源、光学光源、熱面又はUV光源から選択される熱源によって加熱される、請求項50記載の方法。
  53. 約1.1ないし約3.5の誘電率、未UV硬化誘電材料より約50%大きい弾性率、及び未UV硬化誘電材料より約50%大きい材料硬度を有する、UV硬化誘電材料。
  54. 約2.0ないし約2.9の誘電率、未UV硬化誘電材料より約50%大きい弾性率、及び未UV硬化誘電材料より約50%大きい材料硬度を有する、UV硬化誘電材料。
  55. UV硬化材料の製造方法であって、
    未硬化の最新のlow−k誘電材料を準備すること、及び、
    該誘電材料を、約5分より短い時間で又は約450℃より低い温度でUV硬化させて、UV硬化誘電材料を製造することからなり、UV硬化の総熱量が、炉で硬化させる方法と比べて、約50%より大きく減少する方法。
  56. 誘電材料が、有機材料、無機材料又はそれらの組み合わせからから選択される、請求項55記載の方法。
  57. 誘電材料が、水素シルセスキオキサン(HSQ)誘電材料、メチルシルセスキオキサン(MSQ)誘電材料、炭素ドープ酸化物(CDO)誘電材料、水素化シリコン オキシ−炭化物(SiCOH)誘電材料、ベンゾシクロブテン(BCB)誘電材料、アリールシクロブテンベースの誘電材料、ポリフェニレンベースの誘電材料、又はそれらの組み合わせから選択される、請求項55記載の方法。
  58. 誘電材料が、スピン−オン法、化学蒸着法、プラズマ増強化学蒸着法、蒸発法、反応性蒸発法又は自己組織化堆積法を使用して、基材上に誘電コーティングを堆積させることによって製造される、請求項55記載の方法。
  59. 誘電材料が、高密度誘電材料、ポロゲンを用いて生成された多孔質誘電材料、溶媒を用いて形成された多孔質誘電材料、又は分子設計された多孔質誘電材料、又はそれらの組み合わせから選択される、請求項55記載の方法。
  60. 誘電材料が、約60秒間ないし約180秒間、UV硬化される、請求項55記載の方法。
  61. 誘電材料が、UV硬化中、ほぼ室温ないし約450℃のウェハ温度を有する、請求項55記載の方法。
  62. 誘電材料が、大気圧未満の又はほぼ大気圧に等しい加工圧力においてUV硬化される、請求項55記載の方法。
  63. 誘電材料が、大気圧より高いか、又はほぼ大気圧に等しい加工圧力においてUV硬化される、請求項55記載の方法。
  64. 誘電材料が、約0.1ないし約2000mW/cm2のUV出力でUV硬化される、請求項55記載の方法。
  65. 誘電材料が、加工ガスパージでUV硬化され、かつパージガスが、N2、Oz、Ar、He、H2、H2O蒸気、COz、Cxy、Cxy、Cxzy(前記各式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、かつzは1ないし3の整数を表わす。)、空気及びそれらの組み合わせから選択される、請求項55記載の方法。
  66. 誘電材料が、約100nmないし約400nmのUV波長スペクトルを使用してUV硬化される、請求項55記載の方法。

JP2006521061A 2003-07-21 2004-06-07 最新のLow−k材料のための紫外線硬化法 Pending JP2006528426A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/623,729 US6756085B2 (en) 2001-09-14 2003-07-21 Ultraviolet curing processes for advanced low-k materials
PCT/US2004/017838 WO2005010971A2 (en) 2003-07-21 2004-06-07 Ultaviolet curing processes for advanced low-k materials

Publications (1)

Publication Number Publication Date
JP2006528426A true JP2006528426A (ja) 2006-12-14

Family

ID=34103209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006521061A Pending JP2006528426A (ja) 2003-07-21 2004-06-07 最新のLow−k材料のための紫外線硬化法

Country Status (7)

Country Link
US (1) US6756085B2 (ja)
EP (1) EP1649504A2 (ja)
JP (1) JP2006528426A (ja)
KR (1) KR20060039923A (ja)
CN (1) CN1852772A (ja)
TW (1) TW200504131A (ja)
WO (1) WO2005010971A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007053300A (ja) * 2005-08-19 2007-03-01 Fujitsu Ltd シリカ系被膜の製造方法、シリカ系被膜および半導体装置
JP2010141335A (ja) * 2008-12-11 2010-06-24 Air Products & Chemicals Inc 有機シリケート材料からの炭素の除去方法
JP2010530137A (ja) * 2007-06-15 2010-09-02 エスビーエー マテリアルズ,インコーポレイテッド. 低k誘電
JP2011109086A (ja) * 2009-11-12 2011-06-02 Novellus Systems Inc 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2016096331A (ja) * 2014-10-29 2016-05-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性膜の硬化浸透深度の改善及び応力調整

Families Citing this family (457)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235192B2 (en) 1999-12-01 2007-06-26 General Electric Company Capped poly(arylene ether) composition and method
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
DE20321548U1 (de) * 2003-09-19 2007-11-29 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine
US7148296B2 (en) * 2003-10-03 2006-12-12 General Electric Company Capped poly(arylene ether) composition and process
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
JP4474506B2 (ja) * 2004-04-12 2010-06-09 財団法人北九州産業学術推進機構 マイクロ波を用いた減圧乾燥方法及びその装置
US7253125B1 (en) * 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
KR101140535B1 (ko) * 2004-05-11 2012-05-02 제이에스알 가부시끼가이샤 유기 실리카계 막의 형성 방법, 유기 실리카계 막, 배선구조체, 반도체 장치 및 막 형성용 조성물
JP5110239B2 (ja) * 2004-05-11 2012-12-26 Jsr株式会社 有機シリカ系膜の形成方法、膜形成用組成物
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7329708B2 (en) * 2004-08-18 2008-02-12 General Electric Company Functionalized poly(arylene ether) composition and method
US7338575B2 (en) * 2004-09-10 2008-03-04 Axcelis Technologies, Inc. Hydrocarbon dielectric heat transfer fluids for microwave plasma generators
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
TWI270965B (en) * 2004-10-14 2007-01-11 Advanced Semiconductor Eng Manufacturing method of passivation layer on wafer and manufacturing method of bumps on wafer
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US20060165904A1 (en) * 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
WO2006102926A1 (en) * 2005-03-31 2006-10-05 Freescale Semiconductor, Inc. Semiconductor wafer with low-k dielectric layer and process for fabrication thereof
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) * 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
EP1941539A1 (en) * 2005-06-03 2008-07-09 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
JP2008544484A (ja) * 2005-06-09 2008-12-04 アクセリス テクノロジーズ インコーポレーテッド プリメタルおよび/またはシャロートレンチアイソレーションに用いられるスピン−オン誘電体材料のための紫外線硬化処理方法
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7416990B2 (en) * 2005-12-20 2008-08-26 Dongbu Electronics Co., Ltd. Method for patterning low dielectric layer of semiconductor device
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US8083833B2 (en) * 2006-03-10 2011-12-27 Uop Llc Flexible template-directed microporous partially pyrolyzed polymeric membranes
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
JPWO2008018419A1 (ja) * 2006-08-11 2009-12-24 塩谷 喜美 半導体製造装置及び半導体製造方法
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080071034A1 (en) * 2006-09-15 2008-03-20 Christina Louise Braidwood Poly(arylene ether) composition and method
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080220619A1 (en) * 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
CN102789975B (zh) * 2008-03-06 2015-10-14 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP5864095B2 (ja) * 2010-02-18 2016-02-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US9017933B2 (en) * 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
KR20120033643A (ko) 2010-09-30 2012-04-09 삼성전자주식회사 다공성 저유전막 제조방법 및 이를 이용한 반도체 소자의 제조방법
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120258259A1 (en) 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102386128A (zh) * 2011-07-25 2012-03-21 复旦大学 一种修饰SiCOH薄膜微结构的方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201348496A (zh) * 2012-02-15 2013-12-01 Renesas Electronics Corp 多孔性絕緣膜的製造方法以及包含該膜的半導體裝置
US9287154B2 (en) 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US8753449B2 (en) 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103295881B (zh) * 2013-06-04 2016-08-31 上海华力微电子有限公司 去除硅片表面低介电材料的方法
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2876099B1 (en) 2013-11-21 2017-11-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Norbornadiene purification method
EP2876098B1 (en) 2013-11-21 2016-07-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Extractive distillation in a norbornadiene purification method
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160042943A1 (en) * 2014-08-07 2016-02-11 Lam Research Corporation Low-k dielectric film formation
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3275014B1 (en) * 2015-03-27 2020-12-09 Hewlett-Packard Development Company, L.P. Circuit package
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105742165A (zh) * 2016-02-26 2016-07-06 上海华力微电子有限公司 半导体晶圆紫外光固化方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
KR20230130177A (ko) 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10535550B2 (en) 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11450526B2 (en) * 2018-05-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic spin-on coating process for forming dielectric material
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115179469B (zh) * 2022-07-21 2023-06-23 江苏邑文微电子科技有限公司 一种应用于半导体器件的聚酰亚胺烘箱固化工艺转化方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003025994A1 (en) * 2001-09-14 2003-03-27 Axcelis Technologies, Inc. Ultraviolet curing process for porous low-k materials
WO2003025993A1 (en) * 2001-09-14 2003-03-27 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (ja) 1983-03-30 1984-10-11 Fujitsu Ltd 配線構造体
JPS6086017A (ja) 1983-10-17 1985-05-15 Fujitsu Ltd ポリハイドロジエンシルセスキオキサンの製法
US4636440A (en) 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS62149308A (ja) 1985-12-20 1987-07-03 Mitsubishi Paper Mills Ltd 複合膜の製造方法
JPS63107122A (ja) 1986-10-24 1988-05-12 Fujitsu Ltd 凹凸基板の平坦化方法
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4749631B1 (en) 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (de) * 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
JPH0832304B2 (ja) 1989-08-18 1996-03-29 株式会社日立製作所 無機ポリマ薄膜の形成方法
US5010159A (en) 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5210601A (en) 1989-10-31 1993-05-11 Kabushiki Kaisha Toshiba Compression contacted semiconductor device and method for making of the same
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (ja) 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5855953A (en) 1994-03-31 1999-01-05 The Regents, University Of California Aerogel composites and method of manufacture
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
WO1997010282A1 (en) 1995-09-12 1997-03-20 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5935646A (en) 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6303192B1 (en) 1998-07-22 2001-10-16 Philips Semiconductor Inc. Process to improve adhesion of PECVD cap layers in integrated circuits
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6143955A (en) * 1999-01-29 2000-11-07 Pioneer Hi-Bred International, Inc. Soybean variety 93B07
US6558747B2 (en) 1999-09-29 2003-05-06 Kabushiki Kaisha Toshiba Method of forming insulating film and process for producing semiconductor device
US6156743A (en) 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6143360A (en) 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003025994A1 (en) * 2001-09-14 2003-03-27 Axcelis Technologies, Inc. Ultraviolet curing process for porous low-k materials
WO2003025993A1 (en) * 2001-09-14 2003-03-27 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007053300A (ja) * 2005-08-19 2007-03-01 Fujitsu Ltd シリカ系被膜の製造方法、シリカ系被膜および半導体装置
JP4563894B2 (ja) * 2005-08-19 2010-10-13 富士通株式会社 シリカ系被膜の製造方法および半導体装置の製造方法
JP2010530137A (ja) * 2007-06-15 2010-09-02 エスビーエー マテリアルズ,インコーポレイテッド. 低k誘電
JP2010141335A (ja) * 2008-12-11 2010-06-24 Air Products & Chemicals Inc 有機シリケート材料からの炭素の除去方法
JP2013062530A (ja) * 2008-12-11 2013-04-04 Air Products & Chemicals Inc 有機シリケート材料からの炭素の除去方法
JP2011109086A (ja) * 2009-11-12 2011-06-02 Novellus Systems Inc 膜の少なくとも一部を酸化シリコンに変換し、および/または、蒸気内紫外線硬化を利用して膜の品質を改善し、および、アンモニア内紫外線硬化を利用して膜を高密度化するシステムおよび方法
US9147589B2 (en) 2009-11-12 2015-09-29 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
KR101799594B1 (ko) * 2009-11-12 2017-11-20 노벨러스 시스템즈, 인코포레이티드 스팀에서의 자외선 경화를 이용하여 적어도 부분적으로 필름을 실리콘 옥사이드로 변환시키고 그리고/또는 필름 품질을 개선시키며, 암모니아에서의 uv 경화를 이용하여 필름의 밀도를 높이는 시스템 및 방법
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2016096331A (ja) * 2014-10-29 2016-05-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性膜の硬化浸透深度の改善及び応力調整

Also Published As

Publication number Publication date
KR20060039923A (ko) 2006-05-09
WO2005010971A2 (en) 2005-02-03
US6756085B2 (en) 2004-06-29
US20040018319A1 (en) 2004-01-29
CN1852772A (zh) 2006-10-25
WO2005010971A3 (en) 2005-04-28
EP1649504A2 (en) 2006-04-26
TW200504131A (en) 2005-02-01

Similar Documents

Publication Publication Date Title
US6756085B2 (en) Ultraviolet curing processes for advanced low-k materials
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
US20040058090A1 (en) Low temperature UV pretreating of porous low-k materials
US8338315B2 (en) Processes for curing silicon based low-k dielectric materials
TWI240959B (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913796B2 (en) Plasma curing process for porous low-k materials
US7098149B2 (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
US6759098B2 (en) Plasma curing of MSQ-based porous low-k film materials
KR100730633B1 (ko) 다공성 실리카 박막을 제조하기 위한 플라즈마 가공
US6559071B2 (en) Process for producing dielectric thin films
JP2008527757A5 (ja)
TW200413559A (en) Non-thermal process for forming porous low dielectric constant films
JP2008520100A (ja) 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング
US6399210B1 (en) Alkoxyhydridosiloxane resins
US20030157267A1 (en) Fluorine-free plasma curing process for porous low-k materials

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100602

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110105