JP2012004401A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2012004401A
JP2012004401A JP2010139018A JP2010139018A JP2012004401A JP 2012004401 A JP2012004401 A JP 2012004401A JP 2010139018 A JP2010139018 A JP 2010139018A JP 2010139018 A JP2010139018 A JP 2010139018A JP 2012004401 A JP2012004401 A JP 2012004401A
Authority
JP
Japan
Prior art keywords
film
insulating film
interlayer insulating
curing
plasma treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010139018A
Other languages
English (en)
Inventor
Yoshiyuki Okura
嘉之 大倉
Toshiki Mori
俊樹 森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2010139018A priority Critical patent/JP2012004401A/ja
Priority to US13/070,740 priority patent/US8716148B2/en
Priority to CN2011101301515A priority patent/CN102290351A/zh
Publication of JP2012004401A publication Critical patent/JP2012004401A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

【課題】信頼性が損なわれるのを防止しつつ、電気的特性の良好な半導体装置を提供する。
【解決手段】半導体基板10上に、化学気相堆積法により、シリコンと酸素と炭素とを含む絶縁膜42を形成する工程と、絶縁膜を形成する工程の後、350℃以下の温度で加熱しながら絶縁膜に対して紫外線キュアを行う工程と、紫外線キュアを行う工程の後、絶縁膜に対してヘリウムプラズマ処理を行う工程とを有している。
【選択図】図3

Description

本発明は、半導体装置の製造方法に関する。
近時、半導体装置の信号の周波数はますます高くなっており、これに伴い、層間絶縁膜の材料として低誘電率(low−k)材料を用いることが重要となってきている。
低誘電率の絶縁膜としては、例えばSiOC膜が用いられる。SiOC膜の膜中には多数の空隙が形成されており、これにより、比較的低い比誘電率が実現される。
SiOC膜は、例えばCVD(Chemical Vapor Deposition、化学気相堆積)法により形成される。
SiOC膜をCVD法により成膜しただけでは、十分な強度のSiOC膜が得られず、また、十分に低い比誘電率も得られない。このため、強度を向上するとともに、比誘電率を低減するためのUVキュア(紫外線硬化)が、SiOCの層間絶縁膜に対して行われる。
特開2005−175085号公報
しかしながら、単にUVキュアを行った場合には、層間絶縁膜の膜ストレスが著しく大きくなってしまい、膜の剥がれ等が生じやすくなり、必ずしも十分に信頼性の高い半導体装置が得られない。
本発明の目的は、信頼性が損なわれるのを防止しつつ、電気的特性の良好な半導体装置を提供することにある。
実施形態の一観点によれば、半導体基板上に、化学気相堆積法により、シリコンと酸素と炭素とを含む絶縁膜を形成する工程と、前記絶縁膜を形成する工程の後、350℃以下の温度で加熱しながら前記絶縁膜に対して紫外線キュアを行う工程と、前記紫外線キュアを行う工程の後、前記絶縁膜に対してヘリウムプラズマ処理を行う工程とを有することを特徴とする半導体装置の製造方法が提供される。
開示の半導体装置の製造方法によれば、350℃以下の温度で加熱しながら絶縁膜に対して紫外線キュアを行い、更に、ヘリウムプラズマ処理を行うため、膜ストレスが大きくなるのを防止しつつ、絶縁膜を硬化することができるとともに、絶縁膜の比誘電率を十分に低減することができる。従って、信頼性が損なわれるのを防止しつつ、電気的特性の良好な半導体装置を提供することができる。
一実施形態による半導体装置の製造方法を示す工程断面図(その1)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その2)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その3)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その4)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その5)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その6)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その7)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その8)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その9)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その10)である。 一実施形態による半導体装置の製造方法を示す工程断面図(その11)である。 400℃の基板温度でUVキュアを行った場合における比誘電率、ヤング率及び膜ストレスを示すグラフである。 比誘電率、ヤング率及び膜ストレスの比較結果を示すグラフである。 UVキュアの際の基板温度と、比誘電率、ヤング率及び膜ストレスとの関係を示すグラフである。
例えば、400℃で加熱しながらSiOCの層間絶縁膜に対してUVキュアを行えば、層間絶縁膜の強度を十分に高くすることができるとともに、層間絶縁膜の比誘電率を十分に低減することが可能である。即ち、400℃でUVキュアを行えば、層間絶縁膜中において結合が十分に強化され、十分な強度の層間絶縁膜が得られる。また、400℃でUVキュアを行えば、シラノール基(Si−OH)等の不要な物質が層間絶縁膜中から層間絶縁膜の外部に放出され、十分に比誘電率の低い層間絶縁膜が得られる。
しかしながら、400℃で加熱しながらUVキュアを行った場合には、層間絶縁膜の膜ストレスが極めて大きくなってしまう。400℃で層間絶縁膜中の結合が強化された後に層間絶縁膜が冷却されることとなるため、層間絶縁膜と他の構成要素との間の熱膨張率の相違によって、このような大きい膜ストレスが生じるものと考えられる。
UVキュアの際の加熱温度を低くすれば、それに応じて層間絶縁膜の膜ストレスは低くなるが、UVキュアの際の加熱温度を低くすると、シラノール基等の不要な物質が層間絶縁膜中から除去されにくくなり、比誘電率を十分に低減し得なくなる。
本願発明者らは、鋭意検討した結果、後述するように、UVキュアの後にHeプラズマ処理を行えば、UVキュアの際の加熱温度を比較的低く設定した場合であっても、層間絶縁膜の比誘電率を十分に低減し得ることに想到した。
[一実施形態]
一実施形態による半導体装置の製造方法を図1乃至図14を用いて説明する。図1乃至図11は、本実施形態による半導体装置の製造方法を示す工程断面図である。
まず、例えばSTI(Shallow Trench Isolation)法により、半導体基板10に素子分離領域12を形成する(図1(a)参照)。半導体基板10としては、例えばN型又はP型のシリコン基板を用いる。素子分離領域12の材料としては、例えばシリコン酸化膜が用いられる。なお、半導体基板10として、SOI(Semiconductor On Insulator)基板を用いてもよい。また、素子分離領域12をLOCOS(LOCal Oxidation of Silicon)法により形成してもよい。こうして、素子分離領域12により素子領域14が確定される。
次に、全面に、例えばスピンコート法により、フォトレジスト膜(図示せず)を形成する。
次に、フォトリソグラフィ技術を用い、NMOSトランジスタ形成領域2を開口する開口部(図示せず)をフォトレジスト膜に形成する。
次に、フォトレジスト膜をマスクとし、例えばイオン注入法により、半導体基板10内にP型のドーパント不純物を導入することにより、Pウェル16を形成する。P型のドーパント不純物としては、例えばB(ボロン)を用いる。
この後、例えばアッシングにより、フォトレジスト膜を除去する。
なお、図示しないPMOSトランジスタ形成領域には、N型ウェル(図示せず)が形成され、N型ウェル上には、後工程においてPMOSトランジスタ(図示せず)が形成される。本実施形態では、主として、NMOSトランジスタ形成領域2について説明し、PMOSトランジスタ形成領域についての説明は省略する。
次に、半導体基板10の表面に、例えばドライ熱酸化法により、例えば膜厚1.5nmのシリコン酸化膜のゲート絶縁膜18を形成する。
次に、全面に、例えばCVD(Chemical Vapor Deposition、化学気相堆積)法により、例えば膜厚100nmのポリシリコン膜を形成する。
次に、全面に、例えばスピンコート法により、フォトレジスト膜(図示せず)を形成する。
次に、フォトリソグラフィ技術を用い、NMOSトランジスタ形成領域2を開口する開口部(図示せず)をフォトレジスト膜に形成する。
次に、フォトレジスト膜をマスクとし、例えばイオン注入法により、ポリシリコン膜にN型のドーパント不純物を導入する。N型のドーパント不純物としては、例えばリンを用いる。こうして、NMOSトランジスタ形成領域2内のポリシリコン膜がN型となる。
この後、例えばアッシングによりフォトレジスト膜を除去する。
次に、例えばRTA(Rapid Thermal Annealing)法により、ドーパント不純物を活性化するための熱処理を行う。
次に、フォトリソグラフィ技術を用いて、ポリシリコン膜をパターニングすることにより、ポリシリコンのゲート電極20を形成する(図1(b)参照)。ゲート長は、例えば32nm程度とする。
次に、全面に、例えばスピンコート法により、フォトレジスト膜(図示せず)を形成する。
次に、フォトリソグラフィ技術を用い、NMOSトランジスタ形成領域2を開口する開口部(図示せず)をフォトレジスト膜に形成する。
次に、フォトレジスト膜及びゲート電極20をマスクとし、例えばイオン注入法によりN型のドーパント不純物を導入することにより、ゲート電極20の両側の半導体基板10内にN型のエクステンション領域22を形成する(図1(c)参照)。N型のドーパント不純物としては、例えばリン又は砒素を用いる。加速エネルギーは、例えば7keV程度とする。ドーズ量は、例えば7.0×1014cm−2程度とする。
この後、例えばアッシングにより、フォトレジスト膜を除去する。
次に、全面に、例えばCVD法により、例えば膜厚8nmのシリコン酸化膜を形成する。
次に、シリコン酸化膜を異方性エッチングすることにより、ゲート電極20の側壁部分にシリコン酸化膜のサイドウォール絶縁膜24を形成する(図1(d)参照)。
次に、全面に、例えばスピンコート法により、フォトレジスト膜(図示せず)を形成する。
次に、フォトリソグラフィ技術を用い、NMOSトランジスタ形成領域2を開口する開口部(図示せず)をフォトレジスト膜に形成する。
次に、サイドウォール絶縁膜24が形成されたゲート電極20とフォトレジスト膜とをマスクとして、半導体基板10内にN型のドーパント不純物を導入する。N型のドーパント不純物としては、例えば砒素(As)を用いる。加速エネルギーは、例えば12keV程度とする。ドーズ量は、例えば2.0×1015cm−2程度とする。これにより、エクステンションソース/ドレイン構造の深い領域を形成するN型の不純物領域26が形成される。(図2(a)参照)。
この後、例えばアッシングにより、フォトレジスト膜を除去する。
次に、例えばRTA(Rapid Thermal Annealing)法により、ドーパント不純物を活性化するための熱処理を行う。こうして、エクステンション領域22と不純物領域26とによりエクステンションソース/ドレイン構造のソース/ドレイン拡散層28が形成される(図2(a)参照)。
次に、全面に、例えばスパッタリング法により、例えば膜厚20nmの高融点金属膜を形成する。高融点金属膜としては、例えばニッケル膜を用いる。
次に、熱処理を行うことにより、半導体基板10表面のシリコンと高融点金属膜中のニッケルとを反応させるとともに、ゲート電極20表面のシリコンと高融点金属膜中のニッケルとを反応させる。
次に、未反応の高融点金属膜をエッチング除去する。こうして、ソース/ドレイン拡散層28上及びゲート電極20上に、ニッケルシリサイドのシリサイド膜30がそれぞれ形成される(図2(b)参照)。ソース/ドレイン拡散層28上のシリサイド膜30は、ソース/ドレイン電極として機能する。
こうして、ゲート電極20とソース/ドレイン拡散層28とを有するトランジスタ(NMOSトランジスタ)32が形成される。
次に、全面に、例えばCVD法により、例えば膜厚600nmのPSG(Phospho Silicate Glass)膜の層間絶縁膜34を形成する(図2(c)参照)。
次に、例えばCMP(Chemical Mechanical Polishing、化学的機械的研磨)法により、層間絶縁膜34の表面を平坦化する。
次に、フォトリソグラフィ技術を用い、ソース/ドレイン電極30に達するコンタクトホール36を層間絶縁膜34に形成する。
次に、全面に、例えばスパッタリング法により、例えば膜厚7nmのTi膜と、膜厚2nmのTiN膜とを順次形成する。これにより、Ti膜とTiN膜とにより形成された下地金属膜(密着膜、バリアメタル膜)38が形成される。
次に、全面に、例えばCVD法により、例えばタングステンの導電膜40を形成する。導電膜40の膜厚は、コンタクトホール36内が導電膜40により十分に充填される膜厚とする。
次に、例えばCMP法により、層間絶縁膜34の表面が露出するまでタングステン膜40及び下地金属膜38を研磨する。こうして、コンタクトホール36内に、例えばタングステンの導体プラグ40が埋め込まれる(図2(c)参照)。
次に、全面に、例えばプラズマCVD法により、例えば膜厚50〜200nmの層間絶縁膜42を形成する(図3(a)参照)。層間絶縁膜42としては、低誘電率(low−k)材料の層間絶縁膜を形成する。具体的には、層間絶縁膜42として、例えば、シリコンと酸素と炭素とを含む膜を形成する。より具体的には、層間絶縁膜42として、SiOC膜を形成する。層間絶縁膜42は、例えば以下のようにして形成される。成膜室内に導入するガスとしては、例えば、TMSA(Trimethylsilylacetylene)ガス、Oガス及びCOガスを用いる。TMSAガスの流量は、例えば3sccm程度とする。Oガスの流量は、例えば200sccm程度とする。COガスの流量は、例えば5000sccm程度とする。成膜室内の圧力は、例えば10mTorr程度とする。基板温度は、例えば400℃程度とする。こうして、層間絶縁膜42が形成される。
次に、層間絶縁膜42に対して、加熱しながらUV(紫外線)を照射することにより、UVキュア(紫外線硬化、紫外線処理)を行う(図3(b)参照)。UVキュアを行う際には、例えばUVアニール装置等を用いることができる。UVキュアは、例えばUVアニール装置の反応室(チャンバ)内に半導体基板10を導入し、半導体基板10を加熱しながら、UVランプ等により紫外線を照射することにより行うことができる。UVランプの主な波長領域は、例えば200〜500nm程度とする。UVランプの出力は、例えば1800W程度とする。反応室内の圧力は、例えば50Torr程度とする。反応室内に導入するガスは、例えばHeガスとする。Heガスの流量は、例えば7500sccm程度とする。紫外線を照射する際に加熱する温度、即ち、基板温度は、350℃以下とする。好ましくは、基板温度を230℃〜350℃の範囲内とする。ここでは、基板温度を例えば230℃とする。UV照射時間は、例えば5分程度とする。比較的低い温度でUVキュアを行うため、膜ストレスの著しい増加を招くことなく、層間絶縁膜42aのヤング率を向上させることができる。即ち、膜ストレスの著しい増加を招くことなく、層間絶縁膜42aを硬化させることができる。UVキュアを行う際の温度が比較的高いため、層間絶縁膜42aの比誘電率は、UVキュアによっては十分に低減し得ない。UVキュアが終了した段階では、層間絶縁膜42aの比誘電率は比較的高い状態となっている。
350℃以下のUVキュアを終了した段階で層間絶縁膜42aの比誘電率が比較的高い状態となっているのは、以下のような理由によるものと考えられる。即ち、SiOCの層間絶縁膜42a中には、シラノール基等の不要な物質が含まれていると考えられる。シラノール基の層間絶縁膜42a中からの離脱温度は、約400℃以上と考えられる。このため、350℃以下でUVキュアを行った場合には、シラノール基等が層間絶縁膜42a中に残存してしまう。このため、350℃以下でのUVキュアを終了した段階では、層間絶縁膜42aの比誘電率は比較的高い状態になっていると考えられる。
なお、基板温度を350℃以下とするのは、以下のような理由によるものである。即ち、比較的高い温度でUVキュアを行った場合には、層間絶縁膜42に大きな膜ストレスが生じてしまう。大きな膜ストレスを生じさせることなく、層間絶縁膜42を硬化するためには、比較的低い温度でUVキュアを行うことが好ましい。350℃以下でUVキュアを行えば、大きな膜ストレスが生じるのを防止しつつ、層間絶縁膜42を硬化し得る。このため、本実施形態では、基板温度を350℃以下としている。
一方、比較的低い温度でUVキュアを行った場合には、層間絶縁膜42を十分に硬化し得ない。このため、UVキュアを行う際の基板温度は、低すぎないことが好ましい。230℃以上でUVキュアを行えば、層間絶縁膜42を十分に硬化し得る。このため、UVキュアを行う際の基板温度は、230℃以上とすることが好ましい。
なお、UVキュアを行う際の基板温度を230℃より低くした場合であっても、層間絶縁膜42をある程度硬化することは可能である。従って、UVキュアを行う際の基板温度は、必ずしも230℃以上でなくてもよい。ただし、十分な強度を得る観点からは、UVキュアを行う際の基板温度が過度に低すぎないことが好ましい。
次に、層間絶縁膜42aに対して、加熱しながらヘリウム(He)プラズマを照射することにより、Heプラズマ処理(Heプラズマキュア)を行う(図4(a)参照)。Heプラズマ処理を行う際は、例えば、プラズマCVD装置等のプラズマ処理装置を用いることができる。Heプラズマ処理は、例えばプラズマ処理装置の反応室内に半導体基板10導入し、半導体基板10を加熱しながら、Heプラズマを照射することにより行うことができる。反応室内に導入するHeガスの流量は、例えば9000sccm程度とする。反応室内の圧力は、例えば8Torr程度とする。プラズマの出力は、例えば200W程度とする。Heプラズマを行う際に加熱する温度、即ち、基板温度は、100℃〜350℃の範囲内とする。ここでは、基板温度を、例えば350℃とする。Heプラズマの照射時間は、例えば15秒程度とする。
小さい原子で且つ不活性なHeによるプラズマ処理は、シラノール基等を層間絶縁膜42a中から脱離させる効果を奏する。しかも、350℃程度の比較的低い温度であっても、Heプラズマ処理を行えば、シラノール基等を層間絶縁膜42aから十分に離脱させることが可能である。
従って、Heプラズマ処理を行うことにより、層間絶縁膜42bの比誘電率を十分に低減することができる。比較的低い温度でHeプラズマ処理を行うため、層間絶縁膜42bの膜ストレスが著しく大きくなってしまうこともない。即ち、このようにしてHeプラズマ処理を行うことにより、膜ストレスの著しい上昇を招くことなく、層間絶縁膜42bの比誘電率を十分に低下させることができる。なお、層間絶縁膜42bのヤング率は、Heプラズマ処理を行うことによっては殆ど変化しない。
なお、基板温度を100℃〜350℃の範囲内とするのは、以下のような理由によるものである。即ち、比較的高い温度でHeプラズマ処理を行った場合には、層間絶縁膜42aに大きな膜ストレスが生じてしまう。大きな膜ストレスを生じさせることなく、層間絶縁膜42aを硬化するためには、比較的低い温度でHeプラズマ処理を行うことが好ましい。350℃以下でHeプラズマ処理を行えば、層間絶縁膜に大きな膜ストレスが生じるのを防止しつつ、層間絶縁膜を硬化し得る。このため、本実施形態では、基板温度を350℃以下としている。一方、比較的低い温度でHeプラズマ処理を行った場合には、層間絶縁膜42aの比誘電率を十分に低減し得ない。このため、Heプラズマ処理を行う際の基板温度は、低すぎないことが好ましい。100℃以上でHeプラズマ処理を行えば、層間絶縁膜42aの比誘電率を十分に低減し得る。このため、本実施形態では、Heプラズマ処理の際の基板温度を100℃〜350℃の範囲内としている。
なお、Heプラズマ処理を行う際の基板温度を100℃より低くした場合であっても、層間絶縁膜42の比誘電率をある程度低くすることは可能である。従って、Heプラズマ処理を行う際の基板温度は、必ずしも100℃以上でなくてもよい。ただし、十分に低い比誘電率を得る観点からは、Heプラズマ処理を行う際の基板温度が過度に低すぎないことが好ましい。
このように、本実施形態では、比較的低い温度で層間絶縁膜42に対してUVキュアを行うことため、膜ストレスの著しい上昇を招くことなく、硬度の高い層間絶縁膜42aを得ることができる。UVキュアを行う際の温度が比較的低いため、UVキュアが終了した段階では、層間絶縁膜42aの比誘電率は十分に低くなっていない。このため、本実施形態では、Heプラズマ処理を行うことにより、層間絶縁膜42aの比誘電率を十分に低減する。UVキュアは、比較的低い温度であっても、層間絶縁膜の硬度を十分に高くすることが可能である。また、Heプラズマ処理は、比較的低い温度であっても、層間絶縁膜の比誘電率を十分に低減することが可能である。従って、本実施形態によれば、膜ストレスの著しく上昇を招くことなく、硬度が高く、比誘電率の十分に低い層間絶縁膜42bを得ることができる。
このようにしてUVキュア及びHeプラズマ処理を行うことにより、膜ストレスが例えば55MPa以下、比誘電率が例えば2.7以下、ヤング率が例えば8GPa以上の層間絶縁膜42bが得られる。
次に、全面に、例えばプラズマCVD法により、例えば膜厚15〜60nmのキャップ膜44を形成する(図4(b)参照)。キャップ膜44としては、例えば炭化シリコン(SiC)膜、炭化窒化シリコン(SiCN)膜、BN膜等を用いることができる。
次に、全面に、例えばスピンコート法により、フォトレジスト膜46を形成する。
次に、フォトリソグラフィ技術を用い、フォトレジスト膜46に開口部48を形成する。開口部48は、配線54を埋め込むための溝50を、層間絶縁膜42及びキャップ膜44に形成するためのものである。
次に、フォトレジスト膜46をマスクとして、例えばプラズマエッチング法により、キャップ膜44及び層間絶縁膜42を異方性エッチングする。エッチングガスとしては、例えばフッ素含有ガスを用いる。これにより、配線54を埋め込むための溝50が層間絶縁膜42及びキャップ膜44に形成される。溝50の底面には、導体プラグ40の上面が露出される。
この後、例えばアッシングにより、フォトレジスト膜46を除去する(図5(a)参照)。アッシングする際の反応ガスとしては、例えば酸素ガスが用いられる。
次に、全面に、例えばスパッタリング法により、例えば膜厚15nmのバリアメタル膜52を形成する。バリアメタル膜52としては、例えばタンタル膜を用いる。バリアメタル膜52は、配線54(図6(a)参照)中のCu(銅)が層間絶縁膜42中等へ拡散するのを防止するためのものである。
次に、全面に、例えばスパッタリング法により、例えば膜厚30nmのシード層(図示せず)を形成する。シード層の材料としては、例えばCuを用いる。
次に、例えば電解めっき法により、Cu層54を形成する(図5(b)参照)。Cu層54の厚さは、溝50内がCu層54により十分に埋め込まれるような厚さとする。
次に、例えばCMP法により、キャップ膜44の表面が露出するまで、Cu層54及びバリアメタル膜52を研磨する。これにより、溝50内に、Cuの配線54が埋め込まれる(図6(a)参照)。
なお、ここでは、配線54の材料としてCuを用いる場合を例に説明したが、配線54の材料はCuに限定されるものではない。配線54の材料として、例えばCu合金等を用いてもよい。
次に、全面に、例えばプラズマCVD法により、例えば膜厚15〜60nmのエッチングストッパ膜56を形成する。エッチングストッパ膜56としては、例えばSiC膜、SiCN膜、BN膜等を用いることができる。
次に、全面に、例えばCVD法により、例えば膜厚150〜250nmの層間絶縁膜58を形成する(図6(b)参照)。層間絶縁膜58としては、低誘電率材料の層間絶縁膜を形成する。具体的には、層間絶縁膜58として、例えば、シリコンと酸素と炭素とを含む膜を形成する。より具体的には、層間絶縁膜58として、SiOC膜を形成する。層間絶縁膜58は、図3(a)を用いて上述した層間絶縁膜42の形成方法と同様にして形成することができる。即ち、成膜室内に導入するガスとしては、例えばTMSAガス、Oガス及びCOガスを用いる。TMSAガスの流量は、例えば3sccm程度とする。Oガスの流量は、例えば200sccm程度とする。COガスの流量は、例えば5000sccm程度とする。成膜室内の圧力は、例えば10mTorr程度とする。基板温度は、例えば400℃程度とする。
次に、層間絶縁膜58に対して、加熱しながら紫外線を照射することにより、UVキュアを行う(図7(a)参照)。層間絶縁膜58に対するUVキュアは、図3(b)を用いて上述した層間絶縁膜42に対するUVキュアと同様にして行うことができる。ただし、層間絶縁膜58は層間絶縁膜42より膜厚が厚いため、層間絶縁膜58に対して十分なUVキュアが為されるように、UVキュアの時間を長めに設定したり、UVランプのパワーを大きめに設定してもよい。層間絶縁膜58に対するUVキュアは、層間絶縁膜42に対するUVキュアと同様に、例えばUVアニール装置を用いて行うことができる。UVランプの主な波長領域は、例えば200〜500nm程度とする。UVランプの出力は、例えば1800W程度とする。反応室内の圧力は、例えば50Torr程度とする。反応室内に導入するガスは、例えばHeガスとする。Heガスの流量は、例えば7500sccm程度とする。紫外線を照射する際に加熱する温度、即ち、基板温度は、350℃以下とする。好ましくは、基板温度を、230℃〜350℃の範囲内とする。ここでは、基板温度を例えば230℃とする。UV照射時間は、例えば180秒程度とする。比較的低い温度でUVキュアを行うため、膜ストレスの著しい増加を招くことなく、層間絶縁膜58aのヤング率を向上させることができる。即ち、膜ストレスの著しい増加を招くことなく、層間絶縁膜58aを硬化させることができる。UVキュアが終了した段階では、層間絶縁膜58aの比誘電率は比較的高い状態となっている。
次に、層間絶縁膜58aに対して、加熱しながらHeプラズマを照射することにより、Heプラズマ処理(Heプラズマキュア)を行う(図7(b)参照)。層間絶縁膜58aに対するHeプラズマ処理は、上述した層間絶縁膜42aに対するHeプラズマ処理と同様にして行うことができる。但し、層間絶縁膜58aは層間絶縁膜42aより膜厚が厚いため、層間絶縁膜58aに対して十分なHeプラズマ処理が為されるように、処理時間を長めに設定したり、プラズマの出力を大きめに設定してもよい。層間絶縁膜58aに対するHeプラズマ処理は、層間絶縁膜42aに対するHeプラズマ処理と同様に、例えばプラズマCVD装置等のプラズマ処理装置を用いて行うことができる。反応室内に導入するHeガスの流量は、例えば9000sccm程度とする。反応室内の圧力は、例えば8Torr程度とする。プラズマの出力は、例えば200W程度とする。Heプラズマを行う際に加熱する温度、即ち、基板温度は、即ち、100℃〜350℃の範囲内とする。ここでは、基板温度を、例えば350℃とする。Heプラズマの照射時間は、例えば30秒程度とする。Heプラズマ処理を行うことにより、層間絶縁膜58bの比誘電率を十分に低くすることができる。比較的低い温度でHeプラズマ処理を行うため、層間絶縁膜58bの膜ストレスの著しい上昇を招くことはない。即ち、このようにしてHeプラズマ処理を行うことにより、膜ストレスの著しい上昇を招くことなく、層間絶縁膜58bの比誘電率を十分に低下させることができる。なお、層間絶縁膜58bのヤング率は、Heプラズマ処理を行うことによっては殆ど変化しない。
このように、本実施形態では、比較的低い温度で層間絶縁膜58に対してUVキュアを行うことため、膜ストレスの著しい上昇を招くことなく、硬度の高い層間絶縁膜58aを得ることができる。UVキュアを行う際の温度が比較的低いため、UVキュアが終了した段階では、層間絶縁膜58aの比誘電率は十分に低くなっていない。次に、層間絶縁膜58aに対して、Heプラズマ処理を行うことにより、層間絶縁膜58bの比誘電率を十分に低減する。UVキュアとHeプラズマ処理のいずれも比較的低い温度で行うため、膜ストレスの著しく上昇を招くことなく、硬度が高く、比誘電率の十分に低い層間絶縁膜58bを得ることができる。
このようにしてUVキュア及びHeプラズマ処理を行うことにより、膜ストレスが例えば55MPa以下、比誘電率が例えば2.7以下、ヤング率が例えば8GPa以上の層間絶縁膜58bが得られる。
次に、全面に、例えばプラズマCVD法により、例えば膜厚15〜60nmのキャップ膜60を形成する(図8(a)参照)。キャップ膜60としては、例えばSiC膜、SiCN膜、BN膜等を用いることができる。
次に、全面に、例えばスピンコート法により、フォトレジスト膜62を形成する。
次に、フォトリソグラフィ技術を用い、フォトレジスト膜62に開口部64を形成する。開口部64は、層間絶縁膜58等にコンタクトホール66(図8(b)参照)を形成するためのものである。
次に、フォトレジスト膜62をマスクとし、例えばプラズマエッチング法により、キャップ膜60及び層間絶縁膜58をエッチングすることにより、コンタクトホール66を形成する。エッチングガスとしては、例えばCFを含むガスを用いる。
この後、例えば酸素プラズマにより、フォトレジスト膜62を除去する。
こうして、コンタクトホール66がキャップ膜60及び層間絶縁膜58に形成される(図8(b)参照)。
次に、全面に、例えばスピンコート法により、熱硬化性の樹脂(レジン)を塗布することにより、樹脂膜68を形成する(図9(a)参照)。これにより、コンタクトホール66内及びキャップ膜60上に樹脂膜68が形成される。
次に、熱処理を行うことにより、樹脂膜68を硬化する。熱処理温度は、例えば250℃程度とする。熱処理時間は、例えば60秒程度とする。
次に、全面に、例えばスピンコート法により、フォトレジスト膜70を形成する。
次に、フォトリソグラフィ技術を用い、フォトレジスト膜70に開口部72を形成する。開口部72は、層間絶縁膜58等に溝74を形成するためのものである。
次に、フォトレジスト膜70をマスクとし、例えばプラズマエッチング法により、キャップ膜60及び層間絶縁膜58をエッチングすることにより、層間絶縁膜58及びキャップ膜60に溝74を形成する。溝74の深さは、例えば100nm程度とする。エッチングガスとしては、例えばフッ素系ガスを用いる。なお、エッチングストッパ膜56は、樹脂膜68により保護されているため、この段階ではエッチングストッパ膜56はエッチングされない。
次に、例えば酸素プラズマにより、フォトレジスト膜70及び樹脂膜68を除去する(図9(b)参照)。
次に、プラズマエッチング法により、コンタクトホール66内に露出するフォトレジスト膜56をエッチングする(図10(a)参照)。エッチングガスとしては、例えばCHガスとOガスとを含む混合ガスを用いる。これにより、コンタクトホール66が配線54の上面に達する。また、このエッチングにより、キャップ膜60のうちの上部がエッチングされるとともに、溝74の深さが深くなる。
次に、全面に、例えばスパッタリング法により、例えば膜厚15nmのバリアメタル膜76を形成する(図10(b)参照)。バリアメタル膜76としては、例えばタンタル膜を用いる。バリアメタル膜76は、Cu層78中のCuが層間絶縁膜58等に拡散するのを防止するためのものである。
次に、全面に、例えばスパッタリング法により、例えば膜厚30nmのシード層(図示せず)を形成する。シード層の材料としては、例えばCuを用いる。
次に、例えば電解めっき法により、Cu層78を形成する。Cu層78の厚さは、コンタクトホール66及び溝74内がCu層78により十分に埋め込まれるような厚さとする。
次に、例えばCMP法により、キャップ膜60の表面が露出するまで、Cu層78、バリアメタル膜76を研磨する。これにより、溝74及びコンタクトホール66内に、Cu層78が埋め込まれる。こうして、Cu層78のうちのコンタクトホール66内に埋め込まれた部分は、導体プラグ78aとなる。Cu層78のうちの溝74内に埋め込まれた部分は、配線78bとなる。こうして、デュアルダマシン法により、導体プラグ78aと配線78bとが一体的に形成される(図11参照)。
なお、ここでは、配線78a及び導体プラグ78bの材料としてCuを用いる場合を例に説明したが、配線78a及び導体プラグ78bの材料はCuに限定されるものではない。例えば配線78a及び導体プラグ78bの材料として、Cu合金等を用いてもよい。
こうして、本実施形態による半導体装置が製造される。
(評価結果)
次に、本実施形態による半導体装置の製造方法の評価結果を図12乃至図14を用いて説明する。
図12は、比較例1の場合、即ち、400℃でSiOCの層間絶縁膜に対してUVキュアを行った場合における比誘電率、ヤング率及び膜ストレスを示すグラフである。図12(a)は、UV照射時間と層間絶縁膜の比誘電率との関係を示すグラフである。図12(b)は、UV照射時間と層間絶縁膜のヤング率との関係を示すグラフである。図12(c)は、UV照射時間と層間絶縁膜の膜ストレスとの関係を示すグラフである。
SiOCの層間絶縁膜を形成する際の成膜条件は、以下の通りとした。即ち、層間絶縁膜を形成するCVD装置としては、ノベラス社製のVECTORを用いた。基板としては、シリコン基板を用いた。反応室(チャンバ)内に導入するガスとしては、TMSAガス、Oガス及びCOガスを用いた。TMSAガスの流量は2sccmとし、Oガスの流量は300sccmとし、COガスの流量は4000sccmとした。反応室内の圧力は、5.5Torrとした。印加する高周波電力は、1900Wとした。印加する低周波電力は、300Wとした。
SiOCの層間絶縁膜に対するUVキュアの条件は、以下の通りとした。即ち、UVキュアを行う際に用いる装置としては、ノベラス社製のSOLAを用いた。UVランプとしては、主な波長領域が200〜500nmであるUVランプを用いた。UVランプの出力は、1800Wとした。反応室内の圧力は、50Torrとした。反応室内に導入するガスとしては、Heガスを用いた。Heガスの流量は、7500sccmとした。基板温度は、上述したように400℃とした。
図12(a)から分かるように、UVキュアの時間が長くなるに伴って、層間絶縁膜の比誘電率が上昇する。
また、図12(b)から分かるように、UVキュアの時間が長くなるに伴って、層間絶縁膜のヤング率が上昇する。
図12(a)及び図12(b)から分かるように、5分程度のUVキュアを行った場合には、比較的小さい比誘電率が得られるとともに、比較的高いヤング率が得られると考えられる。
しかし、5分程度のUVキュアを行った場合には、図12(c)から分かるように、膜ストレスは比較的大きくなってしまう。
このことから、比較例1の場合、即ち、400℃の基板温度でUVキュアを行った場合には、膜ストレスが比較的大きくなってしまうことが分かる。
図13は、比誘電率、ヤング率及び膜ストレスの比較結果を示すグラフである。図13(a)は、層間絶縁膜の収縮率と比誘電率との関係を示すグラフである。図13(b)は、層間絶縁膜の収縮率とヤング率との関係を示すグラフである。図13(c)は、層間絶縁膜の収縮率と膜ストレスとの関係を示すグラフである。◆印のプロットは、比較例2の場合、即ち、400℃の基板温度でUVキュアを行った場合を示している。■印のプロットは、比較例3の場合、即ち、230℃の基板温度でUVキュアを行った場合を示している。△印のプロットは、実施例1の場合、即ち、230℃の基板温度でUVキュアを行った後、Heプラズマ処理を行った場合を示している。
いずれの場合も、図12に示す比較例1の場合と同様にして、SiOCの層間絶縁膜を形成した。
また、いずれの場合も、図12に示す比較例1の場合と同様にして、SiOCの層間絶縁膜に対してUVキュアを行った。但し、比較例2においては、UVキュアの際の基板温度を400℃とした。比較例3及び実施例1においては、UVキュアの際の基板温度を250℃とした。
実施例1においては、以下のようにしてHeプラズマ処理を行った。即ち、Heガスの流量は、9000sccmとした。反応室内の圧力は、8Torrとした。プラズマの出力は、200Wとした。基板温度は、350℃とした。Heプラズマの照射時間は、15秒とした。
UVキュアの際の基板温度を変化させると、層間絶縁膜において生ずる反応の速度が著しく変化するため、横軸をUV照射時間としたグラフでは、それぞれの基板温度での層間絶縁膜の物性を比較することは容易ではない。このため、図13においては、横軸を層間絶縁膜の収縮率としている。
図13(b)から分かるように、実施例1では、比較例2と同様に、比較的高いヤング率が得られている。このことから、実施例1のようにUVキュア時の基板温度が230℃と比較的低い場合であっても、比較例2、即ち、UVキュア時の基板温度が400℃の場合とほぼ同様に、層間絶縁膜を十分に硬化し得ることが分かる。
図13(c)から分かるように、実施例1では、比較例2と比較して、膜ストレスが十分に低くなっている。このことから、UVキュアの際の基板温度を比較的低く設定することにより、層間絶縁膜の膜ストレスを低減し得ることが分かる。
図13(a)から分かるように、実施例1では、比較例3と比較して、比誘電率が十分に低くなっている。このことから、Heプラズマ処理を行うことにより、UVキュアの基板温度を比較的低く設定した場合であっても、層間絶縁膜の比誘電率を十分に低減し得ることが分かる。
これらの結果から、比較的低い基板温度でUVキュアを行い、この後、Heプラズマ処理を行えば、膜ストレスが大きくなるのを防止しつつ、層間絶縁膜を硬化し得るとともに、層間絶縁膜の比誘電率を十分に低減し得ることが分かる。
図14は、UVキュアの際の基板温度と比誘電率、ヤング率及び膜ストレスとの関係を示すグラフである。図14(a)は、UVキュアの際の基板温度と層間絶縁膜の比誘電率との関係を示すグラフである。図14(b)は、UVキュアの際の基板温度と層間絶縁膜のヤング率との関係を示すグラフである。図14(a)は、UVキュアの際の基板温度と層間絶縁膜の膜ストレスとの関係を示すグラフである。
いずれの場合も、層間絶縁膜に対してUVキュアを行った後、Heプラズマ処理を行った。UVキュアの条件及びHeプラズマ処理の条件は、上記実施例1の場合と同様とした。但し、基板温度については、適宜設定した。
図14(a)から分かるように、UVキュアの際の基板温度が230℃〜350℃の範囲内では、UVキュアの際の基板温度が400℃の場合と同様に、比較的低い比誘電率が得られている。
図14(b)から分かるように、UVキュアの際の基板温度が230℃〜350℃の範囲内では、UVキュアの際の基板温度が400℃の場合と同様に、比較的高いヤング率が得られている。
図14(c)から分かるように、UVキュアの際の基板温度が230℃〜350℃の範囲内では、UVキュアの際の基板温度が400℃の場合と比較して、膜ストレスが十分に小さく抑制されている。
これらのことから、UVキュア時の基板温度を230℃〜350℃とすることにより、層間絶縁膜の膜ストレスが大きくなるのを確実に防止し得ることが分かる。
このように、本実施形態では、350℃以下という比較的低い基板温度に設定した状態でSiOCの層間絶縁膜に対してUVキュアを行う。比較的低い基板温度に設定した状態でUVキュアを行うため、本実施形態によれば、層間絶縁膜の膜ストレスが大きくなってしまうのを防止しつつ、層間絶縁膜の硬度を高くすることができる。UVキュアの際の基板温度が比較的低いため、UVキュアが終了した段階では、層間絶縁膜の比誘電率は十分に低減されていない。このため、本実施形態では、層間絶縁膜の比誘電率を十分に低減すべく、層間絶縁膜に対してHeプラズマ処理を行う。本実施形態では、Heプラズマ処理を行うため、層間絶縁膜の比誘電率を十分に低減することができる。しかも、Heプラズマ処理は、比較的低い温度であっても、層間絶縁膜の比誘電率を十分に低減し得るため、Heプラズマ処理の際に膜ストレスが著しく大きくなってしまうこともない。従って、本実施形態によれば、層間絶縁膜の膜ストレスが著しく大きくなってしまうのを防止しつつ、層間絶縁膜を硬化し得るとともに、層間絶縁膜の比誘電率を十分に低減し得る。従って、本実施形態によれば、信頼性が高く、電気的特性の良好な半導体装置を提供することが可能となる。
[変形実施形態]
上記実施形態に限らず種々の変形が可能である。
例えば、上記実施形態では、シリコンと炭素と酸素とを含む層間絶縁膜としてSiOC膜を形成する場合を例に説明したが、SiOC膜に限定されるものではない。シリコンと炭素と酸素とを含む層間絶縁膜に広く適用することが可能である。例えば、層間絶縁膜がSiOCH膜であってもよい。
2…NMOSトランジスタ形成領域
10…半導体基板
12…素子分離領域
14…素子領域
16…ウェル
18…ゲート絶縁膜
20…ゲート電極
22…エクステンション領域
24…サイドウォール絶縁膜
26…不純物領域
28…ソース/ドレイン拡散層
30…シリサイド膜
32…トランジスタ
34…層間絶縁膜
36…コンタクトホール
38…下地金属膜
40…導体プラグ
42…層間絶縁膜
42a…UVキュア後の層間絶縁膜
42b…Heプラズマ処理後の層間絶縁膜
44…キャップ膜
46…フォトレジスト膜
48…開口部
50…溝
52…バリアメタル膜
54…Cu層、配線
56…エッチングストッパ膜
58…層間絶縁膜
58a…UVキュア後の層間絶縁膜
58b…Heプラズマ処理後の層間絶縁膜
60…キャップ膜
62…フォトレジスト膜
64…開口部
66…コンタクトホール
68…樹脂層
70…フォトレジスト膜
72…開口部
74…溝
76…バリアメタル膜
78…Cu層
78a…導体プラグ
78b…配線

Claims (5)

  1. 半導体基板上に、化学気相堆積法により、シリコンと酸素と炭素とを含む絶縁膜を形成する工程と、
    前記絶縁膜を形成する工程の後、350℃以下の温度で加熱しながら前記絶縁膜に対して紫外線キュアを行う工程と、
    前記紫外線キュアを行う工程の後、前記絶縁膜に対してヘリウムプラズマ処理を行う工程と
    を有することを特徴とする半導体装置の製造方法。
  2. 請求項1記載の半導体装置の製造方法において、
    前記絶縁膜は、SiOC膜である
    ことを特徴とする半導体装置の製造方法。
  3. 請求項1又は2記載の半導体装置の製造方法において、
    前記紫外線キュアを行う工程では、230℃〜350℃の範囲内の温度で加熱しながら、前記絶縁膜に対して紫外線キュアを行う
    ことを特徴とする半導体装置の製造方法。
  4. 請求項1乃至3のいずれか1項に記載の半導体装置の製造方法において、
    前記ヘリウムプラズマ処理を行う工程では、350℃以下の温度で加熱しながら、前記絶縁膜に対してヘリウムプラズマ処理を行う
    ことを特徴とする半導体装置の製造方法。
  5. 請求項4記載の半導体装置の製造方法において、
    前記ヘリウムプラズマ処理を行う工程では、100℃〜350℃の範囲内の温度で加熱しながら、前記絶縁膜に対してヘリウムプラズマ処理を行う
    ことを特徴とする半導体装置の製造方法。
JP2010139018A 2010-06-18 2010-06-18 半導体装置の製造方法 Pending JP2012004401A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2010139018A JP2012004401A (ja) 2010-06-18 2010-06-18 半導体装置の製造方法
US13/070,740 US8716148B2 (en) 2010-06-18 2011-03-24 Semiconductor device manufacturing method
CN2011101301515A CN102290351A (zh) 2010-06-18 2011-05-17 半导体器件制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010139018A JP2012004401A (ja) 2010-06-18 2010-06-18 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2012004401A true JP2012004401A (ja) 2012-01-05

Family

ID=45329058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010139018A Pending JP2012004401A (ja) 2010-06-18 2010-06-18 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US8716148B2 (ja)
JP (1) JP2012004401A (ja)
CN (1) CN102290351A (ja)

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112090B2 (en) 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140032716A (ko) 2012-09-07 2014-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103839871B (zh) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105448805B (zh) * 2014-08-26 2020-04-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9431455B2 (en) * 2014-11-09 2016-08-30 Tower Semiconductor, Ltd. Back-end processing using low-moisture content oxide cap layer
US9379194B2 (en) 2014-11-09 2016-06-28 Tower Semiconductor Ltd. Floating gate NVM with low-moisture-content oxide cap layer
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
CN114446883A (zh) 2017-09-22 2022-05-06 蓝枪半导体有限责任公司 半导体元件及其制作方法
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006319116A (ja) * 2005-05-12 2006-11-24 Nec Electronics Corp 半導体装置およびその製造方法
JP2006528426A (ja) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド 最新のLow−k材料のための紫外線硬化法
JP2007220920A (ja) * 2006-02-16 2007-08-30 Consortium For Advanced Semiconductor Materials & Related Technologies 配線膜形成方法
JP2009117739A (ja) * 2007-11-09 2009-05-28 Fujitsu Microelectronics Ltd 電子装置及びその製造方法
JP2009152373A (ja) * 2007-12-20 2009-07-09 Axcelis Technologies Inc 低k誘電体膜用の紫外線硬化法
JP2009539265A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
JP4778660B2 (ja) * 2001-11-27 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
JP2005175085A (ja) 2003-12-09 2005-06-30 Tokyo Electron Ltd 半導体装置の低誘電率絶縁膜形成方法、半導体装置および低誘電率絶縁膜形成装置
EP1670049A4 (en) 2003-09-17 2008-06-04 Tokyo Electron Ltd PREPARATION OF A LOW DIELECTRICITY CONSTANT INSULATION FILM
JP4401912B2 (ja) * 2003-10-17 2010-01-20 学校法人早稲田大学 半導体多層配線板の形成方法
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US20060205232A1 (en) * 2005-03-10 2006-09-14 Lih-Ping Li Film treatment method preventing blocked etch of low-K dielectrics
US8039921B2 (en) 2005-09-16 2011-10-18 Nec Corporation Wiring structure, semiconductor device and manufacturing method thereof
JP2007273494A (ja) 2006-03-30 2007-10-18 Fujitsu Ltd 絶縁膜形成用組成物及び半導体装置の製造方法
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
JP2008053402A (ja) 2006-08-24 2008-03-06 Sony Corp 半導体装置の製造方法
JP4364258B2 (ja) * 2007-05-15 2009-11-11 株式会社東芝 半導体装置及び半導体装置の製造方法
JP2011082308A (ja) * 2009-10-06 2011-04-21 Panasonic Corp 半導体装置の製造方法
KR20110119399A (ko) * 2010-04-27 2011-11-02 삼성전자주식회사 반도체 소자의 제조장치 및 이를 이용한 반도체 소자의 제조방법
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
WO2012087493A2 (en) * 2010-12-20 2012-06-28 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006528426A (ja) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド 最新のLow−k材料のための紫外線硬化法
JP2006319116A (ja) * 2005-05-12 2006-11-24 Nec Electronics Corp 半導体装置およびその製造方法
JP2007220920A (ja) * 2006-02-16 2007-08-30 Consortium For Advanced Semiconductor Materials & Related Technologies 配線膜形成方法
JP2009539265A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
JP2009117739A (ja) * 2007-11-09 2009-05-28 Fujitsu Microelectronics Ltd 電子装置及びその製造方法
JP2009152373A (ja) * 2007-12-20 2009-07-09 Axcelis Technologies Inc 低k誘電体膜用の紫外線硬化法

Also Published As

Publication number Publication date
US20110312191A1 (en) 2011-12-22
US8716148B2 (en) 2014-05-06
CN102290351A (zh) 2011-12-21

Similar Documents

Publication Publication Date Title
JP2012004401A (ja) 半導体装置の製造方法
KR101055451B1 (ko) 반도체 장치 및 그 제조 방법
TWI311772B (ja)
JP4328725B2 (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
TWI570840B (zh) 半導體裝置及其製造方法
US9385080B2 (en) Interconnect structure and method of forming the same
JP4813778B2 (ja) 半導体装置
JP5548332B2 (ja) 半導体デバイスの製造方法
JP2005303327A (ja) 集積回路製造のための低誘電率材料
TW200809971A (en) Methods to form SiCOH or SiCNH dielectrics and structures including the same
JP2003289100A (ja) 半導体装置
US20100041242A1 (en) Double Anneal with Improved Reliability for Dual Contact Etch Stop Liner Scheme
TW201013779A (en) Semiconductor device, and manufacturing method thereof
JP5141761B2 (ja) 半導体装置及びその製造方法
US6794693B2 (en) Semiconductor device and manufacturing method thereof
JP5387176B2 (ja) 半導体装置の製造方法
US20050095828A1 (en) Process for sealing plasma-damaged, porous low-k materials
JP5217272B2 (ja) 配線の形成方法及び半導体装置の製造方法
TWI235455B (en) Method for manufacturing semiconductor device
US9502232B2 (en) Inhibiting diffusion of elements between material layers of a layered circuit structure
KR20010062679A (ko) 반도체 장치의 제조 방법
JP2006269766A (ja) 半導体装置及びその製造方法
JP5505184B2 (ja) 半導体装置及びその製造方法
KR20090041894A (ko) 반도체 소자 및 이의 제조 방법
TW200525593A (en) Semiconductor device and method of manufacturing same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130806

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130906

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20131028

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140401