JP4813778B2 - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP4813778B2
JP4813778B2 JP2004192744A JP2004192744A JP4813778B2 JP 4813778 B2 JP4813778 B2 JP 4813778B2 JP 2004192744 A JP2004192744 A JP 2004192744A JP 2004192744 A JP2004192744 A JP 2004192744A JP 4813778 B2 JP4813778 B2 JP 4813778B2
Authority
JP
Japan
Prior art keywords
layer
light shielding
silicon nitride
ultraviolet light
shielding ability
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004192744A
Other languages
English (en)
Other versions
JP2006019327A (ja
Inventor
嘉之 大倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2004192744A priority Critical patent/JP4813778B2/ja
Priority to US10/972,326 priority patent/US8022442B2/en
Publication of JP2006019327A publication Critical patent/JP2006019327A/ja
Priority to US13/209,522 priority patent/US8828830B2/en
Application granted granted Critical
Publication of JP4813778B2 publication Critical patent/JP4813778B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

本発明は、半導体装置とその製造方法に関し、特にシャロートレンチアイソレーション(STI)を有する半導体装置とその製造方法に関する。
半導体装置における素子分離方法の1つとして、局所酸化(local oxidation ofsilicon、LOCOS)が用いられていた。局所酸化は、シリコン基板上にバッファ層として酸化シリコン層を形成した後、窒化シリコン層を酸化防止マスク層として形成し、窒化シリコン層をパターニングした後、シリコン基板の表面を熱酸化する技術である。
シリコン基板を熱酸化する際、酸素、水分等の酸化種が窒化シリコン層端部下のバッファ酸化シリコン層中にも侵入し、窒化シリコン層端部下のシリコン基板表面も酸化させ、バーズビークと呼ばれる鳥の嘴状の酸化シリコン領域を形成する。バーズビークの形成された領域は、実質的に素子形成領域(活性領域)として使用できなくなるため、素子形成領域が狭くなる。
又、種々の寸法の開口を有する窒化シリコン層を形成し、基板表面を熱酸化すると、開口部寸法の狭いシリコン基板表面に形成される酸化シリコン層の厚さは開口部寸法の広いシリコン基板表面に形成される酸化シリコン層の厚さよりも小さくなる。これをシニング(thinning)と呼ぶ。
半導体装置の微細化に伴い、バーズビークやシニングにより、半導体基板の全面積中で素子形成領域として使用できない面積の割合が増加する。すなわち、素子形成領域が狭められる割合が増加し、半導体装置の高集積化の妨げとなる。
素子分離領域を形成する技術として、半導体基板表面にトレンチ(溝)を形成し、トレンチ内に絶縁物や多結晶シリコンを埋め込むトレンチアイソレーション(TI)技術が知られている。この方法は、従来、深い素子分離領域を必要とするバイポーラトランジスタLSIに用いられていた。
バーズビーク、シニングが共に生じないため、トレンチアイソレーションのMOSトランジスタLSIへの適用が進んでいる。MOSトランジスタLSIでは、バイポーラトランジスタLSI程深い素子分離は必要としないため、深さ0.1〜1.0μm程度の比較的浅いトレンチで素子分離を行うことができる。この構造をシャロートレンチアイソレーション(STI)と呼ぶ。
特許文献1は、シリコン基板上にパッド酸化膜、パッド窒化膜を形成し、レジストパターンを用いた異方性エッチングでトレンチを作成し、トレンチ内壁を熱酸化した後、厚さ5nm以下の(熱燐酸が入り込まない厚さの)窒化シリコン層ライナを堆積し、その上に埋め込み酸化シリコン層を堆積し、パッド窒化膜を熱燐酸で除去することを開示する。
特許文献2は,素子分離用にシリコン基板にトレンチを形成し、トレンチ内壁上に酸化シリコン層、窒化シリコン層のライナを形成し、窒化シリコン層のライナの上部を除去して窒化シリコン層の上端を引き下げることを開示する。
非特許文献1は、素子分離用トレンチの内壁上に形成した窒化シリコン膜ライナの上端を引き下げると共に,ゲート電極を覆ってシリコン基板上に窒化シリコン膜のコンタクトエッチストッパ層を形成する構成において,両窒化シリコン膜のストレスを制御することにより、MOSトランジスタの駆動電流を改良することを報告している。
米国特許第5、447,884号公報 特開平11−297812号公報 K. Goto et al.:2003 Symposium on VLSI TechnologyDigest of Technical Papers
STIによる素子分離は、微細化に適しているが、STI独自の問題も生じる。STI独自の問題を低減することのできる新たな技術が求められている。
本発明の目的は、信頼性高く、特性の優れた半導体装置とその製造方法を提供することである。
本発明の他の目的は、酸化シリコン層、窒化シリコン層の積層ライナを備えたSTIを有し、帯電を低減できる半導体装置およびその製造方法を提供することである。
本発明のさらに他の目的は、窒化シリコン層ライナ、窒化シリコン層コンタクトエッチストッパにより適切な応力を付与してオン電流を増加させると共に、リーク電流の増加を抑制することのできる半導体装置とその製造方法を提供することである。
本発明の一観点によれば、
シリコン基板と、
前記シリコン基板の表面から下方に向かって形成され、前記シリコン基板の表面に活性領域を画定するトレンチと、
前記トレンチの内壁を覆う窒化シリコン層のライナ層と、
記ライナ層の上に形成され、前記トレンチを埋める絶縁物の素子分離領域と、
前記活性領域に形成されたpチャネルMOSトランジスタと、
前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に形成され,第1の紫外光遮蔽能を有する窒化シリコン層のコンタクトエッチストッパ層と、
前記コンタクトエッチストッパ層の上方に形成され、第2の紫外光遮蔽能を有する窒化シリコン層の遮光膜と、
を有し、
前記第2の紫外光遮蔽能は、前記第1の紫外光遮蔽能よりも高いことを特徴とする半導体装置
が提供される。
本発明の他の観点によれば、
シリコン基板と、
前記シリコン基板の表面から下方に向かって形成され、前記シリコン基板の表面に活性領域を画定するトレンチと、
前記トレンチの内壁を覆う窒化シリコン層のライナ層と、
前記ライナ層の上に形成され、前記トレンチを埋める絶縁物の素子分離領域と、
前記活性領域に形成されたpチャネルMOSトランジスタと、
前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に形成され,第1の紫外光遮蔽能を有する窒化シリコン層のコンタクトエッチストッパ層と、
前記コンタクトエッチストッパ層の上に形成され,第2の紫外光遮蔽能を有する酸化シリコン系絶縁層の下層層間絶縁膜と、
前記下層層間絶縁膜を貫通し,前記pチャネルMOSトランジスタに達する導電性プラグと、
前記導電性プラグを覆って,前記下層層間絶縁膜の上方に形成され、前記第1、第2の紫外光遮蔽能よりも高い第3の紫外光遮蔽能を有する有機絶縁層の中層層間絶縁膜と、
前記中層層間絶縁膜を貫通し,前記導電性プラグに達する配線と、
を有する半導体装置
が提供される。
本発明のさらに他の観点によれば、
(a)シリコン基板表面を選択的にエッチングし、活性領域を画定するトレンチを形成する工程と、
(b)前記トレンチ内に露出したシリコン基板表面上方に窒化シリコン層のライナ絶縁層を形成する工程と、
(c)前記ライナ絶縁層の画定する凹部を埋め込んで、絶縁物の素子分離領域を形成する工程と、
(d)前記活性領域にpチャネルMOSトランジスタを形成する工程と、
(e)前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に第1の紫外線遮蔽能を有する窒化シリコン層のコンタクトエッチストッパ層を形成する工程と,
(f)前記コンタクトエッチストッパ層上方に、前記第1の紫外光遮蔽能よりも高い第2の紫外線遮蔽能を有する窒化シリコン層の遮光膜を形成する工程と,
を含む半導体装置の製造方法
が提供される。
窒化シリコン層ライナを備えたSTIに紫外線が照射されることを防止でき、リーク電流が低減する。
本発明の実施例の説明に先立ち、本発明者らの研究結果を説明する。シリコン基板表面上にバッファ酸化シリコン層を介して窒化シリコン層を形成し、その上にレジストパターンを形成して、シャロートレンチをエッチングし、トレンチ内に露出したシリコン表面を熱酸化し、その上に窒化シリコン層のライナを形成し、高密度プラズマ(HDP)CVDでトレンチ内に酸化シリコンを埋め込み、シリコン基板表面上の不要絶縁膜を化学機械研磨(CMP)で除去してシャロートレンチアイソレーション(STI)を形成した。STIに画定された活性領域内にMOSトランジスタを形成し、その上に多層電極を形成したところ、リーク電流が流れることが判った。
STIは、活性領域と接する酸化シリコン層のライナ層と、その上に形成された窒化シリコン層のライナ層と、その上に形成された酸化シリコン層とを有する。酸化膜−窒化膜の界面は、電荷をトラップできる性質を有する。リーク電流の原因としてSTIの帯電が考えられる。STIに紫外線が入射すると、励起された電子が窒化膜界面に達し、トラップされる可能性がある。
多層配線形成工程においては、レジスト層を紫外線(UV)ランプを用いたUVキュアで硬化している。UVキュアの際、紫外線がSTIにまで入射し、電荷を誘起することが考えられる。多層配線を形成するためには、多数回のマスク工程を行う。多数回のUV照射によりSTIが帯電することが考えられる。また、誘電率の低い絶縁層としてSiOC層や、銅拡散防止能を有するエッチストッパ層としてSiC層をPE−CVDで形成すると、プラズマから発した紫外線がシリコン基板を照射するであろう。多層配線形成工程において、紫外線がシリコン基板に向かって照射されることは避けがたいと考えられる。
多層配線とシリコン基板との間に紫外線遮蔽能の高い層が存在すれば、紫外線がSTIに入射することを抑制できるであろう。そこで、多層配線の下方の絶縁層として用い得る種々の材料膜を形成し、その光学的消衰係数を測定した。
図1A,1B,1Cは、種々の窒化シリコン層(第1、第2、第3のサンプル)の消衰係数のスペクトルである。光吸収は、膜厚と消衰係数との積に対して指数関数的に増大するので、消衰係数の差が大きいと光吸収の差は著しく大きくなる。
図1Aは、第1のサンプルの窒化シリコン層の消衰係数のスペクトルであり、第1の窒化シリコン層は以下の方法で作成した。プラズマ(PE)CVD装置として、ノベラス社製「Concept2 sequal」を用い、成膜条件として上部高周波RF電力440W、下部低周波RF電力550W、反応室内圧力2.6 toor、温度400℃とし、ソースガスとして、SiH:480sccm、N:1600sccm、NH:4000sccmを流し、窒化シリコン層を成膜した。スペクトルs1が第1のサンプルの測定から得た消衰係数である。波長400nm以下で吸収が見られるが、強度は高くない。
図1Bは、第2のサンプルの窒化シリコン層の消衰係数のスペクトルであり、第2の窒化シリコン層は以下の方法で作成した。PE−CVD装置として、アプライドマテリアル社製「Centura Dxz」を用い、成膜条件としてRF電力120W、圧力2.5toor、温度400℃とし、ソースガスとしてSiH:260sccm、NH:240sccm、N:900sccmを流し、窒化シリコン層を成膜した。第1のサンプルと比較して、SiソースのSiHに対するNH、Nの流量が大幅に少ない。第2のサンプルは、Siリッチの組成を有し、第1のサンプルより高いSi組成を有すると考えられる。スペクトルs2が、第2のサンプルの測定から得た消衰係数である。可視光領域から光吸収が認められ、波長が短くなるに連れ、消衰係数は増大し続けている。波長400nmでは第1の窒化シリコン層の波長300nmの消衰係数より高くなっている。波長300nmではかなり強い光吸収がある。
図1Cは、第3のサンプルの窒化シリコン層の消衰係数のスペクトルであり、第3の窒化シリコン層は以下の方法で作成した。PE−CVD装置として、アプライドマテリアル社製「Centura Dxz」を用い、成膜条件としてRF電力320W、圧力4.5toor、温度400℃とし、ソースガスとしてSiH:32sccm、NO:480sccm、He:4500sccmを流し、窒化シリコン層を成膜した。窒化性ガスがNOに変更され、RF電力、圧力を高くしている。スペクトルs3が、第3のサンプルの測定から得た消衰係数である。第2のサンプル同様可視光領域から光吸収が認められ、波長が短くなるに連れ、消衰係数はさらに強く増大し続けている。波長500nmでは第1の窒化シリコン層の波長300nmの消衰係数とほぼ同等の消衰係数となっている。波長300〜400nmの消衰係数は、第2のサンプルの約3倍以上である。紫外光領域で、著しく強い光吸収がある。第3のサンプルは、第1のサンプルより高いSi組成を有すると考えられる。第3のサンプルのSi組成は、第2のサンプルのSi組成よりさらに高いであろう。
図2A,2B,2Cは、他の絶縁膜としてPE−CVDにより作成したSiOC膜(第4のサンプル)、PE−CVDにより作成したSiC膜(第5のサンプル)、塗布法で作成したポリアリルエーテル膜(第6のサンプル)の消衰係数のスペクトルを示す。
図2Aは、第4のサンプルのSiOC膜の消衰係数のスペクトルであり、第4のサンプルのSiOC膜は以下の方法で作成した。PE−CVD装置として、ノベラス社製「Concept2 sequal」を用い、成膜条件として上部高周波RF電力600W、下部低周波RF電力400W、反応室内圧力4.0 toor、温度400℃とし、ソースとして、CO:5000sccm、テトラメチルシクロテトラシロキサン5cc/secを流し、SiOC膜を成膜した。スペクトルs4が、第4のサンプルの測定から得た消衰係数である。波長300nm以下で僅かな光吸収が認められるものの、紫外光領域に対する光吸収はほとんどないと言える。
図2Bは、第5のサンプルのSiC膜の消衰係数のスペクトルであり、第5のサンプルのSiC膜は以下の方法で作成した。プラズマ(PE)CVD装置として、ノベラス社製「Concept2 sequal」を用い、成膜条件として上部高周波RF電力600W、下部低周波RF電力400W、反応室内圧力1.8 toor、温度400℃とし、ソースガスとして、テトラメチルシラン:500sccm、CO:2500sccmを流し、SiC膜を成膜した。スペクトルs5が、第5のサンプルの測定から得た消衰係数である。波長400nmから紫外光に対する光吸収が増加し始めているが、波長300nmでの消衰係数は第1のサンプルのSiN膜より低い。波長240nm程度以下で消衰係数は急激に増大している。この吸収帯とUVランプの波長域との重なりは少ないであろう。UVランプで照射される紫外光領域に対する光吸収は第1のサンプルと同等以下であろう。
図2Cは、第6のサンプルのポリアリルエーテル膜の消衰係数のスペクトルであり、第6のサンプルのポリアリルエーテル膜は以下の方法で作成した。ポリアリルエーテルとして、ダウ・ケミカル社製SiLK(登録商標)を用いた。スピンコート法により、シリコンウエハ上にポリアリルエーテル膜を成膜し、ホットプレート上300℃でベークした後、N雰囲気の炉中で400℃のアニールを行なった。スペクトルs6が、第6のサンプルの測定から得た消衰係数である。波長400nmでは、ほとんど光吸収はないが、波長350nm程度以下で消衰係数が増大し、波長300nmでは第1のサンプルの2倍程度の消衰係数となっている。
図3Aは、第1の実施例による半導体装置の構成を概略的に示す断面図である。シリコン基板11の表面部に、シャロートレンチ12を形成する。例えば、シリコン基板11の表面上に、厚さ9〜21nm、例えば厚さ10nmの酸化シリコン層を熱酸化により形成する。酸化シリコン層の上に、厚さ100〜150nm,例えば厚さ110nmの窒化シリコン層を低圧(LP)化学気相堆積(CVD)により形成する。LPCVDは、例えばソースガスとしてSiCl22とNH3を用い、温度750℃〜800℃で行う。成膜する厚さが比較的厚いので、成膜温度を高めに設定し、成膜速度を上げている。
窒化シリコン層の上に、活性領域(素子形成領域)上を覆うレジストパターンを形成する。レジストパターンの開口部が素子分離領域を画定する。開口部の幅は、例えば0.1〜1μmである。レジストパターンをエッチングマスクとし、窒化シリコン層、酸化シリコン層をエッチングする。レジストマスクは、ここで除去してもよい。エッチされた窒化シリコン層をエッチングマスクとしてシリコン基板11を反応性イオングエッチング(RIE)により異方性エッチングする。シリコン基板11は、例えば深さ300nmエッチングされてトレンチ12を形成する。なお、窒化シリコン層、酸化シリコン層のエッチングは、CF4、CHF3、Arの混合ガスをエッチングガスとして行う。シリコン基板11のエッチングは、HBr、O2の混合ガス、又はCl2、O2の混合ガスをエッチングガスとして行う。
トレンチ12の表面に露出したシリコン基板表面を熱酸化して例えば厚さ3nmの酸化シリコン層ライナ13を形成する。トレンチ12内に露出していたシリコン表面は、全て酸化シリコン層ライナ13により覆われる。さらに、引張応力を内蔵する窒化シリコン層ライナ14をLPCVDにより形成する。窒化シリコン層ライナ14の厚さは、厚いほど強い応力を与えられるが、厚くしすぎると残る間口が狭くなり、HDP酸化シリコン膜でも埋め込みが困難になる。窒化シリコン層ライナ14の厚さは、例えば20nmとする。LPCVDは、SiCl22、NH3の混合ガスをソースガスとし、温度680度未満、例えば650℃程度で行う。成膜する厚さが比較的薄いので成膜温度を比較的低温に設定し、成膜速度を下げている。ソースガスとして、シラン(SiH4)とアンモニア(NH3)、テトラクロルシラン(SiCl4)とアンモニア、ビスターシャルブチルアミノシラン(BTBAS)とアンモニア等を用いてもよい。形成される窒化シリコンのライナ層は、1.2GPa以上の引張り(tensile)応力を有する。この応力は、後述する緻密化の熱処理を行った埋め込み酸化シリコン層の応力と逆方向である。SiN層ライナを形成した後、ラピッドサーマルアニール(RTA)等により、1000℃〜1150℃の熱処理を行ってもよい。例えば、N2雰囲気中で1000℃、30秒間の熱処理を行い、SiN層の引張り応力を20〜30%増大することができる。
レジスト同等組成の有機物をトレンチ内に充填し、上部を除去して窒化シリコン層ライナの上部を露出し、 窒化シリコン層ライナ14の上部を選択的に除去する。高密度プラズマ(HDP)CVD装置内で窒化シリコンのライナ層14を形成した基板に400℃〜450℃で1〜5分のプレアニールを行い、引き続き同一室内でHDPCVDにより、酸化シリコン層15を形成し、トレンチ内を埋め込む。例えば、深さ300nmのトレンチを形成した場合、埋め込み酸化シリコン層15の厚さは、平坦部で500nm程度に選択する。
酸化シリコン層15の形成は、SiH4と酸素の混合ガス、又はTEOSとオゾンの混合ガスをソースガスとして行う。酸化シリコン層15の成長後、約1000℃のアニールを行ない、酸化シリコン層15を緻密化する。アニールを経たトレンチ内の酸化シリコン層15の層質は熱酸化層とほぼ同じになる。緻密化された酸化シリコン層は、圧縮応力を生じるが、この圧縮応力と窒化シリコン層ライナの引張り応力は逆方向であり、圧縮応力は引張り応力により相殺される。圧縮応力による電子移動度の低下が低減される。
シリコン基板表面上の不要絶縁層を化学機械研磨(CMP)で除去し、STIを形成する。基板表面上の窒化シリコン層が研磨のストッパとして機能する。CMPの代わりに、CF4とCHF3の混合ガスを用いたRIEを用いてもよい。ストッパとして用いた窒化シリコン層を熱リン酸によりエッチングする。その後、シリコン基板11表面上の酸化シリコン層を希フッ酸により除去する。
シリコン基板11の表面を熱酸化して犠牲酸化層を成長する。犠牲酸化層をスルー酸化層として用い、シリコン基板11表面領域にイオン注入を行ない、イオン注入された不純物を活性化してSTIで画定された活性領域に所定導電型のウエルを形成する。例えばnチャネルMOSトランジスタ形成用のp型ウエルWp、pチャネルMOSトランジスタ形成用のn型ウエルWnとをレジストマスクを用いた別個のイオン注入で形成する。ウエルWn、Wpを形成した後、犠牲酸化層を希フッ酸により除去する。
活性領域表面にゲート絶縁膜16を形成する。ゲート絶縁膜16は、例えば熱酸化膜を窒化した厚さ2nm以下の酸化窒化シリコン層である。ゲート絶縁膜として、HfO等の高誘電率材料を用いた構成を採用することも可能である。ゲート絶縁膜16の上に多結晶シリコン層(不純物注入によりn型多結晶シリコン層17n、p型多結晶シリコン層17pとなる層)を熱CVDで堆積し、パターニングして絶縁ゲート電極を形成する。p−MOS領域(n型ウエルWn)をレジストでマスクし、n−MOS領域(p型ウエルWp)にn型不純物を浅くイオン注入し、n型エクステンション領域18nを形成する。同様、n−MOS領域(p型ウエルWp)をレジストマスクで覆い、p−MOS領域(n型ウエルWn)にp型不純物を浅くイオン注入し、p型エクステンション領域18pを形成する。ゲート電極を覆ってシリコン基板上に酸化シリコン等の絶縁層を堆積し、異方性エッチング(RIE)を行うことによりゲート電極側壁上にのみサイドウォールSWを残す。
サイドウォールSW形成後、n−MOS領域(p型ウエルWp)、p−MOS領域(n型ウエルWn)に対してそれぞれ選択的にn型不純物、p型不純物をイオン注入し、n型ソース/ドレイン拡散層21n、p型ソース/ドレイン拡散層21pを作成する。多結晶シリコンのゲート電極にも不純物が注入され、n型ゲート電極17n、p型ゲート電極17pとなる。ゲート電極を覆って、基板表面にシリサイド反応可能な金属層を堆積し、シリサイド反応を行なわせることにより、ゲート電極表面及びソース/ドレイン拡散層表面にシリサイド層23を形成する。このようにして、CMOSトランジスタ構造が作成される。
なお、CMOSトランジスタ構造としては、公知の種々の構成を用いることができる。一般的な半導体装置の構成とその製造工程に関しては、たとえばUSP6,707,156を参照できる。
CMOSトランジスタ構造を覆って、シリコン基板上に窒化シリコン層のコンタクトエッチストッパ層25を堆積する。この窒化シリコン層は、例えば以下の条件の熱CVDで作成する。熱CVD装置としてアプライドマテリアル社製centuraを用い、圧力300torr、温度600℃でN:5000sccm、NH:7000sccm、Si:19sccmを流し、コンタクトエッチストッパとしてシリコン基板表面に形成するのに適した品質の窒化シリコン層を熱CVDで成膜する。
コンタクトエッチストッパ層25の上に、下層層間絶縁膜としてPSG(ホスホシリケートガラス)層26をたとえば熱CVDで形成した。下層層間絶縁層26、コンタクトエッチストッパ層25を貫通したコンタクト孔27を開口し、TiN等のバリア層28を堆積した後、W層29を埋め込み、不要部をCMPで除去し、導電性プラグを作成する。導電性プラグを埋め込んだ下層層間絶縁層26の上に、上述の第2、第3のサンプルのような紫外線遮蔽能を有する窒化シリコン層31をPE−CVDにより厚さ約50nm堆積する。
窒化シリコン層31の上に、紫外線遮蔽能を有さないSiOC層32をPE−CVDにより厚さ約130nm堆積する。SiOC層32の上にレジストパターンを形成し、UV照射で硬化させる。たとえば、UVキュア装置としてAxcelis社製Fusion200を用い、110℃、10秒と230℃30秒の二段ステップキュアを行なう。硬化したレジストパターンをマスクとして、SiOC層32、SiN層31を貫通して配線用トレンチ33を形成する。Ta等のバリア層34を堆積した後、銅層35をメッキ等で埋め込み、不要部を除去して銅配線パターンを形成する。銅配線パターンを覆い、酸素遮蔽能、銅拡散防止能を有するSiC層37をPE−CVDにより厚さ約50nm堆積する。必要に応じ、さらに層間絶縁層形成、ダマシン配線形成工程を繰り返し、多層配線を形成する。
図3Bは、比較サンプルの構成を概略的に示す断面図である。シリコン基板11から、下層層間絶縁層26、導電性プラグ28,29までの構成は、図3Aと同様である。導電性プラグを形成した後、下層層間絶縁層26の上に、SiOC層132をPE−CVDにより、厚さ約130nm堆積する。SiOC層132に配線用トレンチ133を形成し、Ta等のバリア層134を堆積した後、銅層135を埋め込む。不要金属層を除去した後、銅配線パターンを覆ってSiC層137をPE−CVDにより厚さ50nm形成する。すなわち、比較用サンプルは、図3Aに示すサンプルと比べ、紫外線遮蔽能を有するSiN層31を有さない点が異なる。
図4Aは、図3A、3Bに示すpチャネルMOSトランジスタの平面構成を概略的に示す。活性領域を囲んでトレンチ12が形成され、トレンチ内壁に露出したSi表面を熱酸化して酸化シリコン層ライナ13が形成され、酸化シリコン層ライナ13の上に窒化シリコン層ライナ14が形成され、トレンチを埋め込んでHDP酸化シリコン層15が形成されている。活性領域中間部を横断して、ゲート絶縁膜を介してp型多結晶シリコン層17pのゲート電極が形成されている。ゲート電極17pの両側には、p型不純物がイオン注入されたソース/ドレインエクステンション領域18p、ソース/ドレイン拡散層21pが形成されている。ゲート電極17p下には、n型ウエル領域Wnが残り、チャネル領域を構成する。
窒化シリコン層14が電子をトラップすると、不純物濃度の高いソース/ドレイン領域21pはほとんど影響を受けなくても、n型チャネル領域Wnは、負電荷の影響を受け、空乏層形成、反転層形成の可能性を有する。
図4Bは、図3Aに示す実施例のサンプルと図3Bに示す比較例のサンプルのドレイン電流Ids対ゲート電圧Vgの特性を示す。図3Aに示す実施例のサンプルの特性s11は、ゲート電圧がオン状態では、ドレイン電流が飽和している。ゲート電圧の絶対値を減少するにつれ、ドレイン電流が減少を続け、オフ状態では極めて小さい値まで減少している。ゲート電圧を逆極性で増加すると、わずかに立ち上がる。これに対し、図3Bに示す比較例のサンプルの特性s12は、ほぼ等しい飽和電流を示す。ゲート電圧の絶対値の減少と共に、ある程度までドレイン電流Idsが減少した後、ドレイン電流の減少は止まってしまい、リーク電流が存在することを示している。STI側面に負電荷が蓄積され、常時ターンオンされた寄生MOSトランジスタが生じていると考えられる。実施例のサンプルにおいては、寄生MOSトランジスタの発生が防止されているか、抑制されていると考えられる。nチャネルMOSトランジスタにおいては、STI側壁に負電荷が生じても、チャネルはよりオフとなるだけで、リーク電流は生じないであろう。ただし、STI側壁に接する部分が常時オフの寄生トランジスタとなると、実効チャネル幅が減少してしまう。より微細化されたnチャネルMOSトランジスタにおいては、ドレイン電流減少が問題となることもあろう。
図4Bに示す特性から、図3Aに示すMOSトランジスタ構造においては、下層層間絶縁膜26の上に紫外線遮蔽能を有するSiN層31を形成したことにより、リーク電流が減少したと考えられる。すなわち、上方より照射する紫外線に対し、SiN層31が紫外線遮蔽能を発揮し、STIに紫外線が照射することを防止ないし抑制する。このため、STIに電荷が帯電せず、リーク電流の増大を抑制していると考えられる。
図5Aは、他の実施例による半導体装置の構成を概略的に示す断面図である。下層層間絶縁層26、導電性プラグ形成までの工程は、図3Aに示す構成と同様である。下層層間絶縁層26の上に、ポリアリルエーテルの有機絶縁層42を厚さ130nm形成し、配線パターン用トレンチを作成し、バリア層34、銅層35の銅配線を埋め込む。銅配線を埋め込んだポリアリルエーテルの有機絶縁層42の上に、SiC層37をPE−CVDにより厚さ50nm成膜する。SiC層37の上に、層間絶縁膜としてポリアリルエーテルの有機絶縁層44を厚さ250nm形成する。ポリアリルエーテルの有機絶縁層44の中にデュアルダマシン銅配線用トレンチ45を形成し、バリア層46、銅層47を埋め込んでデュアルダマシン銅配線を形成する。デュアルダマシン配線の上に、SiC層49をPE−CVDにより厚さ50nm成膜する。必要に応じ、さらに層間絶縁層形成、配線層形成の工程を繰り返す。
図5Bは、比較例の構成を示す。図5Aに示す構成と比べ、ポリアリルエーテル層42、44が、PE−CVDにより形成したSiOC層142、144で置き換えられている点が異なる。
図6は、図5A、図5Bに示す半導体装置のドレイン電流Ids対ゲート電圧Vgの特性を示すグラフである。曲線s21が図5Aに示す実施例のサンプルの特性であり、曲線s22が図5Bに示す比較例のサンプルの特性である。図5Bに示す比較例のサンプルのドレイン電流Idsは、ある程度以下には減少せず、リーク電流が存在することを示している。これに対し、実施例のサンプルの特性s21は、ドレイン電流Idsが極めて小さい値まで減少し、その後わずかに立ち上がることを示している。図5Bの比較例においては、図3Bの比較例同様常時ターンオンした寄生MOSトランジスタが生じていると考えられる。図5Aの実施例においては、寄生MOSトランジスタの発生が、防止または抑制されている。
図5Aに示す構成においては、図5Bに示す構成のSiOC層に代え、ポリアリルエーテル層を用いている。図2Aに示すグラフより明らかなように、SiOCは紫外領域に対する光吸収がほとんどない。これに対し、図2Cに示すように、ポリアリルエーテルは波長350nm程度以下において、比較的急激に立ち上がる光吸収を示している。ポリアリルエーテルの有機絶縁層42,44は、厚さが厚いため、光吸収としてはかなり強い光吸収が期待できる。このため、図5Aに示す構成において、リーク電流が減少したものと考えられる。
このように、多層配線の下方、トランジスタの上方に紫外線遮蔽能を有する膜を成膜することにより、紫外線照射を含む多層配線形成工程を行っても、SiN層ライナの界面への電荷の蓄積を妨げることができ、トランジスタの特性を良好に保持でき、高性能なトランジスタの提供が可能となる。なお、紫外線遮蔽能を有するコンタクトエッチストッパ層は、SiN層に限るものではない。SiリッチのSiNを組成中に含む他の絶縁層を用いることも可能であろう。紫外線遮蔽能を有する有機絶縁層もポリアリルエーテル層に限らない。ベンゼン環を有する他の有機絶縁層を用いることも可能であろう。
以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば種々の変更、改良、組み合わせが可能なことは当業者に自明であろう。以下、本発明の特徴を付記する。
(付記1)(1)
シリコン基板と、
前記シリコン基板の表面から下方に向かって形成され、前記シリコン基板の表面に活性領域を画定するトレンチと、
前記トレンチの内壁を覆う窒化シリコン層のライナ層と、
前記第2ライナ層の上に形成され、前記トレンチを埋める絶縁物の素子分離領域と、
前記活性領域に形成されたpチャネルMOSトランジスタと、
前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に形成され,紫外光遮蔽能を有さない窒化シリコン層のコンタクトエッチストッパ層と、
前記コンタクトエッチストッパ層の上方に形成され、紫外光遮蔽能を有する窒化シリコン層の遮光膜と、
を有する半導体装置。
(付記2)
さらに、前記窒化シリコン層のライナ層下方で、前記トレンチの内壁を覆う酸化シリコン層の下層ライナ層を有する付記1記載の半導体装置。
(付記3)(2)
さらに、前記コンタクトエッチストッパ層と前記遮光膜との間に形成され,紫外光遮蔽能を有さない酸化シリコン系絶縁層の下層層間絶縁膜を有する付記1記載の半導体装置。
(付記4)(3)
前記遮光膜の窒化シリコン層は,前記コンタクトエッチストッパ層の窒化シリコン層より高いSi組成を有する付記1〜3のいずれか1項記載の半導体装置。
(付記5)(4)
前記ライナ層の窒化シリコン層は,前記シリコン基板表面より引き下げられた上端を有する付記1〜4のいずれか1項記載の半導体装置。
(付記6)(5)
さらに、前記遮光膜上方に形成され,紫外光遮蔽能を有さない中層層間絶縁膜と、
前記中層層間絶縁膜に埋め込まれた配線と、
前記配線を覆って,前記中層層間絶縁膜上に形成され,酸素遮蔽能と配線金属拡散防止能を有し,紫外線遮蔽能を有さない拡散防止層と、
を有する付記1〜5のいずれか1項記載の半導体装置。
(付記7)
前記配線が銅配線である付記6記載の半導体装置。
(付記8)(6)
シリコン基板と、
前記シリコン基板の表面から下方に向かって形成され、前記シリコン基板の表面に活性領域を画定するトレンチと、
前記トレンチの内壁を覆う窒化シリコン層のライナ層と、
前記ライナ層の上に形成され、前記トレンチを埋める絶縁物の素子分離領域と、
前記活性領域に形成されたpチャネルMOSトランジスタと、
前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に形成され,紫外光遮蔽能を有さない窒化シリコン層のコンタクトエッチストッパ層と、
前記コンタクトエッチストッパ層の上に形成され,紫外光遮蔽能を有さない酸化シリコン系絶縁層の下層層間絶縁膜と、
前記下層層間絶縁膜を貫通し,前記pチャネルMOSトランジスタに達する導電性プラグと、
前記導電性プラグを覆って,前記下層層間絶縁膜の上方に形成され、紫外光遮蔽能を有する有機絶縁層の中層層間絶縁膜と、
前記中層層間絶縁膜を貫通し,前記導電性プラグに達する配線と、
を有する半導体装置。
(付記9)
さらに、前記窒化シリコン層の下方で、前記トレンチの内壁を覆う酸化シリコン層の下層ライナ層を有する付記8記載の半導体装置。
(付記10)
前記配線が銅配線である付記8記載の半導体装置。
(付記11)
前記有機絶縁層がポリアリルエーテル層である付記8記載の半導体装置の製造方法。
(付記12)(7)
さらに、前記中層層間絶縁膜上方に形成され,紫外光遮蔽能を有さない上層層間絶縁膜と、
前記上層層間絶縁膜に埋め込まれた銅配線と、
を有する付記8〜11のいずれか1項記載の半導体装置。
(付記13)(8)
(a)シリコン基板表面を選択的にエッチングし、活性領域を画定するトレンチを形成する工程と、
(b)前記トレンチ内に露出したシリコン基板表面上方に窒化シリコン層のライナ絶縁層を形成する工程と、
(c)前記ライナ絶縁層の画定する凹部を埋め込んで、絶縁物の素子分離領域を形成する工程と、
(d)前記活性領域にpチャネルMOSトランジスタを形成する工程と、
(e)前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に紫外線遮蔽能を有さない窒化シリコン層のコンタクトエッチストッパ層を形成する工程と,
(f)前記コンタクトエッチストッパ層上方に、紫外線遮蔽能を有する窒化シリコン層の遮光膜を形成する工程と,
を含む半導体装置の製造方法。
(付記14)
さらに、(g)前記窒化シリコン層のライナ層下方で、前記トレンチ内に露出したシリコン基板表面上に酸化シリコン層の下層ライナ層を形成する工程を含む付記13記載の半導体装置の製造方法。
(付記15)(9)
前記工程(b)が、熱CVDで前記窒化シリコン層を形成する付記13または14記載の半導体装置の製造方法。
(付記16)(10)
前記工程(e)が,熱CVDで第1のSi組成の窒化シリコン層を形成し,前記工程(f)が,プラズマCVDで前記第1のSi組成より高い第2のSi組成の窒化シリコン層を形成する付記13または15記載の半導体装置の製造方法。
種々の窒化シリコン膜の消衰係数のスペクトルを示すグラフである。 PE−CVDで形成したSiOC層、SiC層、および塗布法で形成したポリアリルエーテル層の消衰係数のスペクトルを示すグラフである。 実施例による半導体装置、および比較例の半導体装置の断面図である。 pチャネルMOSトランジスタの平面構成を概略的に示す平面図、および図3A,3Bのサンプルのドレイン電流Ids対ゲート電圧の特性を示すグラフである。 実施例による半導体装置、および比較例の半導体装置の概略断面図である。 図5A,5Bのサンプルのドレイン電流Ids対ゲート電圧Vgの特性を示すグラフである。
符号の説明
11 シリコン基板
12 シャロートレンチ
13 酸化シリコン層ライナ
14 窒化シリコン層ライナ
15 HDP酸化シリコン層
16 ゲート絶縁膜
17 ゲート電極
18 エクステンション領域
21 ソース/ドレイン拡散層
23 シリサイド層
25 コンタクトエッチストッパ層
26 下層層間絶縁層
27 コンタクト孔
28 バリア層
29 W層
31 (紫外線遮蔽能を有する)窒化シリコン層
32 SiOC層
33 配線用トレンチ
34 バリア層
35 銅層
37 SiC層
42、44 有機絶縁層(ポリアリルエーテル層)
45 デュアルダマシン用トレンチ
46 バリア層
47 銅層
49 SiC層
W ウェル
132 SiOC層
133 配線用トレンチ
134 バリア層
135 銅層
142,144 SiOC層

Claims (10)

  1. シリコン基板と、
    前記シリコン基板の表面から下方に向かって形成され、前記シリコン基板の表面に活性領域を画定するトレンチと、
    前記トレンチの内壁を覆う窒化シリコン層のライナ層と、
    記ライナ層の上に形成され、前記トレンチを埋める絶縁物の素子分離領域と、
    前記活性領域に形成されたpチャネルMOSトランジスタと、
    前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に形成され,第1の紫外光遮蔽能を有する窒化シリコン層のコンタクトエッチストッパ層と、
    前記コンタクトエッチストッパ層の上方に形成され、第2の紫外光遮蔽能を有する窒化シリコン層の遮光膜と、
    を有し、
    前記第2の紫外光遮蔽能は、前記第1の紫外光遮蔽能よりも高いことを特徴とする半導体装置。
  2. さらに、前記コンタクトエッチストッパ層と前記遮光膜との間に形成され,前記第2の紫外光遮蔽能よりも低い第3の紫外光遮蔽能を有する酸化シリコン系絶縁層の下層層間絶縁膜を有する請求項1記載の半導体装置。
  3. 前記遮光膜の窒化シリコン層は,前記コンタクトエッチストッパ層の窒化シリコン層より高いSi組成を有する請求項1または2記載の半導体装置。
  4. 記ライナ層の窒化シリコン層は,前記シリコン基板表面より引き下げられた上端を有する請求項1〜3のいずれか1項記載の半導体装置。
  5. さらに、前記遮光膜上方に形成され,前記第2の紫外光遮蔽能よりも低い第4の紫外光遮蔽能を有する中層層間絶縁膜と、
    前記中層層間絶縁膜に埋め込まれた配線と、
    前記配線を覆って,前記中層層間絶縁膜上に形成され,酸素遮蔽能と配線金属拡散防止能を有し,前記第2の紫外光遮蔽能よりも低い第5の紫外線光遮蔽能を有する拡散防止層と、
    を有する請求項1〜4のいずれか1項記載の半導体装置。
  6. シリコン基板と、
    前記シリコン基板の表面から下方に向かって形成され、前記シリコン基板の表面に活性領域を画定するトレンチと、
    前記トレンチの内壁を覆う窒化シリコン層のライナ層と、
    前記ライナ層の上に形成され、前記トレンチを埋める絶縁物の素子分離領域と、
    前記活性領域に形成されたpチャネルMOSトランジスタと、
    前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に形成され,第1の紫外光遮蔽能を有する窒化シリコン層のコンタクトエッチストッパ層と、
    前記コンタクトエッチストッパ層の上に形成され,第2の紫外光遮蔽能を有する酸化シリコン系絶縁層の下層層間絶縁膜と、
    前記下層層間絶縁膜を貫通し,前記pチャネルMOSトランジスタに達する導電性プラグと、
    前記導電性プラグを覆って,前記下層層間絶縁膜の上方に形成され、前記第1、第2の紫外光遮蔽能よりも高い第3の紫外光遮蔽能を有する有機絶縁層の中層層間絶縁膜と、
    前記中層層間絶縁膜を貫通し,前記導電性プラグに達する配線と、
    を有する半導体装置。
  7. さらに、前記中層層間絶縁膜上方に形成され,前記第3の紫外光遮蔽能よりも低い第4の紫外光遮蔽能を有する上層層間絶縁膜と、
    前記上層層間絶縁膜に埋め込まれた銅配線と、
    を有する請求項6記載の半導体装置。
  8. (a)シリコン基板表面を選択的にエッチングし、活性領域を画定するトレンチを形成する工程と、
    (b)前記トレンチ内に露出したシリコン基板表面上方に窒化シリコン層のライナ絶縁層を形成する工程と、
    (c)前記ライナ絶縁層の画定する凹部を埋め込んで、絶縁物の素子分離領域を形成する工程と、
    (d)前記活性領域にpチャネルMOSトランジスタを形成する工程と、
    (e)前記pチャネルMOSトランジスタを覆って,前記シリコン基板上方に第1の紫外線光遮蔽能を有する窒化シリコン層のコンタクトエッチストッパ層を形成する工程と,
    (f)前記コンタクトエッチストッパ層上方に、前記第1の紫外光遮蔽能よりも高い第2の紫外光遮蔽能を有する窒化シリコン層の遮光膜を形成する工程と,
    を含む半導体装置の製造方法。
  9. 前記工程(b)が、熱CVDで前記窒化シリコン層を形成する請求項8記載の半導体装置の製造方法。
  10. 前記工程(e)が,熱CVDで第1のSi組成の窒化シリコン層を形成し,前記工程(f)が,プラズマCVDで前記第1のSi組成より高い第2のSi組成の窒化シリコン層を形成する請求項8または9記載の半導体装置の製造方法。
JP2004192744A 2004-06-30 2004-06-30 半導体装置 Expired - Fee Related JP4813778B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2004192744A JP4813778B2 (ja) 2004-06-30 2004-06-30 半導体装置
US10/972,326 US8022442B2 (en) 2004-06-30 2004-10-26 Semiconductor device having STI with nitride liner and UV light shielding film
US13/209,522 US8828830B2 (en) 2004-06-30 2011-08-15 Semiconductor device having STI with nitride liner and UV light shielding film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004192744A JP4813778B2 (ja) 2004-06-30 2004-06-30 半導体装置

Publications (2)

Publication Number Publication Date
JP2006019327A JP2006019327A (ja) 2006-01-19
JP4813778B2 true JP4813778B2 (ja) 2011-11-09

Family

ID=35513006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004192744A Expired - Fee Related JP4813778B2 (ja) 2004-06-30 2004-06-30 半導体装置

Country Status (2)

Country Link
US (2) US8022442B2 (ja)
JP (1) JP4813778B2 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4643223B2 (ja) * 2004-10-29 2011-03-02 株式会社東芝 半導体装置
KR100703971B1 (ko) * 2005-06-08 2007-04-06 삼성전자주식회사 반도체 집적 회로 장치 및 그 제조 방법
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US20080124890A1 (en) * 2006-06-27 2008-05-29 Macronix International Co., Ltd. Method for forming shallow trench isolation structure
US20080124855A1 (en) * 2006-11-05 2008-05-29 Johnny Widodo Modulation of Stress in ESL SiN Film through UV Curing to Enhance both PMOS and NMOS Transistor Performance
US7521763B2 (en) * 2007-01-03 2009-04-21 International Business Machines Corporation Dual stress STI
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US7750429B2 (en) * 2007-05-15 2010-07-06 International Business Machines Corporation Self-aligned and extended inter-well isolation structure
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR100965030B1 (ko) * 2007-10-10 2010-06-21 주식회사 하이닉스반도체 반도체 소자 및 반도체 소자의 콘택 플러그 형성 방법
JP5276824B2 (ja) * 2007-10-17 2013-08-28 スパンション エルエルシー 半導体装置の製造方法
JP2009170523A (ja) 2008-01-11 2009-07-30 Rohm Co Ltd 半導体装置およびその製造方法
JP2009170729A (ja) * 2008-01-17 2009-07-30 Spansion Llc 半導体装置の製造方法
KR20110120695A (ko) * 2010-04-29 2011-11-04 삼성전자주식회사 반도체 소자
CN102376754A (zh) * 2010-08-19 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件结构及制作该半导体器件结构的方法
US20150295033A1 (en) * 2012-11-30 2015-10-15 Ps5 Luxco S.A.R.L. Apparatus and method for manufacturing same
CN103872096B (zh) * 2012-12-18 2017-11-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6084946B2 (ja) * 2014-03-27 2017-02-22 サイプレス セミコンダクター コーポレーション 半導体装置の製造方法
CN104952881A (zh) * 2015-05-06 2015-09-30 合肥京东方光电科技有限公司 薄膜晶体管及制备方法、阵列基板及制备方法、显示装置
TWI582955B (zh) * 2015-09-04 2017-05-11 旺宏電子股份有限公司 隔離結構及其製造方法
CN106531680A (zh) * 2015-09-09 2017-03-22 旺宏电子股份有限公司 隔离结构及其制造方法
US9633857B1 (en) 2016-03-31 2017-04-25 Globalfoundries Inc. Semiconductor structure including a trench capping layer and method for the formation thereof
US10170585B2 (en) * 2017-02-21 2019-01-01 International Business Machines Corporation Semiconductor devices having equal thickness gate spacers
JP7240149B2 (ja) * 2018-08-29 2023-03-15 キオクシア株式会社 半導体装置
US20200075508A1 (en) * 2018-08-29 2020-03-05 Toshiba Memory Corporation Semiconductor device
CN110707087B (zh) * 2018-09-07 2022-02-22 联华电子股份有限公司 动态随机存取存储器和闪存存储器的制作方法及其结构
KR20210155868A (ko) * 2020-06-16 2021-12-24 삼성전자주식회사 반도체 소자
KR102528066B1 (ko) * 2021-04-23 2023-05-03 주식회사 키파운드리 낮은 온 저항 및 낮은 기생 정전 용량을 갖는 반도체 소자 및 그의 제조 방법
CN117174592B (zh) * 2023-08-25 2024-03-26 上海华虹挚芯电子科技有限公司 具有优化可靠性终端结构的功率器件及制造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3280233D1 (de) * 1981-09-11 1990-10-04 Toshiba Kawasaki Kk Verfahren zum herstellen eines substrats fuer multischichtschaltung.
JPH03129775A (ja) * 1989-07-11 1991-06-03 Seiko Epson Corp 半導体装置およびその製造方法
US5422290A (en) * 1994-02-28 1995-06-06 National Semiconductor Corporation Method of fabricating BiCMOS structures
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
JPH08271880A (ja) * 1995-04-03 1996-10-18 Toshiba Corp 遮光膜,液晶表示装置および遮光膜形成用材料
JPH10312975A (ja) * 1997-05-14 1998-11-24 Toshiba Corp 半導体装置及びその製造方法
US6316820B1 (en) * 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
US6479368B1 (en) 1998-03-02 2002-11-12 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device having a shallow trench isolating region
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
DE19843624C1 (de) * 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6245669B1 (en) * 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
KR100338767B1 (ko) * 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
US7098107B2 (en) * 2001-11-19 2006-08-29 Saifun Semiconductor Ltd. Protective layer in memory device and method therefor
JP2003163265A (ja) * 2001-11-27 2003-06-06 Nec Corp 配線構造およびその製造方法
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
DE10239843B4 (de) * 2002-08-29 2008-12-18 Promos Technologies, Inc. Verfahren zur Ausbildung eines Kontaktes
JP4606713B2 (ja) * 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US7319065B1 (en) * 2003-08-08 2008-01-15 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture
US6959920B2 (en) * 2003-09-09 2005-11-01 Tower Semiconductor Ltd. Protection against in-process charging in silicon-oxide-nitride-oxide-silicon (SONOS) memories
JP2005251973A (ja) * 2004-03-04 2005-09-15 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US7119404B2 (en) * 2004-05-19 2006-10-10 Taiwan Semiconductor Manufacturing Co. Ltd. High performance strained channel MOSFETs by coupled stress effects
US7091088B1 (en) * 2004-06-03 2006-08-15 Spansion Llc UV-blocking etch stop layer for reducing UV-induced charging of charge storage layer in memory devices in BEOL processing

Also Published As

Publication number Publication date
US20110291204A1 (en) 2011-12-01
JP2006019327A (ja) 2006-01-19
US20060001104A1 (en) 2006-01-05
US8022442B2 (en) 2011-09-20
US8828830B2 (en) 2014-09-09

Similar Documents

Publication Publication Date Title
JP4813778B2 (ja) 半導体装置
US8716148B2 (en) Semiconductor device manufacturing method
US7208812B2 (en) Semiconductor device having STI without divot and its manufacture
US7037803B2 (en) Manufacture of semiconductor device having STI and semiconductor device manufactured
US6410938B1 (en) Semiconductor-on-insulator device with nitrided buried oxide and method of fabricating
EP1349207A2 (en) Semiconductor device with copper wirings
US7608498B2 (en) Method of manufacturing semiconductor device
JP2004153066A (ja) 半導体装置の製造方法
JP4185057B2 (ja) 半導体装置の製造方法
JP2003017555A (ja) 半導体集積回路装置およびその製造方法
KR20050065217A (ko) 반도체 소자의 소자 분리막 형성 방법
JP2007048941A (ja) 半導体装置の製造方法
TW200532856A (en) Spacer approach for CMOS device
JP2008010881A (ja) 半導体装置の製造方法
JP2007234740A (ja) 半導体装置の製造方法
JP4685359B2 (ja) 半導体装置の製造方法
JP7180425B2 (ja) 炭化珪素半導体装置
JP4997698B2 (ja) 応力蓄積絶縁膜の製造方法及び半導体装置
KR100571486B1 (ko) 반도체 소자의 제조 방법
JP4688832B2 (ja) 半導体装置及びその製造方法
JP5505184B2 (ja) 半導体装置及びその製造方法
JP6110686B2 (ja) 半導体装置の製造方法
JP2006024679A (ja) 半導体装置の製造方法
JP2008288392A (ja) 半導体装置の製造方法
JP2007189243A (ja) 半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070424

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110729

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110823

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110825

R150 Certificate of patent or registration of utility model

Ref document number: 4813778

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees