JP2005303327A - 集積回路製造のための低誘電率材料 - Google Patents

集積回路製造のための低誘電率材料 Download PDF

Info

Publication number
JP2005303327A
JP2005303327A JP2005172406A JP2005172406A JP2005303327A JP 2005303327 A JP2005303327 A JP 2005303327A JP 2005172406 A JP2005172406 A JP 2005172406A JP 2005172406 A JP2005172406 A JP 2005172406A JP 2005303327 A JP2005303327 A JP 2005303327A
Authority
JP
Japan
Prior art keywords
layer
dielectric constant
integrated circuit
low
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005172406A
Other languages
English (en)
Inventor
Lee Wayming
リー ウェイミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JP2005303327A publication Critical patent/JP2005303327A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Abstract

【課題】 高密度集積回路における電気的アイソレーションのための低誘電率を有する絶縁材料を提供する。
【解決手段】 集積回路内の誘電性エレメント間に電気的アイソレーションを形成する方法であって、一部製造された集積回路を有する基板を提供すること、オルガノシランガス・ソースを酸化剤と反応させて基板上に層を形成すること、形成された層は主として水酸化珪素からなり炭素原子を含有する;及びプラズマ処理の間その上に層を形成することなく水酸化珪素層をプラズマ処理し、それによって水酸化珪素層をより低い誘電率を有する絶縁体に変換すること、を包含する方法。
【選択図】図2

Description

本発明は、一般に、集積回路に使用される材料及びその製造方法に関する。特に、高密度集積回路における電気的アイソレーションのための低誘電率を有する絶縁材料に関する。
集積回路(IC)を作製するとき、絶縁体、導体及び半導体からなる各層を順にデポジションし、パターンを形成する。IC中の最下部のレベル又は層は、トランジスタ等のフィールドアイソレーションエレメントによって分離された電子的素子を絶縁スペーサに保護されたゲート電極とともに形成する。記憶セルキャパシタも、特定の回路のために下部層と連結する。これらの電子的素子は、一般にはパターン形成された配線層によって内部接続され、レベル間コンタクトが素子上に形成される。
トランジスタ活性領域のような導電性エレメントならびにゲート、コンデンサ、コンタクト及び配線層は、それぞれ適切な回路作動のために互いに電気的に分離されなければならない。フィールドアイソレーションエレメント(例えば、フィールド酸化物(field oxide))及びゲートスペーサは、トランジスタエレメントのアイソレーションに寄与する。上部の配線層は、絶縁体を配線層間及び金属線間に含み、あるいはランナを配線層間に含む。そのような絶縁層は、しばしばレベル間絶縁膜(interlevel dielectrics;ILDs)と呼ばれる。
ICにおける絶え間ない小型化は、とりわけ隣接線(adjacent lines)間の間隔の短縮をもたらす。線間スペースのスケーリングは寄生容量の増加をもたらし、それはシグナル伝送を遅らせる。シグナル伝送の遅れは、スケーリングが達成しようとする本来の特徴である、より高い作動速度及びより低い電力消費の実現を妨げる。同様に、下部層での電子的素子間のより薄い分離も、スケールダウンされるにつれて寄生容量を現出する。
IC作動速度は、金属線間の寄生容量を減少させることにより増強できる。一般的には、寄生容量を減少させる1つの方法として、低い誘電率(k)を有する材料(低誘電材料)を使用することが提案されている。
しかしながら、低誘電材料を製造する公知の方法では、誘電率を(例えば、公知の酸化膜に比較して)十分に低下させることができず、また他の必要な又は望ましい製造方法で統合されるときに障害をもたらすので、不十分である。
このため、低誘電率を有する材料を提供する改良された方法が必要とされている。そのような方法は、公知の製造方法と適合し、既存の技術と容易に統合されることが望ましい。
本発明は、IC内で絶縁体を電気的に分離するための低誘電率を有する材料及びその製造方法を提供することによって、前述の要求を満たすものである。有利なことに、保護膜層(cap layer)を必要とすることなく低誘電率を有する材料を形成できる。
本発明の1つの特徴によれば、集積回路内の導電性エレメント間に電気的絶縁分離を提供する方法は、部分的に製造された集積回路を有する基板を準備することを包含する。オルガノシランガス・ソース(organosilane gas source)を酸化剤と反応させて基板上に層を形成する。この層は、主として水酸化珪素から構成されており、炭素を含有する。次に、この層を、プラズマ処理の間に層を形成することなく、プラズマ処理する。その処理では、水酸化珪素膜をより低い誘電率を有する絶縁材料に変換する。
本発明の他の側面に従うと、低誘電材料を形成する方法が開示される。オルガノシランガスを反応させて、基板上に第一の材料を形成する。続いて、その第一の材料を酸素含有プラズマにさらし、第一材料上に層を形成することなく、第一材料を第二の材料に変換する。第二材料は約3.5未満の誘電率を有する。
本発明の他の側面に従うと、低誘電材料を形成する方法は、炭素を含有する水酸化珪素層を形成することを包含する。続いて、この層を約500℃以上の温度でアニールする。
本発明の他の側面に従うと、集積回路内の導電性エレメント間に絶縁材料を導入する。この絶縁材料は、炭素−珪素結合を含むポリシロキサン網状構造を含み、その誘電率は約3.3未満である。
本発明の他の側面に従うと、集積回路が提供される。この回路は、第一及び第二の導電性エレメントを含み、これらのエレメントは回路の第一及び第二の電気的経路をそれぞれ提供する。単位絶縁層は、第一及び第二導電性エレメントに直接接触し、両者間に挟まれている。絶縁層は、炭素含有ポリシロキサンを含み、約3.5未満の誘電率を有する。
この方法は、水を蒸発させて、炭素含有ポリシロキサン網状構造を残す。有利なことに、上記材料は低誘電率を示し、また上記方法は様々なIC製造方法と容易に適合・統合される。
好ましい実施態様を、集積回路内の金属線又はランナを絶縁分離するのに使用されるレベル間絶縁物(interlevel dielectric;ILD)について説明する。しかしながら、好ましい方法及び材料が、後記でさらに考察されるように、シャロウトレンチアイソレーション(shallow trench isolation)、ゲートスペーサ(gate speacers)、埋込みディジットスペーサ(buried digit line spacers)等のようなIC製造におけるフロントエンド方法にとっても特に有用であることは、本明細書の開示に照らして理解される。さらに、当業者は、低誘電率の材料によるアイソレーションが望ましいときはいつでも本明細書に開示される材料及び方法を適用できる。
図1には、ILDのような低誘電材料を形成する方法を示す。オルガノシラン、特にメチルシラン(SiH3CH3)を室温又はそれ以下で酸化剤と反応させ、炭素を含有する水酸化珪素(Si(OH)4)の層を形成する。次いで、この層を水が蒸発するように処理して、高密度IC内の電気的アイソレーションに好適である安定な低誘電率材料を形成する。
図1には、デポジションされた層に慣用されているデポジション後処理(post-deposition treatment)も示す。この処理は、プラズマ反応器中のシランとN2Oとの反応による保護膜層のプラズマ増速化学蒸着法(PECVD)を包含し、シリコン窒化酸化膜(SiOxy)を形成する。これらの層を約450℃の温度で窒素ガス(N2)雰囲気中でアニールする。この方法は、堆積層中の水を蒸発させ、酸化膜様の層を形成する一方、SiOxyは水吸収に対して下層をシールする。比較的低いアニール温度は、比較的低い温度で溶融するアルミニウムのような慣用されている金属に適合し得る。
しかしながら、慣用されているポストデポジション処理は、いくつかの不利な点を必然的に伴う。例えば、保護膜層は、下層の低誘電材料よりも高い誘電率を有し、その結果として両層を含有するILDは、低誘電材料単独よりも高い実効誘電率を有する。換言すれば、低誘電材料の利点は十分認識されていない。例えば、波状模様(damascene)又は二重波状模様(dual damascene)電極形成法において、保護膜層(cap layer)は一般に金属研磨後に残り、金属層間の絶縁体は、全体としてより高い誘電率を示す。
さらに、保護膜(cap)及び低誘電率層は、異なる速度でエッチング又は研磨され、得られたILDの平坦化はその制御が困難であり、望ましい深さで停止することが難しい。そのような平坦化は、電極形成法がギャップ充填統合(gap fillintegration)により実施される場合に一般に必要であり、これにより金属が最初にデポジション及びエッチングされ、ILDがその上に堆積される。当業者は、低誘電絶縁材料によって均一なエッチング速度が望まれる、別のいくつかの状況を認識する。
低誘電材料を形成する好ましい方法
図2には、前述の慣用されているポストデポジション処理の不利な点なしに、低誘電率材料(低k材料)を形成する改善された方法を示す。例示された方法では、オルガノシランが反応して、水酸化珪素(シラノール又は「フローフィル酸化物(flowfill oxide)」として公知でもある)を形成し、炭素を含有している。好ましくは、このデポジション後に、プラズマ処理及び熱アニーリングをする。デポジションを行なう際には、1種以上の基板を公知の化学蒸着(CVD)反応チャンバーに装填する。この基板は、図3〜8の下記の説明からも明らかなように、通常は一部製造された集積回路である。しかしながら、当業者は、炭素含有する好ましい水酸化珪素を形成するのに他の手段が使用できることを理解する。
オルガノシランは、好ましくは酸素ソースガスと基板表面で反応する。オルガノシランは、好ましくはアルキルシランを含み、最も好ましくはメチルシラン(CH3SiH3)を含む。他方、酸化ソースは、最も好ましくは過酸化水素を含む。しかしながら、当業者は、他の反応物が炭素を含んだ水酸化珪素を生成できることを認識する。とりわけ、ジメチルシラン((CH3)2SiH2)及びトリメチルシラン((CH3)3SiH)も、反応して所望の層を形成することができる。
チャンバーへのガス流入速度は、他のファクターの中でも低誘電材料の望ましい誘電率及び厚さに依存する。メチルシランは、通常50〜500sccm程度、好ましくは約50sccm〜300sccm、より好ましくは約80sccm〜150sccmの流入速度でチャンバー内に導入される。液体ソースからの過酸化水素を気化し、1分当り通常0.2〜1.28グラム(g/分)、好ましくは0.3g/分〜1g/分の速度、より好ましくは0.5g/分〜0.75g/分の速度で反応チャンバーに導入する。チャンバー圧力は、好ましくは約400mTorr〜約1.5Torrに維持する。基板温度は、約−5℃〜100℃、好ましくは約0℃〜50℃に維持する。Trikon Technology, Inc.によって開発された代表的なデポジション法は当業者に容易に入手される文献に記載され、その開示は本明細書中に参考(S.McClatchie, K.Beekmann, A.Kiermasz, C.Dobson,European Semiconductor, V.17, pp.3 (1995))として援用される。
メチルシランと過酸化水素とは基板表面で反応して、図4に示される層14のような水酸化珪素又はシラノール(Si(OH)4)層を形成し、メチル基(CH3)の形態で炭素が含まれる。この反応は、以下のような反応式で示される: CH3SiH3 +H22 →CH3Si(OH)3 +Si(OH)4 (式1)ここで、堆積された生成物は主としてSi(OH)4である。好ましくは、水酸化珪素中の珪素原子の約5%〜20%が炭素原子と会合している。所望の生成物が基板上に堆積される。上記反応は、反応物の特性及び反応条件によって、H2、H2O、CO、CO2等の揮発性の副生成物も生成することがわかる。そのような副生成物は排気中に運び去られる。
メチルシランと過酸化水素とが反応してシラノールを形成した後、層をプラズマ処理する。プラズマ「処理」とは、本明細書で使用されるとき、水酸化珪素の層上に認識可能な層を堆積しないプラズマ法を指す。プラズマ処理は、好ましくは酸素成分を含み、特に好ましくはO2、O3、N2O、N2O/N2のようなソースガス又はその混合物から選ばれる。好ましくはプラズマ処理を約5秒〜90秒間、より好ましくは約30秒〜60秒間維持する。
1つの例示的なプラズマ処理では、N2Oを約100sccm〜5,000sccm、好ましくは2,000sccmの速度で提供する一方、N2を100sccm〜5,000sccm、好ましくは約1,000sccmの速度で提供される。構成ガスを解離するのに十分なRF電力をプラズマ反応器の電極に印加した。例えば、英国、ブリストルのTrikon Technology, Inc.から市販されているPlanner 200TMクラスターツールのプラズマモジュールでは、約0.1kW〜1kW、より好ましくは約0.5kWのRF電力でN2O/N2ガスをイオン化する。しかしながら、当業者は、マイクロ波プラズマ又は誘導結合プラズマによっても、イオン化電力が上記ガスに結合できることを認識する。チャンバー内圧力は、約300mTorr〜1,500mTorr、好ましくは、約750mTorrに維持する。
他の代表的プラズマ処理では、O2プラズマを適用した。約100sccm〜1,000sccm、より好ましくは約400sccm〜600sccmの速度で、上記プラズマモジュールに酸素を流入する一方、0.1kW〜1kWの範囲、より好ましくは約0.5kWのRF電力を電極に印加した。チャンバー圧力は、上記N2O/N2の実施態様に記載される通りである。当業者は、異なる電力を認識し、圧力は異なる反応器コンフィギュレーションで反応物ガスを解離するように変更することができる。
プラズマ処理の後、処理された層を、好ましくは非反応性雰囲気(例えば、N2O、N2、O2、O3等)内で、大気圧近くで熱アニールに供する。熱アニールは、高温アニールであり、基板を好ましくは約400℃を超え、約800℃未満で加熱する。より好ましくは、低誘電材料に関する特定の用途に応じて、約500℃〜700℃、より好ましくは約500℃〜600℃で基板をアニールする。例えば、急速熱加工システム(rapid thermal processing system;RTP)では、アニールは約5秒〜3分間維持でき、他方、炉アニールは約5分〜40分間、より好ましくは約20分〜30分間維持できる。
他のアレンジメントでは、プラズマ処理を高温アニールと同時に実施することができる。水酸化珪素が形成される基板は、プラズマ処理の間、熱プロセスに供される。例えば、当業者に理解されるように、ペデスタルは耐熱エレメントを含み、又は反応器は透明なチャンバー壁の外側に放射ヒーターを含む。あるいは、上記プラズマ処理は高温アニールなしに使用することができる。高温アニールにさらされる場合にそれとは違って溶融する公知の金属をインプロセスICがすでに含んでいる場合、上記方法はバックエンド作製プロセスに好ましい。
ポストデポジション処理がシラノールから水を駆出し、炭素−珪素結合を含有するポリシロキサン網状構造を残すことを見い出した。望ましくは、この材料は、二酸化珪素のものに類似する多くの特徴を有するが、より低い誘電率を有する。好ましくは、ポリシロキサン網状構造の好ましい誘電率は約3.5未満、より好ましくは約3.2未満、最も好ましくは約3.0未満である。
図9は、様々な製造方法で作られる材料の誘電率を表示する棒グラフである。公知の材料又は方法と比較すると、記載されたプラズマ処理及び高温アニールのそれぞれは、独立して、処理された水酸化珪素の誘電率を低下させることが明らかである。テトラエチルオルトシリケート(TEOS)を分解して形成される炭素ドープ酸化膜は約4.25の誘電率を示す。図9で”FF”と示される「フローフィル(flow fill)」酸化膜((炭素を含まない)Si(OH)4)は、約3.6の誘電率を有する。保護膜層デポジションで処理され、その後に400℃アニールされた水酸化珪素は、約3.4というより低い誘電率を示す。
しかしながら、図9にも示されるように、保護膜デポジション後に続く熱アニール温度の上昇は、誘電率をさらに低下させる。従って、450℃のアニールでは誘電率はさらに約3.25まで下がるが、500℃アニールでは誘電率を約3.0に下げる。従って、水酸化珪素材料上で保護膜層を使用したとしても、500℃以上の高温アニールでは、得られるポリシロキサン網状構造の誘電率を好適に低下させる。そのような方法は、波状模様方法(保護膜層は平坦化される必要はない)及びCVDチタン又は珪化チタン堆積のような高温の電極形成(metallization)(高温アニールはすでに堆積された金属層に支障をもたらさない)に特に適合する。保護膜層が、高温アニールと組合せて望まれるとき、PECVDSiOxyは、前記のように使用できる。しかしながら、保護膜層の使用は、ILDに関する実行誘電率(k)を本明細書で述べられた以上に上昇させる。
図10には、好ましい低誘電材料の誘電率のアニール温度との関係をグラフで示す。400℃を超える温度では一般に誘電率の低下をもたらすが、800℃を超える温度では、ILD中の炭素量の損失をもたらすことが見い出された。従って、上記のように、アニール温度は好ましくは約450℃〜800℃である。より好ましくは、低誘電材料に関する用途に応じて約500℃〜700℃、より好ましくは500℃〜600℃で基板をアニールする。
同様に、周囲材料との化学的及び機械的適合性を維持しながら、誘電率を大幅に低下させるための高温アニールの使用は、フロントエンド方法にとって特に好適である。例えば、ゲートを分離するために使用されるシャロウトレンチアイソレーション(STI)及びサイドウォールスペーサはそれぞれ本明細書に開示される低誘電率材料を用いて好ましい高温アニールで製造される。そのようなステージでは、高温加工は、任意の速度によるこれら構造物の形成の後になる傾向があり、その結果、先に作製された構造は比較的高温のサイクリングに耐えることができる。さらに、今日の速度での絶え間ないスケーリングにより、寄生容量を低減させるため、そのようなフロントエンドアイソレーションエレメントがより低い誘電率を有することが重要となる。
図9を再び参照すると、高温アニールの必要性なく、本願の意味の範囲内においてプラズマ処理によっても誘電率の低減を達成できる。従って、N2O/N2プラズマによるポストデポジション後のプラズマ処理は、約3.2の誘電率を有する材料をもたらすが、O2プラズマではN2O/N2処理のそれよりも僅かに低い誘電率(約3.15)を示した。そのような方法は、ほとんどのバックエンド製造方法で特に望ましく、そこでは一部製造された集積回路における融点金属の存在により、熱の蓄えが特に減少する。保護膜層なしのプラズマ処理も、高温アニールの使用の有無にかかわらず、ゲート又は桁線スペーサ(digit lines spacer)を形成するようなフロントエンド方法において、特に望ましい。そのような用途には、スケーリングされる回路デザインにより、より薄いフィルムが要求される。保護膜層デポジションに代わるプラズマ処理は、保護膜層によって生じるより高い実効性のk(誘電率)よりもむしろ、より低いkを有する材料の十分な利点を認識させる。
低いKを有する誘電率材料を用いる波状模様方法
図3〜8には、代表的な電極形成法における、レベル間絶縁(ILD)としての上記低いkを有する誘電材料の使用を例示する。例示された方法は、IC作製技術において「波状模様(damascene)」方法として公知である。
図3には、部分的に組み立てられた工作物又は基板アセンブリー5を示す。これは、絶縁層10を形成するホウリン酸シリケートガラス(boron phosphous silicate glass;BPSG)等の汎用ICアイソレーション材料を含む。当業者に理解されるように、絶縁層10は、電子的素子が形成される半導体基板の上にある。基板は、通常はエピタキシアルシリコンの層又はシリコンウェハを含む。複数のコンタクト12は予め形成され、絶縁層10を通って下方に及び、基板内の下部の金属層又は活性領域と接触する。代表的なコンタクト12の材料は、タングステン(W)プラグを含む。基板5は、適当な加工チャンバー内に設置される。上記チャンバーは、反応ガス、好ましくはメチルシラン及び過酸化水素をチャンバー内に導入するガス流入口を含む。ガスは、チャンバーに導入する前に予め混合されないことが好ましい。チャンバー圧力及びガス流入速度は、前記と同様にすることができる。
図4を参照すると、メチルシランと過酸化水素は反応し、炭素を含む水酸化珪素又はシラノール(Si(OH)4)層14を形成する。通常は、Si原子の約5%〜20%が炭素と会合し、そこではメチル基(CH3)はシリコン原子価サイトを占有してCH3Si(OH)3を形成する。
図5に示すように、層14を絶縁層10上に堆積した後、好ましい実施態様に従って層14を処理してポリシロキサン網状構造を形成する。前記のように、この処理は、最も好ましくは保護膜層を形成することなくプラズマ処理後に高温アニールすることを含む。
図6を参照すると、次いで低いkをもつILD16をエッチングし、トレンチ17を所望の配線パターンで形成する。当該分野で公知のように、感光性材料(レジスト)を堆積し、公知のフォトリソグラフ技術に従ってパターン形成し、レジストを現像し、耐性マスクを通してエッチングすることによって、トレンチを明確にすることができる。
好ましい方法で形成された低k誘電材料は、化学的に二酸化珪素に酷似している。このため、フルオロカーボン・プラズマエッチング等の標準的酸化エッチング化学は、ILD16の低いkを有する誘電率材料にトレンチをエッチングするために使用できる。当業者は、そのようなエッチング化学にCFH3、CF22、CF3H等が含まれることを認識できる。通常は、このように形成されたトレンチ17は、図示されるように、先に形成されたコンタクト12を露出させる。エッチングは、ILD16の厚さを通して及び得るように時間調節することができ、あるいは光学的エンドストップ技術を採用することができる。いずれの場合にも、上記の選択的エッチング化学は、下層の絶縁層10の消費を最少にする。
図7に示されるように、次に、導電層18をILD16上及びトレンチ17中に堆積する。代表的には、配線材料18は金属を含み、例示される実施態様ではアルミニウム/銅合金である。導電層18は、使用される材料に応じてCVD、PECVD、スパッタリング、蒸着等により堆積される。
図8を参照すると、次いで金属層18はILD16の表面までエッチング又は研磨され、トレンチ内に金属ランナ又は金属線20を残す。上記エッチバック法では、適切な回路作動のために、互いに電気的に分離されたランナ20を残す。望ましくは、上記線20間のスペースを、低いkを有する上記材料16で充填し、これにより上記線20間の寄生容量を最少にする。エッチバックは、当分野で公知の様々な方法によって達成できるが、当業者にも理解されるように、化学的機械的平坦化(chemical mechanical planarization;CMP)を使用して、ILD16上で停止することが好ましい。
低いKを有する誘電材料を用いる二重波状模様方法
保護膜層(cap layer)なしに低いkを有するILDを形成する好ましい方法も、二重波状模様電極形成法(dual damascene metallization process)に有利である。図11及び図12は、その方法の2つの工程を示す。この実施態様のために、前記の実施態様のものと同様のエレメントを、参照番号のように、その後にプライム符号(’)を付けて示す。
図11を参照すると、パターン形成されたILD16’は、BPSGのような絶縁層10’上に示される。図11の構造は、図6のそれと類似していて、上記の好ましいデポジション及びポストデポジション方法に従って形成されたILD16’を有する。トレンチ17’も、回路デザインによって定められ、フォトリソグラフ技術及びエッチング技術によってILD付与された配線パターン中のILD16’を通してエッチングされている。しかしながら、図6の構造と異なり、コンタクトは、絶縁層10’を通して下層の回路エレメント(例えば、基板内の下部金属層又は電子的素子)まで未だ形成されていない。むしろ、そのようなコンタクトは、金属ランナのためのトレンチ17’が形成された後で作製される。
図12を参照すると、トレンチ17’が形成された後、ウェーハを再びマスクし、コンタクトバイアス22’をトレンチ17’の底部から下部までエッチングし、導電性エレメント(図示せず)に露出させる。当業者に理解されるように、その後に金属をコンタクトバイアス22’及び金属トレンチ17’中に同時に堆積させる。通常は、堆積はウェハ全体を覆い、次いで好ましくはCMPによりILD16’のトップまでエッチバックする。前記実施態様にあるように、次に、集積回路は、例えばさらなる配線層(必要ならば)及び最終パッシベーションを付与して完成できる。
前記のように高温アニールを用いる好ましい低誘電率材料は、二重波状模様コンテキストに特に有利である。通常は、二重波状模様電極形成は、高温工程を用いて高度な共形を有する金属を堆積し、高いアスペクト比のコンタクトバイアスをトレンチ内に満たす。例えば、TiSi2は、シリサイド化アニール(自己整列シリサイド(self-aligned silicide))及び/又はグレイン再オリエンテーション・アニール(grain reorientation anneal)のいずれかを必要とする。CVDによるTi堆積は、一般に高温で行われる。いずれの場合も、約600℃を超える温度で行われる。これらの高温工程は、低誘電率材料のための高温アニールとして機能し、これにより低kプロセスをつくるために1回だけアニール工程が要求される。これに対し、他の公知の低k材料では、より高い誘電率を生じる分解なしでは、そのような高温加工に耐えることはできない。
ギャップ充填電極形成
低誘電率をつくる好ましい方法は、ギャップ充填統合方法(gap fill integration process)において、金属層間で使用されるときに同様の利点を示す。前記の実施態様のように、先の実施態様のものと同様のエレメントを、参照番号のように、その後にプライム符号(”)を付けて示す。
図13を参照すると、コンタクト12”を絶縁層10”中に形成する一方、金属線20”は公知の電極形成法により絶縁層10”上にパターン形成されている。通常は、ブランケット金属層を堆積した後、公知のフォトリトグラフ技術によってパターン形成し、エッチングされる。
図14を参照すると、低k材料16”を前記の方法に従って金属線20”上に形成する。好ましくは、前駆体材料を堆積し、プラズマ処理することにより低k材料16”を形成する。低k材料16”は、必要に応じて、デポジションの直後に熱アニールできる。しかしながら、後記に示すように、低k材料16”を後者の方法でアニールに供して、上記の別のアニールを不要にする。材料16”は、先に定義された金属線20”間を流れ、その間のスペースを充たすことから、この方法は「ギャップ充填統合」方法と称される。
図15を参照すると、次に低k材料16”は平坦化され、コンタクト17”(1つ図示)を通してエッチングする。図6からわかるように、フルオロカーボン・プラズマエッチングのような標準的酸化エッチング化学は、低k材料16”を通してエッチングするのに使用できる。
図16に示されるように、続いて、第二の金属又は金属2の層30を、低k材料16”上及びコンタクト17”中に堆積する。現在の回路密度(current circuit density)に従うと、コンタクト17”は標準的な物理蒸着(PVD)技術を用いて充填するのは困難である。従って、第二金属層30を、好ましくは約550℃を超える温度でのアルミニウム堆デポジション等のホットメタル方法によって堆積する。上記の二重波状模様方法のように、金属デポジションは低k材料16”の誘電率をさらに低下させるアニールとして有効に機能する。
従って、ギャップ充填電極形成コンテキストは、本発明に従う低k材料が、分解することなく、実際に誘電率の有利な低下を達成して、高温工程を有する方法の流れに統合し得る、さらに他のコンテキストを示す。
低k誘電率材料のためのフロントエンド用途
上述のように、好ましい低k材料も、フロントエンドプロセスにおいて、電子的素子を分離するのに使用できる。例えば、基板内のトランジスタ活性領域は、シャロウトレンチアイソレーション(STI)法において、基板内にトレンチをエッチングし、トレンチを好ましい低k材料で充填することによって分離することができる。高レベルドーピング及び電極形成法は、集積回路製造のそのようなステージでさらに行なわれなければならないので、低k材料は高温アニールのための好ましい範囲の上限値の温度にさらすことができる。
図17を参照すると、フロントエンド導体が示される。例示される実施態様では、導体は珪素基板32、特に基板のチャネル領域34の上にあるトランジスタゲート電極30を含む。チャネル34は基板の活性領域36間に定められる。ダイナミックランダムアクセスメモリー(DRAM)アレー(array)では、トランジスタゲート30も、アレーのためのワード線(word line)として機能する。あるいは、導体は、DRAM内にディジット線(digit line)を含む。
図示されるように、導体を保護し、好ましい低k材料をそれぞれ構成する保護膜スペーサ38及び一対のサイドウォールスペーサ40によって、周囲の電気的層から導体を電気的に分離する。当該分野で公知のように、サイドウォールスペーサ40は、低k材料のブランケット層を形成した後、ブランケット層の水平表面をエッチングしながら垂直スペーサ40を残す異方性エッチングによって形成できる。次に、絶縁充填層42(例えば、BPSG)を基板30上にブランケット堆積し、導電性コンタクト44を形成して活性領域36の1つ(例えば、トランジスタドレイン領域)を上部の配線層まで電気的に接続する。
回路デザインは絶え間なく縮小されているので、コンタクト44及びゲート30のような導電性エレメントは互いにより接近し、電荷キャリヤを緊密にし、回路作動を遅くする寄生容量が絶縁スペーサ40を横断して現われる傾向がある。例示される実施態様では、コンタクト44は、サイドウォール40上に実際に侵入し、その結果スペーサ40の反対側は、導電性エレメント30及び44によって直接接触する。従って、低k材料は、絶縁電極スペーサを貫くそのような寄生容量を低下させるのに特に有利である。
同様に、いくつかの回路デザインは、記憶セルのコンデンサの下に形成された埋め込み型桁線(buried digit lines)を使用する。そのような場合、桁線も、記憶セルを詰め込む導電性エレメントから絶縁されなければならない。従って、好ましくは、低k材料を使用するサイドウォールスペーサも、そのような桁線を保護するのに特に有効である。
任意の上記の実施態様での低k材料は、保護膜層なしに形成できるので有利である。従って、保護膜は誘電率を低下させるためには必要とされないことから、低k材料は集積回路中の導電性エレメント間の絶縁材料のみ、少なくとも1ヶ所で形成することができる。例えば、図17に示されるように、ポリシリコン・コンタクト44は、基板32近くで低kサイドウォールスペーサ40と直接接触する一方、ゲート電極30はスペーサー40の反対側で直接接触する。同様に、図8に例示される実施態様において、ILDセグメント16の反対側は、金属ランナ20により直接接触する。
本発明は、特定の好ましい実施態様に関して記載され、それへの可能な改変を示唆したが、それ自身示唆でき、当業者に明らかであり得る他の実施態様及び改変も本発明の思想及び範囲内にある。従って、本発明の範囲は、添付の特許請求の範囲により明らかにされることが意図される。
本発明の側面は、好ましい実施態様の詳細な説明及び添付の図面から明らかになり、それらは本発明の例示を意図するもので、これにより本発明が制限されることはない。
低誘電率を有する材料を形成する従来法を例示するフローチャートである。 低誘電率を有する材料を形成する本発明の好ましい実施態様を例示するフローチャートである。 部分的に作製された回路(IC)の部分的断面図であり、慣用されている絶縁充填材料及び導電性コンタクトを示す。 炭素含有する水酸化珪素層をデポジションした後における図3のICを示す断面図である。 レベル間絶縁物(ILD)を形成している、ポストデポジション処理した後の図4のICの断面図である。 ILD中のトレンチを明確にするパターン形成及びエッチング工程の後の、図5のICの断面図である。 金属がトレンチ内及び残りのILD上にデポジションした後の、図6のICの断面図である。 金属がILDの先端表面に平坦化され、それによりトレンチ内の金属ランナを分離した後の、図7のICの断面図である。 従来技術方法及び好ましい実施態様に従う方法による、材料の誘電率を示すグラフである。 好ましい実施態様に従い形成された低k材料を含む様々な材料の誘電率を、アニール温度の関数として示すグラフである。 一部製造された回路(IC)の部分的断面図であり、二重波状模様実施態様に従い、エッチングされたトレンチを有する低k誘電層の下層にある慣用されている絶縁充填材料を示す。 コンタクトバイアスがトレンチの床を通して形成された後の、図11のICの断面図である。 一部製造された集積回路(IC)の部分的断面図であり、ギャップ充填統合実施態様に従い、パターン形成され絶縁材料上の金属線にエッチングされた第一金属層を示す。 好ましい実施態様に従う、低k材料をデポジションした後の、図13のICの断面図である。 低K材料を平坦化し、それを通して接触開口部を形成した後の、図14のICの断面図である。 低k材料上に及び接触開口部中に第二金属層をデポジションした後の、図15のICの断面図である。 本発明の実施態様に従い形成された、電極又は金属層上の絶縁スペーサの部分的断面図である。
符号の説明
5 基板
10 絶縁層
12 コンタクト
14 層
16 低k材料
17 トレンチ
18 導電層
20 ランナ
22 コンタクトバイアス
30 第二金属層
32 珪素基板
34 チャネル領域
36 有効領域
38 保護膜スペーサ
40 サイドウォールスペーサ
42 絶縁充填層
44 コンタクト

Claims (9)

  1. 集積回路内の導電性エレメント間に形成される絶縁材料であって、当該材料が炭素−珪素結合を有するポリシロキサン網状構造を有し、当該材料は保護膜層を有しておらず、かつ、誘電率が3.0未満である絶縁材料。
  2. 珪素含有量に対して5%〜20%の炭素を含有する請求項1記載の絶縁材料。
  3. 導電性エレメントが金属ランナを含む請求項1記載の絶縁材料。
  4. 集積回路であって、集積回路の第一の電気的経路(first electrical path)を提供する第一導電性エレメント;集積回路の第二の電気的経路(second electrical path)を提供する第二導電性エレメント;第一及び第二導電性エレメント間に直接接触しながら挟まれている単位絶縁層であって、当該絶縁層は、その中に炭素を含有するポリシロキサンを含み、かつ、3.5未満の誘電率を有する、ことを特徴とする集積回路。
  5. 絶縁層が3.3未満の誘電率を有する請求項4記載の集積回路。
  6. 第一及び第二導電性エレメントが金属ランナである請求項5記載の集積回路。
  7. 第一及び第二導電性エレメントが半導体基板内のトランジスタ活性領域である請求項5記載の集積回路。
  8. 絶縁層がサイドウォールスペーサ(sidewall spacer)を含む請求項7記載の集積回路。
  9. 第一導電性エレメントがトランジスタゲート電極であり、第二導電性エレメントがトランジスタ活性領域へのコンタクトである請求項8記載の集積回路。
JP2005172406A 1998-09-03 2005-06-13 集積回路製造のための低誘電率材料 Withdrawn JP2005303327A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/146,397 US6383951B1 (en) 1998-09-03 1998-09-03 Low dielectric constant material for integrated circuit fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP25086399A Division JP3716404B2 (ja) 1998-09-03 1999-09-03 集積回路製造のための低誘電率材料

Publications (1)

Publication Number Publication Date
JP2005303327A true JP2005303327A (ja) 2005-10-27

Family

ID=22517186

Family Applications (2)

Application Number Title Priority Date Filing Date
JP25086399A Expired - Lifetime JP3716404B2 (ja) 1998-09-03 1999-09-03 集積回路製造のための低誘電率材料
JP2005172406A Withdrawn JP2005303327A (ja) 1998-09-03 2005-06-13 集積回路製造のための低誘電率材料

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP25086399A Expired - Lifetime JP3716404B2 (ja) 1998-09-03 1999-09-03 集積回路製造のための低誘電率材料

Country Status (2)

Country Link
US (2) US6383951B1 (ja)
JP (2) JP3716404B2 (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074237A (ja) * 2011-09-29 2013-04-22 Tokyo Electron Ltd シリコン酸化膜の形成方法およびその形成装置
US9101397B2 (en) 1999-04-07 2015-08-11 Intuitive Surgical Operations, Inc. Real-time generation of three-dimensional ultrasound image using a two-dimensional ultrasound transducer in a robotic system
US9138129B2 (en) 2007-06-13 2015-09-22 Intuitive Surgical Operations, Inc. Method and system for moving a plurality of articulated instruments in tandem back towards an entry guide
US9333042B2 (en) 2007-06-13 2016-05-10 Intuitive Surgical Operations, Inc. Medical robotic system with coupled control modes
US9345387B2 (en) 2006-06-13 2016-05-24 Intuitive Surgical Operations, Inc. Preventing instrument/tissue collisions
US9469034B2 (en) 2007-06-13 2016-10-18 Intuitive Surgical Operations, Inc. Method and system for switching modes of a robotic system
US9492927B2 (en) 2009-08-15 2016-11-15 Intuitive Surgical Operations, Inc. Application of force feedback on an input device to urge its operator to command an articulated instrument to a preferred pose
US9516996B2 (en) 2008-06-27 2016-12-13 Intuitive Surgical Operations, Inc. Medical robotic system providing computer generated auxiliary views of a camera instrument for controlling the position and orienting of its tip
US9622826B2 (en) 2010-02-12 2017-04-18 Intuitive Surgical Operations, Inc. Medical robotic system providing sensory feedback indicating a difference between a commanded state and a preferred pose of an articulated instrument
US9717563B2 (en) 2008-06-27 2017-08-01 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxilary view including range of motion limitations for articulatable instruments extending out of a distal end of an entry guide
US9718190B2 (en) 2006-06-29 2017-08-01 Intuitive Surgical Operations, Inc. Tool position and identification indicator displayed in a boundary area of a computer display screen
US9789608B2 (en) 2006-06-29 2017-10-17 Intuitive Surgical Operations, Inc. Synthetic representation of a surgical robot
US9788909B2 (en) 2006-06-29 2017-10-17 Intuitive Surgical Operations, Inc Synthetic representation of a surgical instrument
US9795446B2 (en) 2005-06-06 2017-10-24 Intuitive Surgical Operations, Inc. Systems and methods for interactive user interfaces for robotic minimally invasive surgical systems
US9956044B2 (en) 2009-08-15 2018-05-01 Intuitive Surgical Operations, Inc. Controller assisted reconfiguration of an articulated instrument during movement into and out of an entry guide
US10008017B2 (en) 2006-06-29 2018-06-26 Intuitive Surgical Operations, Inc. Rendering tool information as graphic overlays on displayed images of tools
US10258425B2 (en) 2008-06-27 2019-04-16 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxiliary view of articulatable instruments extending out of a distal end of an entry guide
US10507066B2 (en) 2013-02-15 2019-12-17 Intuitive Surgical Operations, Inc. Providing information of tools by filtering image areas adjacent to or on displayed images of the tools
US10603127B2 (en) 2005-06-06 2020-03-31 Intuitive Surgical Operations, Inc. Laparoscopic ultrasound robotic surgical system
US11259870B2 (en) 2005-06-06 2022-03-01 Intuitive Surgical Operations, Inc. Interactive user interfaces for minimally invasive telesurgical systems

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6303972B1 (en) 1998-11-25 2001-10-16 Micron Technology, Inc. Device including a conductive layer protected against oxidation
US7067861B1 (en) * 1998-11-25 2006-06-27 Micron Technology, Inc. Device and method for protecting against oxidation of a conductive layer in said device
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
KR100363081B1 (ko) * 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6500770B1 (en) * 2002-04-22 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming a multi-layer protective coating over porous low-k material
US6613637B1 (en) * 2002-05-31 2003-09-02 Lsi Logic Corporation Composite spacer scheme with low overlapped parasitic capacitance
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6806149B2 (en) * 2002-09-26 2004-10-19 Texas Instruments Incorporated Sidewall processes using alkylsilane precursors for MOS transistor fabrication
US6770570B2 (en) * 2002-11-15 2004-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7138158B2 (en) * 2003-02-28 2006-11-21 Intel Corporation Forming a dielectric layer using a hydrocarbon-containing precursor
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7033897B2 (en) * 2003-10-23 2006-04-25 Texas Instruments Incorporated Encapsulated spacer with low dielectric constant material to reduce the parasitic capacitance between gate and drain in CMOS technology
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7071093B2 (en) 2004-03-26 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated treatment method for obtaining robust low dielectric constant materials
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7081393B2 (en) * 2004-05-20 2006-07-25 International Business Machines Corporation Reduced dielectric constant spacer materials integration for high speed logic gates
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20070202640A1 (en) * 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
KR100870271B1 (ko) * 2007-06-28 2008-11-25 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그의 형성 방법
KR101015534B1 (ko) * 2008-10-15 2011-02-16 주식회사 동부하이텍 저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100079200A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 소자의 제조 방법
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013089687A1 (en) * 2011-12-13 2013-06-20 Empire Technology Development Llc Elastomer adhesions
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102406977B1 (ko) * 2015-07-16 2022-06-10 삼성전자주식회사 소자 분리막을 포함하는 반도체 장치의 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP2018199863A (ja) * 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210143943A (ko) 2019-04-19 2021-11-29 램 리써치 코포레이션 원자층 증착 동안 급속 플러시 퍼징

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US5219613A (en) 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd., Kawasaki, Kanagawa Verfahren zur bildung eines siliciumoxid-filmes
CA2056456C (en) 1991-08-14 2001-05-08 Luc Ouellet High performance passivation for semiconductor devices
US5217926A (en) 1992-01-23 1993-06-08 Micron Technology, Inc. Method of passivating a semiconductor wafer
EP0572704B1 (en) * 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
DE69327176T2 (de) 1992-07-04 2000-05-31 Trikon Equipments Ltd., Littleton-Upon-Severn Behandlungsverfahren für eine halbleiterscheibe.
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JP3963961B2 (ja) * 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
FR2734402B1 (fr) * 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
JPH09219448A (ja) * 1996-02-09 1997-08-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
FR2747511B1 (fr) * 1996-04-10 1998-09-04 Sgs Thomson Microelectronics Interconnexions multicouches a faible capacite parasite laterale
GB2331626B (en) 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
JPH1126449A (ja) * 1997-06-30 1999-01-29 Sony Corp 絶縁膜の成膜方法
US5869379A (en) * 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6001741A (en) * 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9101397B2 (en) 1999-04-07 2015-08-11 Intuitive Surgical Operations, Inc. Real-time generation of three-dimensional ultrasound image using a two-dimensional ultrasound transducer in a robotic system
US9232984B2 (en) 1999-04-07 2016-01-12 Intuitive Surgical Operations, Inc. Real-time generation of three-dimensional ultrasound image using a two-dimensional ultrasound transducer in a robotic system
US10271909B2 (en) 1999-04-07 2019-04-30 Intuitive Surgical Operations, Inc. Display of computer generated image of an out-of-view portion of a medical device adjacent a real-time image of an in-view portion of the medical device
US10433919B2 (en) 1999-04-07 2019-10-08 Intuitive Surgical Operations, Inc. Non-force reflecting method for providing tool force information to a user of a telesurgical system
US11259870B2 (en) 2005-06-06 2022-03-01 Intuitive Surgical Operations, Inc. Interactive user interfaces for minimally invasive telesurgical systems
US11399909B2 (en) 2005-06-06 2022-08-02 Intuitive Surgical Operations, Inc. Laparoscopic ultrasound robotic surgical system
US10646293B2 (en) 2005-06-06 2020-05-12 Intuitive Surgical Operations, Inc. Laparoscopic ultrasound robotic surgical system
US10603127B2 (en) 2005-06-06 2020-03-31 Intuitive Surgical Operations, Inc. Laparoscopic ultrasound robotic surgical system
US12108998B2 (en) 2005-06-06 2024-10-08 Intuitive Surgical Operations, Inc. Laparoscopic ultrasound robotic surgical system
US11717365B2 (en) 2005-06-06 2023-08-08 Intuitive Surgical Operations, Inc. Laparoscopic ultrasound robotic surgical system
US9795446B2 (en) 2005-06-06 2017-10-24 Intuitive Surgical Operations, Inc. Systems and methods for interactive user interfaces for robotic minimally invasive surgical systems
US9345387B2 (en) 2006-06-13 2016-05-24 Intuitive Surgical Operations, Inc. Preventing instrument/tissue collisions
US9718190B2 (en) 2006-06-29 2017-08-01 Intuitive Surgical Operations, Inc. Tool position and identification indicator displayed in a boundary area of a computer display screen
US9789608B2 (en) 2006-06-29 2017-10-17 Intuitive Surgical Operations, Inc. Synthetic representation of a surgical robot
US9788909B2 (en) 2006-06-29 2017-10-17 Intuitive Surgical Operations, Inc Synthetic representation of a surgical instrument
US10773388B2 (en) 2006-06-29 2020-09-15 Intuitive Surgical Operations, Inc. Tool position and identification indicator displayed in a boundary area of a computer display screen
US9801690B2 (en) 2006-06-29 2017-10-31 Intuitive Surgical Operations, Inc. Synthetic representation of a surgical instrument
US11865729B2 (en) 2006-06-29 2024-01-09 Intuitive Surgical Operations, Inc. Tool position and identification indicator displayed in a boundary area of a computer display screen
US10737394B2 (en) 2006-06-29 2020-08-11 Intuitive Surgical Operations, Inc. Synthetic representation of a surgical robot
US10008017B2 (en) 2006-06-29 2018-06-26 Intuitive Surgical Operations, Inc. Rendering tool information as graphic overlays on displayed images of tools
US10137575B2 (en) 2006-06-29 2018-11-27 Intuitive Surgical Operations, Inc. Synthetic representation of a surgical robot
US10730187B2 (en) 2006-06-29 2020-08-04 Intuitive Surgical Operations, Inc. Tool position and identification indicator displayed in a boundary area of a computer display screen
US11638999B2 (en) 2006-06-29 2023-05-02 Intuitive Surgical Operations, Inc. Synthetic representation of a surgical robot
US12097002B2 (en) 2007-06-13 2024-09-24 Intuitive Surgical Operations, Inc. Medical robotic system with coupled control modes
US11432888B2 (en) 2007-06-13 2022-09-06 Intuitive Surgical Operations, Inc. Method and system for moving a plurality of articulated instruments in tandem back towards an entry guide
US10271912B2 (en) 2007-06-13 2019-04-30 Intuitive Surgical Operations, Inc. Method and system for moving a plurality of articulated instruments in tandem back towards an entry guide
US11399908B2 (en) 2007-06-13 2022-08-02 Intuitive Surgical Operations, Inc. Medical robotic system with coupled control modes
US10188472B2 (en) 2007-06-13 2019-01-29 Intuitive Surgical Operations, Inc. Medical robotic system with coupled control modes
US9901408B2 (en) 2007-06-13 2018-02-27 Intuitive Surgical Operations, Inc. Preventing instrument/tissue collisions
US11751955B2 (en) 2007-06-13 2023-09-12 Intuitive Surgical Operations, Inc. Method and system for retracting an instrument into an entry guide
US9629520B2 (en) 2007-06-13 2017-04-25 Intuitive Surgical Operations, Inc. Method and system for moving an articulated instrument back towards an entry guide while automatically reconfiguring the articulated instrument for retraction into the entry guide
US9469034B2 (en) 2007-06-13 2016-10-18 Intuitive Surgical Operations, Inc. Method and system for switching modes of a robotic system
US9333042B2 (en) 2007-06-13 2016-05-10 Intuitive Surgical Operations, Inc. Medical robotic system with coupled control modes
US10695136B2 (en) 2007-06-13 2020-06-30 Intuitive Surgical Operations, Inc. Preventing instrument/tissue collisions
US9138129B2 (en) 2007-06-13 2015-09-22 Intuitive Surgical Operations, Inc. Method and system for moving a plurality of articulated instruments in tandem back towards an entry guide
US10368952B2 (en) 2008-06-27 2019-08-06 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxiliary view including range of motion limitations for articulatable instruments extending out of a distal end of an entry guide
US9516996B2 (en) 2008-06-27 2016-12-13 Intuitive Surgical Operations, Inc. Medical robotic system providing computer generated auxiliary views of a camera instrument for controlling the position and orienting of its tip
US9717563B2 (en) 2008-06-27 2017-08-01 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxilary view including range of motion limitations for articulatable instruments extending out of a distal end of an entry guide
US10258425B2 (en) 2008-06-27 2019-04-16 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxiliary view of articulatable instruments extending out of a distal end of an entry guide
US11382702B2 (en) 2008-06-27 2022-07-12 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxiliary view including range of motion limitations for articulatable instruments extending out of a distal end of an entry guide
US11638622B2 (en) 2008-06-27 2023-05-02 Intuitive Surgical Operations, Inc. Medical robotic system providing an auxiliary view of articulatable instruments extending out of a distal end of an entry guide
US10282881B2 (en) 2009-03-31 2019-05-07 Intuitive Surgical Operations, Inc. Rendering tool information as graphic overlays on displayed images of tools
US11941734B2 (en) 2009-03-31 2024-03-26 Intuitive Surgical Operations, Inc. Rendering tool information as graphic overlays on displayed images of tools
US10984567B2 (en) 2009-03-31 2021-04-20 Intuitive Surgical Operations, Inc. Rendering tool information as graphic overlays on displayed images of tools
US10271915B2 (en) 2009-08-15 2019-04-30 Intuitive Surgical Operations, Inc. Application of force feedback on an input device to urge its operator to command an articulated instrument to a preferred pose
US11596490B2 (en) 2009-08-15 2023-03-07 Intuitive Surgical Operations, Inc. Application of force feedback on an input device to urge its operator to command an articulated instrument to a preferred pose
US9956044B2 (en) 2009-08-15 2018-05-01 Intuitive Surgical Operations, Inc. Controller assisted reconfiguration of an articulated instrument during movement into and out of an entry guide
US10959798B2 (en) 2009-08-15 2021-03-30 Intuitive Surgical Operations, Inc. Application of force feedback on an input device to urge its operator to command an articulated instrument to a preferred pose
US10772689B2 (en) 2009-08-15 2020-09-15 Intuitive Surgical Operations, Inc. Controller assisted reconfiguration of an articulated instrument during movement into and out of an entry guide
US9492927B2 (en) 2009-08-15 2016-11-15 Intuitive Surgical Operations, Inc. Application of force feedback on an input device to urge its operator to command an articulated instrument to a preferred pose
US10537994B2 (en) 2010-02-12 2020-01-21 Intuitive Surgical Operations, Inc. Medical robotic system providing sensory feedback indicating a difference between a commanded state and a preferred pose of an articulated instrument
US9622826B2 (en) 2010-02-12 2017-04-18 Intuitive Surgical Operations, Inc. Medical robotic system providing sensory feedback indicating a difference between a commanded state and a preferred pose of an articulated instrument
US10828774B2 (en) 2010-02-12 2020-11-10 Intuitive Surgical Operations, Inc. Medical robotic system providing sensory feedback indicating a difference between a commanded state and a preferred pose of an articulated instrument
JP2013074237A (ja) * 2011-09-29 2013-04-22 Tokyo Electron Ltd シリコン酸化膜の形成方法およびその形成装置
US11389255B2 (en) 2013-02-15 2022-07-19 Intuitive Surgical Operations, Inc. Providing information of tools by filtering image areas adjacent to or on displayed images of the tools
US10507066B2 (en) 2013-02-15 2019-12-17 Intuitive Surgical Operations, Inc. Providing information of tools by filtering image areas adjacent to or on displayed images of the tools
US11806102B2 (en) 2013-02-15 2023-11-07 Intuitive Surgical Operations, Inc. Providing information of tools by filtering image areas adjacent to or on displayed images of the tools

Also Published As

Publication number Publication date
JP3716404B2 (ja) 2005-11-16
US6835995B2 (en) 2004-12-28
US20020105084A1 (en) 2002-08-08
JP2000106394A (ja) 2000-04-11
US6383951B1 (en) 2002-05-07

Similar Documents

Publication Publication Date Title
JP3716404B2 (ja) 集積回路製造のための低誘電率材料
KR100655845B1 (ko) 트렌치절연방법
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6803318B1 (en) Method of forming self aligned contacts
US6331494B1 (en) Deposition of low dielectric constant thin film without use of an oxidizer
US6265302B1 (en) Partially recessed shallow trench isolation method for fabricating borderless contacts
EP1349207B1 (en) Semiconductor device with copper wirings
JP3456391B2 (ja) 半導体装置の製造方法
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
CN101271892B (zh) 半导体装置及其制造方法
JP2000164716A (ja) 半導体装置及びその製造方法
US20070238254A1 (en) Method of etching low dielectric constant films
US6153507A (en) Method of fabricating semiconductor device providing effective resistance against metal layer oxidation and diffusion
US6358830B1 (en) Method for manufacturing semiconductor device having interlayer dielectric film layers with like etch speeds
US6846737B1 (en) Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6593632B1 (en) Interconnect methodology employing a low dielectric constant etch stop layer
US7371629B2 (en) N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
KR100314715B1 (ko) 고저항막상에열질화막을가지는반도체장치및그제조방법
US6784095B1 (en) Phosphine treatment of low dielectric constant materials in semiconductor device manufacturing
JP2739846B2 (ja) 半導体装置の製造方法
US7148157B2 (en) Use of phoslon (PNO) for borderless contact fabrication, etch stop/barrier layer for dual damascene fabrication and method of forming phoslon
KR100228348B1 (ko) 반도체 장치 및 그 제조방법
JP3456392B2 (ja) 半導体装置の製造方法
US7407882B1 (en) Semiconductor component having a contact structure and method of manufacture
KR100573484B1 (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080321