KR100730633B1 - 다공성 실리카 박막을 제조하기 위한 플라즈마 가공 - Google Patents

다공성 실리카 박막을 제조하기 위한 플라즈마 가공 Download PDF

Info

Publication number
KR100730633B1
KR100730633B1 KR1020027012203A KR20027012203A KR100730633B1 KR 100730633 B1 KR100730633 B1 KR 100730633B1 KR 1020027012203 A KR1020027012203 A KR 1020027012203A KR 20027012203 A KR20027012203 A KR 20027012203A KR 100730633 B1 KR100730633 B1 KR 100730633B1
Authority
KR
South Korea
Prior art keywords
plasma
film
abandoned
dielectric constant
elastic modulus
Prior art date
Application number
KR1020027012203A
Other languages
English (en)
Other versions
KR20030034054A (ko
Inventor
베리이반루이스3세
정규하
한칭위안
류유판
모이어에릭스코트
스폴딩마이클존
왈드프라이드카를로
브릿지워터토드
천웨이
Original Assignee
다우 코닝 코포레이션
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/528,835 external-priority patent/US6576300B1/en
Application filed by 다우 코닝 코포레이션, 액셀리스 테크놀로지스, 인크. filed Critical 다우 코닝 코포레이션
Publication of KR20030034054A publication Critical patent/KR20030034054A/ko
Application granted granted Critical
Publication of KR100730633B1 publication Critical patent/KR100730633B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Paints Or Removers (AREA)

Abstract

본 발명은 유전상수가 낮고 탄성률이 개선된 막에 관한 것이다. 당해 피막의 제조방법은 둘 이상의 Si-H 그룹을 함유하는 수지로부터 제조된 다공성 망상 피막을 제공함을 포함한다. 당해 피막은 열경화시킬 수 있으며, 유전상수가 약 1.1 내지 약 3.5 범위이다. 열경화된 피막의 플라즈마 처리는 피막을 다공성 실리카로 전환시킨다. 열경화된 다공성 망상 피막의 플라즈마 처리는 탄성률은 개선되지만 유전상수는 더 높은 피막을 제공한다. 피막을 약 350℃ 미만의 온도에서 약 15 내지 약 120초 동안 플라즈마처리한다. 또한, 다공성 망상 피막을 미리 열경화시키지 않고 플라즈마 경화시킬 수 있다. 피막은 약 5분 미만의 시간 동안 약 200 내지 약 250℃의 온도에서 플라즈마 경화시킬 수 있다. 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막은 임의로 어닐링시킬 수 있다. 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막을 급속 열 가공(RTP)에 의해 어닐링시키면, 개선된 탄성률을 유지하면서 피막의 유전상수가 감소된다. 어닐링 온도는 바람직하게는 약 475℃ 미만이고, 어닐링 시간은 바람직하게는 약 180초 이하이다. 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막을 어닐링시키면 유전상수가 약 1.1 내지 약 3.5의 범위이고 탄성률이 개선된다.
다공성 실리카 박막, 다공성 망상 피막, 플라즈마 처리, 어닐링, 유전상수, 탄성률

Description

다공성 실리카 박막을 제조하기 위한 플라즈마 가공{Plasma processing for porous silica thin film}
본 발명은 일반적으로 전자장치에 사용하기 위한 피막에 관한 것이다. 더욱 특히, 본 발명은 탄성률이 개선되고 유전상수가 낮은 피막 및 당해 피막의 제조방법에 관한 것이다.
전자장치에 피복되어 있는 유전성 박막은 당해 분야에 공지되어 있다. 예를 들면, 할루스카(Haluska) 등의 미국 특허 제4,749,631호 및 제4,756,977호에는, 규소 알콕사이드 또는 수소 실세스퀴옥산의 용액을 각각 기판에 도포시킨 후, 도포된 기판을 200 내지 1000℃의 온도로 가열함으로써 제조된, 실리카를 기본으로 하는 피막이 기재되어 있다. 당해 피막의 유전상수는 종종 특정한 전자장치 및 회로에 사용하기에는 너무 높다.
할루스카 등의 미국 특허 제4,847,162호 및 제4,842,888호에는, 암모니아의 존재하에 수소 실세스퀴옥산 수지 및 실리케이트 에스테르를 각각 200 내지 1000℃의 온도로 가열하여 질화 실리카 피막을 형성시키는 것이 기재되어 있다. 이들 문헌에는, 무수 암모니아를 사용하여, 수득된 피막에 약 1 내지 2중량%의 질소를 혼입시키는 것이 교시되어 있다.
글라세르(Glasser) 등의 문헌[참조: Journal of Non-Crystalline Solids, 64(1984) pp. 209-221]에는, 암모니아의 존재하에 테트라에톡시실란을 가열하여 세라믹 피막을 형성시키는 것이 교시되어 있다. 당해 문헌에는 무수 암모니아를 사용하여 수득된 실리카 피막을 질화시키는 것이 교시되어 있다.
자다(Jada)의 미국 특허 제4,636,440호에는, 수성 4급 수산화암모늄 및/또는 알칸올 아민 화합물에 기판을 노출시킴을 포함하는, 졸-겔 도포된 기판의 건조 시간을 단축시키는 방법이 기재되어 있다. 자다는 피막을 가열 전에 건조시켜야 한다고 기재하였다. 이는 특히 가수분해되거나 부분 가수분해된 규소 알콕사이드로 제한되며, Si-H 결합을 갖는 피막에 대한 당해 방법의 사용은 교시되어 있지 않다.
칸드라(Chandra)의 미국 특허 제5,262,201호 및 배니(Baney) 등의 미국 특허 제5,116,637호에는, 각종 프리세라믹 물질(모두 수소 실세스퀴옥산을 포함함)을 세라믹 피막으로 전환시키는 데 필요한 온도를 낮추기 위해 염기성 촉매를 사용하는 것이 기재되어 있다. 이들 문헌에는, 피막을 염기성 촉매에 노출시키기 전에 용매를 제거하는 것이 교시되어 있다.
카밀렛티(Camilletti) 등의 미국 특허 제5,547,703호에는, 순서대로 습윤 암모니아, 무수 암모니아 및 산소 하에 수소 실세스퀴옥산을 가열함을 포함하여, 기판 위에 유전상수가 낮은 Si-O 함유 피막을 형성시키는 방법이 교시되어 있다. 수득된 피막은 1MHz에서 2.42로 낮은 유전상수를 갖는다. 당해 문헌에는 피막을 세라믹으로 전환시키기 전에 용매를 제거하는 것이 교시되어 있다.
밸런스(Balance) 등의 미국 특허 제5,523,163호에는, 수소 실세스퀴옥산 수지를 가열하여 이를 Si-O 함유 세라믹 피막으로 전환시킨 후, 수소 기체를 함유하는 어닐링(annealing) 대기에 피막을 노출시킴을 포함하여, 기판 위에 Si-O 함유 피막을 형성시키는 방법이 교시되어 있다. 수득된 피막은 2.773으로 낮은 유전상수를 갖는다. 당해 문헌에는 피막을 세라믹으로 전환시키기 전에 용매를 제거시키는 것이 교시되어 있다.
시크티치(Syktich) 등의 미국 특허 제5,618,878호에는, 두꺼운 세라믹 피막을 형성시키는 데 유용한 포화 알킬 탄화수소에 용해된 수소 실세스퀴옥산 수지를 함유하는 피막 조성물이 기재되어 있다. 당해 문헌에는 용매를 제거하기 전에 염기성 촉매에 도포된 기판을 노출시키는 것이 교시되어 있지 않다.
1998년 11월 20일자로 출원된 정(Chung) 등의 미국 특허원 제09/197,249호[발명의 명칭: 피막 형성방법(A method for forming coatings)]에는, 유전상수가 낮은 다공성 망상 피막의 제조방법이 기재되어 있다. 당해 방법은 침착 후 5용적% 이상의 용매가 피막 중에 잔존되도록 하는 방식으로 둘 이상의 Si-H 그룹을 함유하는 수지와 용매를 포함하는 용액으로 기판 위에 피막을 침착시킴을 포함한다. 이어서, 염기성 촉매 및 물을 포함하는 환경에 피막을 노출시킨다. 마지막으로, 피막으로부터 용매를 증발시켜 다공성 망상을 형성시킨다. 경우에 따라, 가열하여 세라믹을 형성시킴으로써 피막을 경화시킬 수 있다. 당해 방법으로 제조한 막은 유전상수가 1.5 내지 2.4이고 탄성률이 약 2 내지 3GPa이다.
당해 발명에 이르러, 다공성 망상 피막을 열경화시킨 후 플라즈마 처리하거나 미리 열경화시키지 않고 플라즈마 경화시킬 수 있음이 밝혀졌다.
그러나, 탄성률이 개선된 다공성 망상 피막의 제조방법이 여전히 요구되고 있다.
본 발명에 의해 유전상수가 낮고 탄성률이 개선된 피막을 제조할 수 있다. 당해 피막의 제조방법은 둘 이상의 Si-H 그룹으로부터 제조된 다공성 망상 피막을 제공함을 포함한다.
다공성 망상 피막을 열경화시킨 후 플라즈마 처리할 수 있다. 이 경우, 다공성 망상 피막을 6시간 이하 동안 약 50 내지 약 1000℃의 온도 범위로 가열한다. 전형적으로, 다공성 망상 피막을 약 450℃에서 약 1시간 동안 가열하여 열경화시킬 수 있다.
이어서, 피막을 플라즈마 처리하여 피막 중에 잔존하는 Si-H 결합의 양을 감소시킨다. 열경화된 다공성 망상 피막을 플라즈마 처리함으로써, 약 4GPa을 초과하는 높은 탄성률을 얻을 수 있다. 탄성률은 열경화 피막에 비해, 전형적으로 약 50% 이상, 더욱 전형적으로 약 100% 이상 증가한다.
또한, 다공성 망상 피막을 약 5분 미만 동안 약 200 내지 약 225℃의 온도 범위로 가열하여 플라즈마 경화시킬 수 있다. 전형적으로, 다공성 망상 피막을 약 2분 동안 경화시킨다.
열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막은 임의로 어닐링시킬 수 있다. 열경화된 플라즈마 처리 피막 또는 플라즈마 경화된 피막의 가열 어닐링은 피막의 유전상수를 감소시키는 동시에, 피막을 플라즈마 처리 또는 플라즈마 경화시키는 경우에 수득된 증가된 탄성률을 유지한다. 어닐링 온도는 전형적으로 약 475℃ 미만이고, 어닐링 시간은 전형적으로 약 180초 이하이다.
어닐링된, 열경화된 플라즈마 처리 피막 또는 어닐링된 플라즈마 경화 피막은 유전상수가 약 1.1 내지 약 3.5의 범위이고, 탄성률이 일반적으로 약 4GPa 초과, 전형적으로 약 4 내지 약 10GPa의 범위이다.
따라서, 본 발명의 목적은 탄성률이 개선되고 유전상수가 낮은 피막을 제공하는 것이다.
유전상수가 약 1.5 내지 약 2.4인 매우 낮은 유전상수를 갖는 피막의 제조방법이 미국 특허원 제09/197,249호에 기재되어 있으며, 당해 문헌의 매우 낮은 유전상수를 갖는 피막을 제조하는 방법에 대한 교시는 본원에 참고로 인용되어 있다. 당해 미국 특허원에는, 수소 실세스퀴옥산(HSQ)을 기본으로 하는 막에 공극을 도입시키는 방법이 기재되어 있다. 가열 조건하에 경화시킨, 미국 특허원 제09/197,249호에 교시되어 있는 방법에 따라 제조된 HSQ를 기본으로 하는 막은 약 20 내지 약 60%의 Si-H 결합 밀도를 갖는다. 피막의 유전상수가 약 2.0인 경우, 피막의 탄성률은 약 2 내지 약 3GPa이다. 본 발명은 HSQ를 기본으로 하는 막을 플라즈마 처리 또는 플라즈마 경화시키면 막의 탄성률이 증가된다는 발견을 근거로 한다. 열경화된 HSQ를 기본으로 하는 막을 플라즈마 처리하거나, 열경화되지 않은 HSQ 막을 플라즈마 경화시키는 경우, 막의 저밀도 구조를 소실시키지 않고 Si-H 결합의 잔존량을 감소시킬 수 있다.
플라즈마 처리 막은 열경화된 피막에 비해 탄성률이 개선된다. 그러나, 플라즈마 처리 또는 플라즈마 경화는 막 내에 상당량의 극성 물질을 생성시켜 유전상 수를 증가시킬 수 있다. 특정 용도에서 이는 바람직하지 않다. 또한, 본 발명은 열경화되고 플라즈마 처리되거나, 플라즈마 경화된 피막에 가열 어닐링을 수행하면, 유전상수가 낮고 모듈러스가 개선된 물질이 생성된다는 발견을 근거로 한다.
본 발명의 방법은 층간 유전층으로서 기능할 수 있는 전자장치 또는 전자회로 상의 침착된 피막, 트랜지스터 유사 장치를 제조하기 위한 도핑된 유전층, 축전기 및 축전기 유사 장치, 다층 장치, 3-D 장치, 규소 적재 단열장치(silicon on insulator device), 수퍼 격자장치(super lattic device) 등을 제조하기 위한 규소를 함유하는, 안료 적재된 결합제 시스템에 특히 적용할 수 있다. 그러나, 본 발명에 의해 도포되는 기판 및 장치의 선택은 본 발명에서 사용되는 온도 및 압력에서 기판의 열안정성 및 화학적 안정성이 요구되는 경우에만 제한된다. 이로써, 본 발명의 피막은, 예를 들면, 폴리아미드, 에폭시, 폴리테트라플루오로에틸렌 및 이의 공중합체, 폴리카보네이트, 아크릴 및 폴리에스테르를 포함하는 플라스틱, 세라믹, 피혁, 직물, 금속 등과 같은 기판 위에 사용할 수 있다.
본원에서 사용된 표현 "세라믹"은 무정형 실리카와 같은 세라믹, 및 탄소 및/또는 수소를 전혀 포함하지 않는 것은 아니고 세라믹 특성을 갖는 무정형 실리카 유사 물질과 같은 세라믹 유사 물질을 포함한다. 용어 "전자장치" 또는 "전자회로"는 실리카를 기본으로 하는 장치, 비소화갈륨을 기본으로 하는 장치, 탄화규소를 기본으로 하는 장치, 초점면 배열, 광전기장치(opto-electronic device), 광전지(photovoltaic cell) 및 광학장치를 포함하지만, 이로써 제한되는 것은 아니다.
다공성 망상 피막은 본 발명을 위한 출발 물질로서 필요하다. 당해 다공성 망상 피막을 제조하는 방법은 아래에 기재된 미국 특허원 제09/197,249호에 기재되어 있다.
다공성 망상 피막의 제조방법은 둘 이상의 Si-H 그룹을 함유하는 수지 및 용매를 포함하는 용액을 사용하여 기판 위에 피막을 침착시키는 것으로 시작한다. 둘 이상의 Si-H 그룹을 함유하는 수지는, Si-H 결합이 염기성 촉매 및 물에 의해 가수분해되고 적어도 부분적으로 축합되어, 다공성 망상을 위한 구조물로서 기능하는 가교결합된 망상을 형성할 수 있기만 하면 특별히 제한되지 않는다. 일반적으로 당해 물질은 화학식 {R3SiO1/2}a{R2SiO2/2}b {RSiO3/2}c{SiO4/2}d의 화합물[여기서, R은 각각 독립적으로 수소, 알킬, 알케닐 또는 아릴 그룹, 및 헤테로 원자(예를 들면, 할로겐, 질소, 황, 산소 또는 규소)에 의해 치환된 알킬, 알케닐 또는 아릴 그룹으로 이루어진 그룹으로부터 선택되고, a, b, c 및 d는 특정 단위의 몰 비로서 이들의 합계는 1이고, 단 1개의 분자당 둘 이상의 R 그룹은 수소이다]이고, 목적하는 망상을 형성시키기에 충분한 수지상 구조를 갖는다. 알킬 그룹의 예는 메틸, 에틸, 프로필, 부틸 등이고, 탄소수 1 내지 6의 알킬이 전형적이다. 알케닐 그룹의 예는 비닐, 알릴 및 헥세닐을 포함한다. 아릴의 예는 페닐을 포함한다. 치환된 그룹의 예는 CF3(CF2)nCH2CH2(여기서, n은 0 내지 6이다)를 포함한다.
화학식 HSi(OH)x(OR')yOz/2의 단위를 포함하는 수소 실세스퀴옥산 수지로서 공지된 각종 하이드라이도실록산 수지가 본 발명에서 유용하다. 여기서, R'은 각각 독립적으로 알킬, 알케닐 또는 아릴 그룹, 및 헤테로 원자(예를 들면, 할로겐, 질소, 황, 산소 또는 규소)에 의해 치환된 알킬, 알케닐 또는 아릴 그룹으로 이루어진 그룹으로부터 선택된다. 알킬 그룹의 예는 메틸, 에틸, 프로필, 부틸 등이고, 탄소수 1 내지 6의 알킬이 전형적이다. 알케닐 그룹의 예는 비닐, 알릴 및 헥세닐을 포함한다. 아릴의 예는 페닐을 포함한다. 치환된 그룹의 예는 CF3(CF2)nCH2CH2(여기서, n은 0 내지 6이다)를 포함한다. R' 그룹이 산소원자를 통해 규소에 결합되는 경우, 이들은 가수분해성 치환체를 형성한다. 위의 화학식에서, x는 0 내지 2이고, y는 0 내지 2이고, z는 1 내지 3이고, x+y+z는 3이다. 이들 수지는 필수적으로 완전히 축합될 수 있거나(HSiO3/2)n(여기서, n은 8 이상이다), 단지 부분적으로 가수분해(즉 일부 Si-OR'을 함유)되고/되거나 부분적으로 축합(즉 일부 Si-OH를 함유)될 수 있다.
둘 이상의 Si-H 그룹을 함유하는 수지의 구조는 제한되지 않는다. 당해 구조는 사다리형, 우리형(cage-type) 또는 이들의 혼합형으로서 일반적으로 공지되어 있는 것들일 수 있다. HSQ 수지는 말단 그룹, 예를 들면, 하이드록실 그룹, 트리오가노실록시 그룹, 디오가노하이드로겐실록시 그룹, 트리알콕시 그룹, 디알콕시 그룹 등을 함유할 수 있다. 또한 HSQ 수지는, 결합된 수소원자가 0개 또는 2개인 소량(예를 들면, 10% 미만)의 규소원자 및/또는 소량의 Si-C 그룹, 예를 들면, CH3SiO3/2 또는 HCH3SiO2/2 그룹을 함유할 수 있다.
둘 이상의 Si-H 그룹을 함유하는 수지 및 이의 제조방법은 당해 분야에 공지되어 있다. 예를 들면, 콜린스(Collins)의 미국 특허 제3,615,272호에는, 벤젠설폰산 수화물 가수분해 매질 중에서 트리클로로실란을 가수분해시킨 후, 수득된 수지를 물 또는 황산 수용액으로 세척함을 포함하는 방법으로, 필수적으로 완전히 축합된 수소 실세스퀴옥산 수지(이는 100 내지 300ppm 이하의 실란올을 함유할 수 있다)를 제조하는 것이 교시되어 있다. 이와 유사하게, 뱅크(Bank)의 미국 특허 제5,010,159호에는, 아릴설폰산 수화물 가수분해 매질 중에서 하이드라이도실란을 가수분해시켜 수지를 형성시킨 후, 수지를 중화제와 접촉시킴을 포함하는 방법이 교시되어 있다.
다른 하이드라이도실록산 수지, 예를 들면, 프라이(Fry)의 미국 특허 제4,999,397호 및 버그스트롬(Bergstrom)의 미국 특허 제5,210,160호에 기재된 바와 같은 것들, 산성 알콜성 가수분해 매질 중에서 알콕시 또는 아실옥시 실란을 가수분해시켜 제조한 것들, 일본 공개특허공보 제59-178749호, 제60-86017호 및 제63-107122호에 기재된 것들 또는 등가의 다른 하이드라이도실록산도 본원에서 사용할 수 있다.
Si-H 함유 수지의 특정 분자량 분획도 사용할 수 있다. 당해 분획 및 이의 제조방법은 한네만(Hanneman)의 미국 특허 제5,063,267호 및 마인(Mine)의 미국 특허 제5,416,190호에 교시되어 있다. 전형적인 분획은 75% 이상의 중합성 화학 물질의 수평균 분자량이 약 1,200을 초과하는 물질을 포함하고, 더욱 전형적인 분획은 75% 이상의 중합성 화학 물질의 수평균 분자량이 약 1,200 내지 약 100,000인 물질을 포함한다.
Si-H 함유 수지는, 피막의 무흠성을 방해하지 않는 한 다른 성분들을 함유할 수 있다. 그러나, 특정 물질은 피막의 유전상수를 증가시킬 수 있음을 주지해야 한다. 공지된 첨가제는, 촉매, 예를 들면, 할루스카의 미국 특허 제4,822,697호에 기재된 바와 같은, 수지의 경화 속도 및/또는 경화 정도를 증가시키는 백금, 로듐 또는 구리 촉매를 포함한다.
세라믹 산화물 전구체도 Si-H 함유 수지와 혼합하여 사용할 수 있다. 본원에서 유용한 세라믹 산화물 전구체는 알루미늄, 티탄, 지르코늄, 탄탈륨, 니오븀 및/또는 바나듐과 같은 각종 금속 화합물 뿐만 아니라, 각종 비금속 화합물, 예를 들면, 붕소 또는 인 화합물을 포함하는데, 이들은 용액에 용해되어 가수분해된 후, 비교적 낮은 온도에서 열분해되어 세라믹 산화물을 형성한다. 본원에서 유용한 세라믹 산화물 전구체는 미국 특허 제4,808,653호, 제5,008,320호 및 제5,290,394호에 기재되어 있다.
Si-H 함유 수지는 용매 분산액으로서 기판에 도포되어 기판 위에 피막을 형성시킨다("SiH 수지 피막"). 사용할 수 있는 용매는, 수득된 피막 또는 기판에 영향을 미치지 않으면서, 수지를 용해시키거나 분산시켜 균질한 액체 혼합물을 형성시키는 임의의 제제 또는 제제 혼합물을 포함한다. 이들 용매는 알콜, 예를 들면, 에틸 알콜 또는 이소프로필 알콜; 방향족 탄화수소, 예를 들면, 벤젠 또는 톨루엔; 측쇄 또는 직쇄 알칸, 예를 들면, n-헵탄, 도데칸 또는 노난; 측쇄 또는 직쇄 알켄, 예를 들면, n-헵텐, 도데센 또는 테트라데센; 케톤, 예를 들면, 메틸 이소부틸 케톤; 에스테르; 에테르, 예를 들면, 글리콜 에테르; 또는 직쇄 또는 환형 실록산, 예를 들면, 헥사메틸디실록산, 옥타메틸디실록산 및 이들의 혼함물, 또는 환형 디 메틸폴리실록산; 또는 임의의 상기 용매의 혼합물을 포함할 수 있다. 용매는 일반적으로 도포하기에 바람직한 농도로 수지를 용해/분산시키기에 충분한 양으로 존재한다. 용매는, 수지와 용매의 중량을 기준으로 하여, 전형적으로 약 20 내지 약 99.9중량%, 더욱 전형적으로 약 70 내지 약 95중량%의 양으로 존재한다.
경우에 따라, 수지 분산액은 다른 물질을 함유할 수 있다. 예를 들면, 수지 분산액은 충전제, 착색제, 접착 촉진제 등을 포함할 수 있다.
기판에 수지 분산액을 도포하는 특정 방법은 스핀 피복, 침지 피복, 분무 피복, 유동 피복(flow coating), 스크린 인쇄 등을 포함하지만, 이로써 제한되는 것은 아니다. 전형적인 방법은 스핀 피복이다.
수지를 염기성 촉매 및 물과 접촉시킬 때까지 약 5용적% 이상의 용매가 피막 중에 잔존해야 한다. 이러한 용매는 Si-H 결합이 가수분해 및 축합됨에 따라, 다공성 망상 피막의 공극을 형성한다. 특정 양태에서는 약 10용적% 이상의 용매가 잔존하는 것이 전형적이고, 다른 양태에서는 약 15용적% 이상의 용매가 잔존하는 것이 전형적이며, 또 다른 양태에서는 약 25용적% 이상의 용매가 잔존하는 것이 전형적이다.
용매를 유지시키는 방법은 특별히 제한되지 않는다. 전형적인 양태에서, 고비점 용매를 단독으로 사용하거나 상기한 용매 중 하나와의 공용매로서 사용할 수 있다. 이러한 방식으로, 정상 조건하에 위에 기재한 바와 같이 수지 분산액을 가공하면 약 5% 이상의 용매가 잔존하게 된다. 당해 양태에서 전형적인 고비점 용매는 탄화수소, 방향족 탄화수소, 에스테르, 에테르 등을 포함하는 비점이 약 175℃ 를 초과하는 것들이다. 당해 양태에서 사용할 수 있는 특정 용매의 예는 포화 탄화수소, 예를 들면, 도데칸, 테트라데칸, 헥사데칸 등, 불포화 탄화수소, 예를 들면, 도데센, 테트라데센 등, 크실렌, 메시틸렌, 1-헵탄올, 디펜텐, d-리모넨, 테트라하이드로푸르푸릴 알콜, 미네랄 스피리트(mineral spirit), 2-옥탄올, 스토다드 용매(stoddard solvent), 이소파르(Isopar) HTM, 디에틸 옥살레이트, 디아밀 에테르, 테트라하이드로피란-2-메탄올, 락트산 부틸 에스테르, 이소옥틸 알콜, 프로필렌 글리콜, 디프로필렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 디메틸 설폭사이드, 2,5-헥산디온, 2-부톡시에탄올 아세테이트, 디에틸렌 글리콜 모노메틸 에테르, 1-옥탄올, 에틸렌 글리콜, 이소파르 LTM, 디프로필렌 글리콜 모노메틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르, N-메틸피롤리돈, 에틸렌 글리콜 디부틸 에테르, γ-부티로락톤, 1,3-부탄디올, 디에틸렌 글리콜 모노메틸 에테르 아세테이트, 트리메틸렌 글리콜, 트리에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, α-테르핀올, n-헥실 에테르, 케로센, 2-(2-n-부톡시에톡시)에탄올, 디부틸 옥살레이트, 프로필렌 카보네이트, 프로필렌 글리콜 모노페닐 에테르, 디에틸렌 글리콜, 카테콜, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 에틸렌 글리콜 모노페닐 에테르, 디에틸렌 글리콜 디부틸 에테르, 디페닐 에테르, 에틸렌 글리콜 모노벤질 에테르, 하이드로퀴논, 설폴란 및 트리에틸렌 글리콜을 포함한다. 탄화수소 용매가 특히 바람직하다.
위의 공정(즉 주로 SiH 수지 도포액의 침착)은 염기성 촉매 및 물과 접촉시키기 전에, 용매 증발이 억제되는 환경 속에서 수행할 수 있다. 예를 들면, 밀폐 환경 속에서 스핀 피복을 수행하여, 용매가 완전히 증발되기 전에 이후의 단계(즉, 염기성 촉매 및 물과의 접촉 단계)를 수행할 수 있다.
이어서, 약 5용적% 이상의 용매를 함유하는 SiH 수지 피막을 염기성 촉매 및 물과 접촉시킨다. 염기성 촉매의 예는 암모니아, 수산화암모늄 및 아민을 포함한다. 본원에서 유용한 아민은 1급 아민(RNH2), 2급 아민(R2NH) 및/또는 3급 아민(R3N)(여기서, R은 독립적으로 포화 또는 불포화 지방족, 예를 들면, 메틸, 에틸, 프로필, 비닐, 알릴, 에티닐 등; 지환족, 예를 들면, 사이클로헥실메틸; 방향족, 예를 들면, 페닐; 치환된 헤테로 원자, 예를 들면, 산소, 질소, 황 등; 또는 질소원자가 헤테로사이클릭 환의 구성원인 화합물, 예를 들면, 퀴놀린, 피롤리딘 또는 피리딘이다)을 포함할 수 있다. 또한, 임의의 상기 아민 화합물은 다른 탄화수소 및/또는 헤테로 함유 그룹에 의해 치환되어 디아민, 아미드 등과 같은 화합물을 형성시킬 수 있다. 마지막으로, 반응 조건하에 아민으로 전환되는 화합물이 동일한 방식으로 작용할 것으로 생각된다. 예를 들면, 용해시 아민을 생성시키는 암모늄 염과 같은 화합물은 목적하는 촉매 효과를 제공할 것이다.
본원에서 사용할 수 있는 아민의 예는 메틸아민, 에틸아민, 부틸아민, 알릴아민, 사이클로헥실아민, 아닐린, 디메틸아민, 디에틸아미드, 디옥틸아민, 디부틸아민, 메틸에틸아민, 삭카린, 피페리딘, 트리메틸아민, 트리에틸아민, 피리딘, 디에틸 톨루이덴 에틸메틸프로필아민, 이미다졸, 콜린 아세테이트, 트리페닐 포스펜 아날린, 트리메틸실릴이미다졸, 에틸렌디아민, 디에틸하이드록실아민, 트리에틸렌디아민, n-메틸피롤리돈 등을 포함한다.
염기성 촉매는 일반적으로 Si-H 결합의 가수분해에 촉매작용을 제공하기에 충분한 농도로 사용할 수 있다. 일반적으로, 염기성 촉매의 농도는 염기성 촉매에 따라, 수지의 중량을 기준으로 하여, 약 1ppm 내지 약100중량%일 수 있다.
사용된 물은 주위 환경(예들 들면, 상대 습도 약 25% 초과) 중에 존재하는 것일 수 있거나, 주위 환경은 추가의 수증기로 보충될 수 있거나(예를 들면, 상대 습도 약 100% 이하), 물을 액체로서 사용하거나 반응 조건하에 물을 생성시키는 화합물을 사용할 수 있다.
SiH 수지 피막의 염기성 촉매 및 물과의 접촉은 실용적 또는 바람직한 수단으로 수행할 수 있다. 예를 들면, SiH 수지 피막을 염기성 촉매의 증기 및 수증기와 접촉시킬 수 있다. 또한, 예를 들면, SiH 수지 피막을 수산화암모늄 용액에 침지시킴으로써, 당해 피막을 액체 상태의 염기성 촉매 및 물과 접촉시킬 수 있다.
SiH 수지 피막은 전형적으로 증기 상태의 염기성 촉매 및 물, 더욱 전형적으로 암모니아 및 물을 포함하는 환경에 노출시킨다. 예를 들면, SiH 수지 도포된 기판을 용기 또는 그 안에 도입된 적합한 환경 속에 위치시키거나, 염기성 촉매 및 물의 스트림을 SiH 수지 피막에 향하게 할 수 있다.
염기성 촉매 및 물을 포함하는 환경을 생성시키는 데 사용되는 방법은 일반적으로 당해 양태에서 중요하지는 않다. 물 또는 수산화암모늄 용액을 통해 염기성 촉매(예를 들면, 암모니아 기체)를 버블링시키는 방법(존재하는 수증기의 양을 조절하기 위함), 염기성 촉매 및 물을 가열하는 방법 또는 물을 가열하고 염기성 촉매 기체(예를 들면, 암모니아 기체)를 도입하는 방법과 같은 방법들은 모두 본원에서 기능한다. 또한, 동일반응계에서 염기성 촉매 증기를 발생시키는 방법, 예를 들면, 아민 염에 물을 가하는 방법 또는 헥사메틸디실라잔과 같은 실라잔에 물을 가하는 방법도 효과적이라고 생각된다.
사용된 염기성 촉매는 목적하는 임의의 농도로 사용할 수 있다. 예를 들면, 농도는 약 1ppm 내지 포화 기압일 수 있다.
실온 내지 약 300℃의 목적하는 임의의 온도에 노출시킬 수 있다. 약 20 내지 약 200℃의 온도 범위가 전형적이고, 약 20 내지 약 100℃의 온도 범위가 더욱 전형적이다.
SiH 수지 피막은 Si-H 그룹이 가수분해되어 실란올(Si-OH)을 형성시키고 실란올이 적어도 부분적으로 축합되어 Si-O-Si 결합을 형성시키는 데 필요한 시간 동안 염기성 촉매 및 물 환경에 노출시켜야 한다. 일반적으로 약 20분 이하의 노출이 전형적이고, 약 1초 내지 약 5분의 노출이 더욱 전형적이다. 당해 피막이 유전층으로서 사용되는 경우, 일반적으로 더 짧은 시간 동안 노출시키는 것이 전형적인데, 이는 장시간의 노출이 피막의 유전상수를 증가시키는 경향이 있기 때문이다.
피막을 액체 상태의 염기성 촉매 및 물에 노출시키는 경우, 일반적으로 도포된 기판을 용액에 침지시켜 노출시킨다. 다른 동등한 방법, 예를 들면, 염기성 촉매 및 물 용액을 피막에 흘려보내는 방법을 사용할 수 있다. 또한, 진공 침투법을 사용하여 염기성 촉매 및 물을 피막 속으로 관통시킬 수 있다.
당해 양태에서 사용되는 염기성 촉매 용액은 목적하는 임의의 농도로 사용할 수 있다. 일반적으로 수산화암모늄을 사용하는 경우, 약 28 내지 약 30%의 진한 수용액이 전형적인데, 이는 이 농도에서 노출 시간이 단축되기 때문이다. 묽은 용액을 사용하는 경우, 희석제는 일반적으로 물이다.
당해 양태에서 염기성 촉매 및 물에 대한 노출은 목적하는 임의의 온도 및 압력에서 수행할 수 있다. 대략 실온(20 내지 30℃) 내지 대략 염기성 촉매 용액의 비점, 및 대기압 전후의 압력이 모두 본원에서 고려된다. 실질적인 관점에서, 대략 실온 및 대략 대기압에서 노출시키는 것이 전형적이다.
당해 양태에서, 당해 수지 피막은 Si-H 그룹이 가수분해되어 실란올(Si-OH)을 형성시키고 실란올이 적어도 부분적으로 축합되어 Si-O-Si 결합을 형성시키는 데 필요한 시간 동안 염기성 촉매 용액에 노출시킨다. 일반적으로 약 2시간 이하의 노출이 전형적이고, 약 1초 내지 약 15분의 노출이 더욱 전형적이다.
또한, 피막은 액체 염기성 촉매 및 물을 포함하는 환경(예를 들면, 수산화암모늄) 및 기체 염기성 촉매 및 수증기 환경(암모니아 기체 및 수증기) 둘다에 노출시킬 수 있다. 노출은 순서대로 또는 동시에 수행할 수 있고, 일반적으로 상기한 바와 동일한 조건하에 수행한다.
수지를 상기 환경 중 하나에 노출시킨 후, 용매를 피막으로부터 제거한다. 이는 피막을 가열함을 포함(이로써 제한되는 것은 아니다)하는 임의의 바람직한 수단 및 진공에 의해 수행할 수 있다. 피막을 가열하여 용매를 제거하는 경우, 잔존 실란올의 축합이 용이할 수 있다.
당해 방법으로 제조된 피막은 본 발명에서 출발 재료("다공성 망상 피막")로서 사용될 수 있다. 열경화되지 않은 다공성 망상 피막은 경화된 막보다 열 비용이 또는 열 이력(thermal history)이 낮다는 이점이 있다.
또한, 다공성 망상 피막은 경우에 따라 열경화시킬 수 있다. 경화된 피막이 요구되는 경우, 용매 제거 전, 용매 제거 동안 또는 용매 제거 후, 피막을 세라믹으로 전환시키기에 충분한 온도로 가열하여 피막을 열경화시킬 수 있다. 일반적으로, 온도 범위는 실온보다 높은 약 50 내지 약 1000℃이다. 전형적인 온도 범위는 약 50 내지 약 500℃이고, 약 200 내지 약 500℃의 온도 범위가 더욱 전형적이고, 약 350 내지 약 450℃의 온도 범위가 더욱 전형적이다. 일반적으로, 더 높은 온도에서는 더 신속하고 더 충분하게 세라믹으로 전환되지만, 각종 온도 민감성 기판에 역효과가 나타날 수 있다. 일반적으로 피막은 피막을 세라믹화하기에 충분한 시간, 일반적으로 약 6시간 이하의 시간 동안(약 5분 내지 약 6시간 범위가 전형적이고, 약 10분 내지 약 2시간 범위가 더욱 전형적이다) 상기 온도로 처리한다.
가열은 진공 내지 과압의 임의의 효율적인 기압 및 임의의 효율적인 기체 환경, 예를 들면, 불활성 기체(N2 등) 하에 수행할 수 있다. 질소 대기하에 가열하는 것이 전형적이다.
임의의 가열방법, 예를 들면, 컨벡션 오븐 또는 복사열 또는 마이크로파 열을 사용하는 방법이 일반적으로 본원에서 사용될 수 있다. 가열 속도는 중요하지 않지만, 가능한 한 신속하게 가열하는 것이 가장 실용적이고 전형적이다.
수지 피막을 염기성 촉매 및 물을 포함하는 환경(액체 또는 기체)에 노출시키는 동시에, 세라믹으로 전환시키기에 충분한 온도로 처리할 수 있다. 노출 시간 및 노출 온도 뿐만 아니라, 세라믹화에 필요한 시간 및 온도는 일반적으로 상기 기재한 바와 같다.
열경화된 다공성 망상 피막을 제조하기 위한 전형적인 방법에서, 약 5용적% 이상의 용매가 피막 중에 잔존하도록 Si-H 함유 수지 및 용매로 기판을 도포한다. 이어서, 피막을 염기성 촉매 및 물에 노출시키고 용매를 증발시킨다. 도포된 기판을 컨벡션 오븐에 넣고 오븐을 질소와 같은 불활성 기체로 충전시킨다. 이어서, 오븐 속의 온도를 목적하는 수준(예를 들면, 약 450℃)으로 상승시키고 불활성 대기하에 목적하는 시간(예를 들면, 약 5분 내지 약 2시간) 동안 유지시킨다.
상기한 바와 같이 형성된 열경화된 막은 Si-H 결합 밀도 잔존율이 약 20 내지 약 60%이고 유전상수가 약 1.1 내지 약 3.5이다. 유전상수가 약 2.0인 경우, 탄성률이 약 2 내지 약 3GPa일 수 있다.
다공성 망상 피막의 또 다른 제조방법이 1999년 12월 13일자로 출원된 종(Zhong)의 미국 특허원 제09/458,739호[발명의 명칭: 알킬하이드라이도실록산 수지로부터 나노 다공성 실리콘 수지를 제조하는 방법(Method for making nanoporous silicone resins from alkylhydridosiloxane resins)]에 기재되어 있다. 당해 방법은 백금족 금속 함유 하이드로실화 촉매의 존재하에 하이드라이도실리콘 함유 수지를 탄소수 약 8 내지 약 28의 1-알켄과 접촉시키고, 약 5%의 규소원자가 하나 이상의 수소원자에 의해 치환된 알킬하이드라이도실록산 수지를 형성시킨 후, 생성된 알킬하이드라이도실록산을, 경화 및 규소원자로부터의 알킬 그룹의 열분해를 수행하기에 충분한 온도로 가열하여 나노 다공성 실리콘 수지를 형성시킴을 포함한다.
비록 유전상수가 낮은 다공성 망상 피막이 바람직하더라도, 탄성률이 더 높은 피막을 제공하는 것이 유리하다.
열경화된 다공성 망상 피막의 탄성률을 증가시키기 위해, 이를 플라즈마처리한다. 플라즈마 처리는 고주파(RF) 유도 커플링, RF 전기용량 커플링된, 나선형 레지네이터(helical resinator), 마이크로파 다운스트림, 및 마이크로파 전자 사이클로트론 공명(ECR) 플라즈마에 의해 수행할 수 있다.
전형적인 플라즈마 공정에서, 급속 온도 램프-업(ramp-up) 단계에서 웨이퍼를 신속하게 목적하는 온도로 가열한 후, 웨이퍼를 플라즈마 처리한다.
정확한 플라즈마 처리 조건은 어떠한 유형의 플라즈마 처리법을 사용하는지에 달려 있다. 200mm 웨이퍼에 대한 전형적인 마이크로파 플라즈마 처리 조건의 예를 아래에 기재한다.
마이크로파 플라즈마 전력: 1000 내지 2000W
웨이퍼 온도: 80 내지 350℃
가공 압력: 1.0 내지 6.0torr
플라즈마 처리 시간: > 15초
플라즈마 기체: H2/N2/CF4/O2
O2 유량: 0 - 4000sccm
CF 유량: 0 - 400sccm
H2/N2 기체 혼합물의 유량: > 0 - 4000sccm
본 발명의 열경화된 플라즈마 처리 다공성 망상 피막은 화학적 안정성 및 치수 안정성이 개선된다. 개선된 화학적 안정성은 피막이 화학약품, 예를 들면, 세정액 및 화학적 연마액, 및 감광성 내식막 회분화 및 건조 에칭 공정 동안의 플라즈마 손상에 대한 내성이 높음을 의미한다.
또한, 열경화되지 않은 다공성 망상 피막을 약 200 내지 약 225℃의 온도에서 약 5분 미만 동안 가열하여 플라즈마 경화시킬 수 있다. 전형적으로, 피막은 약 2분 동안 가열하여 플라즈마 경화시킬 수 있다.
그러나, 플라즈마 처리 또는 플라즈마 경화는 막 내에 상당량의 극성 화학 물질을 생성시킬 수 있다.
열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막은, 경우에 따라, 임의의 유형의 열노출을 사용하여 어닐링시켜 유전상수를 감소시킬 수 있다. 예를 들면, 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막을, 예를 들면, 450℃에서 30분 동안 통상적인 오븐 속에 넣어 극성 화학 물질을 제거할 수 있다. 사용할 수 있는 또 다른 방법은 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막을 급속 열 가공(RTP; Rapid Thermal Processing) 챔버 속에서 어닐링시켜 유전상수를 감소시킴을 포함한다. 플라즈마 처리 또는 플라즈마 경화 피막을 충분한 시간 동안 전형적인 온도에서 어닐링시키고 약 100℃로 냉각시킨다.
RTP 공정에 전형적인 공정 조건은 아래에 기재되어 있다.
램프 속도: 150℃/초
웨이퍼 온도: 350 내지 450℃
어닐링 온도: <180초
열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막을 어닐링시키면 유전상수가 플라즈마 처리 또는 플라즈마 경화 다공성 망상 피막에 비해 감소된다. 어닐링된, 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막의 유전상수는 전형적으로 약 1.1 내지 약 3.5, 더욱 전형적으로 약 2 내지 약 2.5이다.
열경화된 플라즈마 처리 피막을 어닐링시키면 탄성률이 열경화된 다공성 망상 피막에 비해 증가된다. 이러한 탄성률의 증가는 전형적으로 약 50% 초과, 더욱 전형적으로 약 100% 초과이다. 어닐링된 플라즈마 경화 피막의 탄성률은 플라즈마 경화 피막에 필적한다. 열경화된 플라즈마 처리 피막 또는 플라즈마 경화 피막을 어닐링시키면 탄성률이 전형적으로 약 4GPa 초과, 더욱 바람직하게는 약 4 내지 약 10GPa이다.
RTP 열경화방법은 경화 온도를 낮추고 경화 시간을 보다 더욱 단축시키기 때문에, RTP를 사용하여 가열시켜 열경화된 어닐링된 플라즈마 처리 피막은 다른 가열방법으로 열경화된 플라즈마 처리 피막보다 유리하다.
아래의 실시예를 참조하여 본 발명을 보다 쉽게 이해할 수 있으며, 이들 실시예는 본 발명을 설명하기 위한 것이지 본 발명의 범위를 제한하고자 하는 것이 아니다.
실시예 1
콜린스 등의 방법[참조: 미국 특허 제3,615,272호]으로 두 가지 수소 실세스퀴옥산 수지 용액을 제조한다. 용액 1은 수지 12.7중량%, 테트라데칸(C14H30) 9.7중량% 및 메틸프로필 케톤(MPK, CH3COC3H7) 77.6중량%를 함유한다. 용액 2는 수지 21.7중량%, 테트라데칸 16.6중량% 및 메틸 이소부틸 케톤(MIBK) 61.7중량%를 함유한다.
임의로 다이일렉트릭 에이징 챔버(DAC; Dielectric Aging Chamber)가 장착된 도쿄 일렉트론 리미티드(Tokyo Electron Ltd.)(TEL)에서 제조한 시판 도포기인 클린 트랙(Clean Track) ACTTM 8(ACT8)로 8in의 단결정 Si 웨이퍼 위에 용액 1을 스핀 피복시킨다.
웨이퍼 위에 용액을 스핀 피복시킨 후, 웨이퍼를 DAC에 넣는데, 여기서 웨이퍼는 실온에서 45 내지 50초 동안 습윤 암모니아 대기에 노출된다. 도포 후, 웨이퍼를 150℃의 온도로 예비세팅된 가열판 위에 1분 동안 위치시켜 잔존 용매를 제거한다. 이어서, 웨이퍼를 도포기로부터 제거하고 웨이퍼를 석영관 노(QTF)에 넣어 열처리한다. 노를 경화 동안 불활성 대기(O2 함량이 매우 낮은 N2, <10ppm)로 퍼징한다. 경화 온도는 400 내지 450℃ 범위이고, 경화 시간은 60분이다. 용액 1로부터 수득된 피막의 평균 두께는 5,000Å이다.
시판 DNS 80A 스핀 피복기로 8in의 단결정 Si 웨이퍼 위에 용액 2를 스핀 피복시킨다. 웨이퍼 위에 용액을 스핀 피복한 후, 웨이퍼를 다이일렉트릭 에이징 챔버(사내 제조)에 넣는데, 여기서 웨이퍼는 실온에서 90초 동안 습윤 암모니아 대기에 노출된다. 도포 후, 웨이퍼를 150℃의 온도로 예비세팅된 가열판 위에 1분 동안 위치시켜 잔존 용매를 제거한다. 이어서, 웨이퍼를 도포기로부터 제거하고 웨이퍼를 석영관 노(QTF)에 넣어 열처리한다. 노를 경화 동안 불활성 대기(O2 함량이 매우 낮은 N2, <10ppm)로 퍼징한다. 경화 온도는 400 내지 450℃ 범위이고, 경화 시간은 60분이다. 용액 2로부터 수득된 피막의 평균 두께는 10,000Å이다.
Si-H가 40 내지 55%이고 유전상수가 약 2.0(피막 두께 5,000Å) 및 약 2.2(피막 두께 10,000Å)이고 탄성률이 약 3.0GPa인 열경화된 피막을 다양한 조건의 시간, 온도, 마이크로파 전력, 기체 종류 및 기체 유량하에 마이크로파 플라즈마로 처리한다.
시험은 액셀리스 퓨젼게미니(Axcelis FusionGemini)R ES 마이크로파-다운 스트림 플라즈마 애셔(asher) 속에서 수행한다. 당해 시스템은 마이크로파 에너지를 효율적으로 플라즈마로 커플링시키는 2.45GHz 공급원을 사용함으로써, 유전상수가 낮은 물질에 손상을 유발할 수 있는 에너지성 이온(energetic ion)을 최소화하면서 고농도의 활성 라디칼을 생성시킨다. 또한, 당해 시스템은 급속 램핑(ramping) 복사열 가열을 사용하여, 다중 온도 공정 단계를 가능하게 하는 광범위한 공정 온도 범위를 사용할 수 있다. 당해 시스템은 미국 특허 제5,961,851호에 기재되어 있다.
플라즈마 처리에 사용되는 공정 조건은 아래에 기재되어 있다:
마이크로파 플라즈마 전력: 1500 내지 1800W
웨이퍼 온도: 80 내지 280℃
가공 압력: 1.0 내지 3.0torr
플라즈마 처리 시간: 15 내지 120초
플라즈마 기체: H2/N2/CF4/O2
O2 유량: 0 내지 2510sccm
CF4 유량: 0 내지 400sccm
FG(5% H2/95% N2) 유량: 0 내지 3500sccm
시험 결과는 표 1 내지 5에 기재한다.
Figure 112002030290370-pct00001
Figure 112002030290370-pct00002
Figure 112002030290370-pct00003
Figure 112002030290370-pct00004
Figure 112002030290370-pct00005
표 1 내지 5에 나타낸 시험 결과는 마이크로파 플라즈마로 처리된 피막이 다공성 실리카로 완전히 전환되거나 Si-H 결합량이 초기 Si-H 결합량에 비해 상당히 감소되었음을 입증한다. 피막의 탄성률은 광범위하고 다양한 공정 조건하에 상당히 개선되었다(100% 초과).
실시예 2
임의로 다이일렉트릭 에이징 챔버(DAC)가 장착된 도쿄 일렉트론 리미티드(TEL)에서 제조한 시판 도포기인 클린 트랙 ACTTM 8(ACT8)로 8in의 단결정 Si 웨이퍼 위에 (실시예 1에 기재된) 용액 1을 스핀 피복한다.
웨이퍼 위에 용액을 스핀 피복한 후, 웨이퍼를 DAC에 넣는데, 여기서 웨이퍼는 실온에서 45 내지 50초 동안 습윤 암모니아 대기에 노출된다. 도포 후, 웨이퍼를 150℃의 온도로 예비세팅된 가열판 위에 1분 동안 위치시켜 잔존 용매를 제거한다.
열경화되지 않은 이들 막 웨이퍼를 다양한 조건의 시간, 온도, 마이크로파 전력, 기체 종류 및 기체 유량하에 마이크로파 플라즈마로 경화시킨다. 위에 기재된 액셀리스 퓨젼게미 ES 마이크로파-다운 스트림 플라즈마 애셔를 사용하여, 열경화되지 않은 피막을 플라즈마 경화시킨다. 플라즈마 경화의 공정 조건은 열경화된 플라즈마 처리 피막에 사용된 조건과 동일하다. 시험 결과는 표 6에 기재한다.
Figure 112002030290370-pct00006
이들 피막은 다공성 실리카로 완전히 전환되고 탄성률이 상당히 증가되었다. 이들은 플라즈마 경화 후, 열경화된 피막보다 탄성률이 높다. 그러나, (열경화시키지 않고) 플라즈마 경화 단독의 열 경비가 열경화 가공을 수행한 경우보다 훨씬 더 낮다. 따라서, 열경화시키지 않고 피막을 플라즈마 경화시키는 경우, 열경화된 플라즈마 처리 피막보다 탄성률이 개선되고 열 경비가 훨씬 더 낮다.
실시예 3
실시예 1로부터 수득된, Si-H가 40 내지 55%이고 탄성률이 약 3.0GPa인 열경화된 피막 및 실시예 2로부터 수득된 열경화되지 않은 피막을 아래에 나타낸 다양한 조건하에 마이크로파 플라즈마 처리한 후 RTP로 어닐링한다.
예비퍼지 시간: >30초
램프(ramp) 속도: 150℃/초
웨이퍼 온도: 350 내지 450℃
어닐링 시간: <180초
결과는 표 7 내지 11에 기재한다.
Figure 112002030290370-pct00007
Figure 112002030290370-pct00008
Figure 112002030290370-pct00009
Figure 112002030290370-pct00010
Figure 112002030290370-pct00011
결과에 의하면 플라즈마 처리 피막은 다공성 실리카 또는 실라카형 물질로 완전히 전환된다. 플라즈마 처리에 의해 탄성률은 개선되지만, 유전상수는 증가된 다. 이후의 RTP 어닐링은 초기 피막의 초기 탄성률에 비해 상당히 개선된 탄성률을 유지시키면서, 유전상수를 감소시킨다. 비록 특정 경우에는 탄성률이 플라즈마 처리 피막의 탄성률보다 다소 감소하지만, 여전히 100%를 초과하는 개선율을 나타내면서 초기 탄성률보다 상당히 더 높은 상태를 유지한다.
실시예 4
Si-H가 40 내지 55%이고 탄성률이 약 3.0GPa인 열경화된 피막을 아래에 기재한 조건하에 플라즈마 처리한다.
플라즈마 전력: 400W
웨이퍼 온도: 실온
가공 압력: 1.2torr
플라즈마 처리 시간: 120초
플라즈마 기체: 공기
시험은 브란손(Branson)/IPC 플라즈마 시스템(모델 IPC S4000 시리즈) 속에서 수행한다. 당해 시스템은 고주파(RF) 에너지를 효율적으로 플라즈마로 커플링시키는 13.65MHz에서 RF 공급원을 사용함으로써, 고농도의 활성 라디칼을 생성시킨다.
시험 결과는 표 12에 기재한다.
Figure 112002030290370-pct00012

실시예 5
수지 조성이 {HSiO3/2}33.5{RSiO3/2}21.5{SiO4/2}45(여기서, R은 n-옥타데실이다)이고 수지 16.3중량% 및 이소부틸 이소부티레이트 83.7중량%를 함유하는 종(Zhong)의 방법[참조: 미국 특허원 제09/458,739호]으로 제조한 알킬하이드라이도실록산 수지 용액을 40분 동안 2.0㎛ 여과기를 통해 연속적으로 여과한 후 스핀 피복한다. 막을 8in의 단결정 Si 웨이퍼 위에 스피닝시킨다. 카를 수쓰 RC8(Karl Suss RC8) 스핀 피복기로 피복시킨다. 스피닝 후, 도포된 웨이퍼를 열경화용 석영관 노에 넣는다. 노를 램핑 전에 질소로 퍼징하여 산소 함량이 10ppm 미만이 되게 한다. 노를 25℃/분의 속도로 450℃로 램핑시키고 450℃에서 2시간 동안 유지한다. 샘플을 질소 유동하에 100℃ 미만으로 냉각시킨 후, 노로부터 꺼낸다. 플라즈마 처리 전의 피막은 유전상수가 약 1.9이고 탄성률이 약 1.8GPa이다.
위에서 기재한 바와 같은 액셀리스 퓨젼게미니 ES 마이크로파-다운 스트림 플라즈마 애셔 속에서 플라즈마 처리를 수행한다. 플라즈마 처리에 사용된 공정 조건은 아래와 같다:
마이크로파 플라즈마 전력: 1800W
웨이퍼 온도: 120 내지 280℃
가공 압력: 2.1torr
플라즈마 처리 시간: 30초
플라즈마 기체: H2/N2/CF4/O2
O2 유량: 0sccm
CF4 유량: 150sccm
FG(5% H2/95% N2) 유량: 3350sccm
시험 결과는 표 13에 기재한다.
Figure 112002030290370-pct00013
결과에 의하면, 마이크로파 플라즈마로 처리한 피막은 완전히 다공성 실리카로 전환되었다. 피막의 탄성률은 광범위한 다양한 공정 조건하에 상당히 개선되었다.
실시예 6
실시예 5로부터 수득된 열경화된 피막을 다양한 조건하에 마이크로파 플라즈마 처리한 후 RTP로 어닐링한다. 샘플을 30초 동안 질소 퍼징하고(O2 함량이 매우 낮음) 목적하는 온도로 램핑시킨다.
RTP에 사용된 가공 조건의 범위는 아래와 같다.
예비퍼지 시간: >30초
램프 속도: 150℃/초
웨이퍼 온도: 350 내지 450℃
어닐링 시간: <180초
결과는 표 14에 기재한다.
Figure 112002030290370-pct00014

실시예 7
수소 실세스퀴옥산 수지를 실리콘 웨이퍼 위에 스피닝하고 NH3으로 처리한 후, 1분 동안 150℃에서 가열판으로 열처리하여 다공성 망상 피막을 제조한다. 플라즈마 전환은 액셀리스 퓨젼게미 ES 플라즈마 애셔 속에서 수행한다. 플라즈마 전환 후, 유전상수가 낮고 탄성률이 높은 다공성 실리카 막이 수득된다. 결과는 표 15에 기재한다.
플라즈마 압력 조건은 2 내지 4torr이다. 온도는 195 내지 230℃ 범위로 조절한다. 형성 기체는 N2/H2/CF4이다. 플라즈마 전력 및 기체 유동 데이타는 표 15에 기재되어 있다.
결과에 의하면, 플라즈마 경화 후, 유전 상수의 범위는 2.0 내지 2.8이다. 210℃에서의 플라즈마 조건은 항상 2.4 미만의 낮은 유전상수를 갖는 막을 제공한다. 195℃, 225℃ 및 230℃에서 가공된 막은 유전상수가 2.4를 초과한다. 플라즈마 경화 막의 탄성률은 6 내지 9GPa이다. 플라즈마 경화 공정은 막 두께를 약 10% 감소시킨다. 그러나, 플라즈마 경화 막의 균일성은 전형적으로 2% 이내이다. FTIR 스펙트럼에 의하면, 압력 2 내지 3torr에서 플라즈마 경화시키는 동안 막으로부터 Si-H 결합이 완전히 제거되며, 이는 플라즈마 경화 공정으로부터 다공성 실리카 막이 생성됨을 의미한다. 전형적으로, 유전상수가 2.0 내지 2.3인 막은 1% 미만의 SiOH를 함유한다.
Figure 112002030290370-pct00015

실시예 8
수소 실세스퀴옥산을 실리콘 웨이퍼 위에 스피닝하고 NH3으로 처리한 후, 1분 동안 150℃에서 가열판으로 열처리하여 다공성 망상 피막을 제조한다. NH3 시효처리 시간은 TEL 스핀 도구 및 DSN 스핀 도구 둘 다에 명시된 표준방법의 1/2 내지 2/3이다. 플라즈마 전환은 액셀리스 퓨젼게미 ES 플라즈마 애셔 속에서 수행한다. 플라즈마 전환 후, 유전상수가 낮고 탄성률이 높은 다공성 실리카 막이 수득된다. 결과는 표 16에 기재한다.
플라즈마 압력 조건은 2 내지 4torr이다. 온도는 210℃로 조절한다. 형성 기체는 N2/H2/CF4이다. 플라즈마 전력 및 기체 유동 데이타는 표 16에 기재되어 있다.
또한, 초기 막을 습윤 NH3 하에 단시간 시효처리하더라도 플라즈마 경화에 의해 초기 막을 다공성 실리카 막으로 전환시킬 수 있다. 시효처리 시간이 표준보다 짧은 플라즈마 경화 막은 유전상수가 2.3 미만이다. 탄성률 값은 5.7 내지 9GPa이다. 또한, FTIR 스펙트럼으로부터, 플라즈마 경화시키는 동안 Si-H 결합이 제거되어 다공성 실리카 막이 생성됨을 알 수 있다. 당해 막의 SiOH 함량은 전형적으로 1% 미만이다.
Figure 112002030290370-pct00016

실시예 9
수소 실세스퀴옥산을 실리콘 웨이퍼 위에 스피닝하고 과량의 NH3으로 처리한 후, 1분 동안 150℃에서 가열판으로 열처리하여 다공성 망상 피막을 제조한다. NH3 시효처리 시간은 TEL 스핀 도구 및 DSN 스핀 도구 둘 다의 표준방법에 명시된 시간의 2배이다. 플라즈마 전환은 액셀리스 퓨젼게미 ES 플라즈마 애셔 속에서 수행한다. 결과는 표 17A 및 17B에 기재한다.
플라즈마 압력 조건은 2.5 내지 3torr이다. 온도는 210℃로 조절한다. 형성 기체는 N2/H2/CF4이다. 플라즈마 전력 및 기체 유동 데이타는 표 17A 및 17B에 기재되어 있다.
보다 긴 시간 동안의 NH3 시효처리 후, 플라즈마 경화된 다공성 막의 유전상수는 2.5 이상이고 탄성률은 약 7 내지 8GPa이다. FTIR 스펙트럼으로부터 계산한 SiOH 함량은 전형적으로 약 1.5 내지 2.5%이다. Si-H 결합은 완전히 제거되었다.
실시예 7 내지 9에서, RTP를 사용하여 가열함으로써 열에 의한 어닐링을 수행한, 어닐링된 플라즈마 경화 피막의 적외선 스펙트럼은 다른 가열방법을 사용함으로써 열에 의한 어닐링을 수행한 피막의 적외선 스펙트럼과 실질적으로 동일하다. 스펙트럼의 특징은 당해 플라즈마 경화 막이 특성상 실리카임을 나타낸다. 적외선 스펙트럼으로부터 계산한 SiOH 함량은 1중량% 미만이다. RTP 어닐링된 피막의 굴절률(RI)(1.20 내지 1.22)은 다른 가열방법을 사용하여 열에 의한 어닐링을 수행한 피막의 RI 값과 일치한다. RTP를 사용함으로써 열에 의한 어닐링을 수행한 플라즈마 경화 피막의 탄성률은 초기 탄성률의 2 또는 3배(7 내지 8GPa로 높음)일 수 있다. 당해 피막의 유전상수는 2.1 내지 2.3이다.
Figure 112002030290370-pct00017
Figure 112002030290370-pct00018
위의 방법으로 얇은(5㎛ 미만) SiO2 함유 피막을 기판 위에 생성시킨다. 피막의 탄성률이 개선된다. 또한, 어닐링 단계를 수행하는 경우, 피막은 탄성률이 개선되고 유전상수가 감소될 수 있다.
당해 피막은 각종 기판의 불규칙한 표면을 평활하게 하고 부착성이 우수하다. 또한, 당해 피막을 다른 피막, 예를 들면, 추가의 SiO2 피막, SiO2/개질용 세라믹 산화물 층, 규소 함유 피막, 탄소 함유 피막 및/또는 다이아몬드 유사 피막으로 덮을 수 있다.
당해 피막은 결함 밀도가 낮고, 예를 들면, 다층 장치 내의 유전층으로서 전자장치에 유용하다.
대표적인 특정 양태 및 세부사항들은 본 발명을 설명하기 위해 제공된 것이며, 본원에 첨부된 청구의 범위에서 한정된 발명의 범위에서 벗어나지 않고서 본원에 기재된 조성물 및 방법을 다양하게 변경할 수 있음은 당해 분야의 숙련가들에게 명백하다.

Claims (49)

  1. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조된, 초기 유전상수와 초기 탄성률을 갖는 열경화된 다공성 망상 피막을 제공하는 단계 및
    당해 다공성 망상 피막을 플라즈마 처리하여 Si-H 결합의 양을 감소시키고 초기 유전상수보다 큰 제2 유전상수와 초기 탄성률보다 큰 제2 탄성률을 갖는 플라즈마 처리 피막을 제공하는 단계를 포함하는, 탄성률 및 유전상수가 개선된 플라즈마 처리 피막의 제조방법.
  2. 청구항 2은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 플라즈마 처리 피막을 어닐링하여 제2 유전상수보다 작은 제3 유전상수와 초기 탄성률보다 큰 제3 탄성률을 갖는 어닐링된 플라즈마 처리 피막을 제공하는 단계를 추가로 포함하는 방법.
  3. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 플라즈마 처리 피막이 475℃ 미만의 온도에서 어닐링되는 방법.
  4. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 플라즈마 처리 피막이 350 내지 450℃의 온도 범위에서 어닐링되는 방법.
  5. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 플라즈마 처리 피막이 180초 이하 동안 어닐링되는 방법.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 플라즈마 처리 피막의 제2 탄성률이 초기 탄성률보다 50% 이상 더 큰 방법.
  7. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 플라즈마 처리 피막의 제2 탄성률이 초기 탄성률보다 100% 이상 더 큰 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 탄성률이 초기 탄성률보다 50% 이상 더 큰 방법.
  9. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 탄성률이 초기 탄성률보다 100% 이상 더 큰 방법.
  10. 삭제
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 열경화된 다공성 망상 피막이 6시간 이하 동안 50 내지 1000℃의 온도 범위로 가열함으로써 경화되는 방법.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제11항에 있어서, 열경화된 다공성 망상 피막이 10분 내지 2시간 동안 50 내지 500℃의 온도 범위로 가열함으로써 경화되는 방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제11항에 있어서, 열경화된 다공성 망상 피막이 10분 내지 1시간 동안 350 내지 450℃의 온도 범위로 가열함으로써 경화되는 방법.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 열경화된 다공성 망상 피막이 15 내지 120초의 시간 동안 플라즈마 처리되는 방법.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 열경화된 다공성 망상 피막이 350℃ 미만의 온도에서 플라즈마 처리되는 방법.
  16. 청구항 16은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 열경화된 다공성 망상 피막이 80 내지 280℃의 온도 범위에서 플라즈마 처리되는 방법.
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 유전상수 범위가 1.1 내지 3.5인 방법.
  18. 청구항 18은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 유전상수 범위가 2.0 내지 2.5인 방법.
  19. 청구항 19은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 탄성률이 4GPa을 초과하는 방법.
  20. 청구항 20은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 탄성률이 10GPa을 초과하는 방법.
  21. 청구항 21은(는) 설정등록료 납부시 포기되었습니다.
    제2항에 있어서, 어닐링된 플라즈마 처리 피막의 제3 탄성률이 4 내지 10GPa인 방법.
  22. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조된 다공성 망상 피막을 제공하는 단계 및
    당해 다공성 망상 피막을 플라즈마 경화시켜 Si-H 결합의 양을 감소시키고 제1 유전상수와 제1 탄성률을 갖는 SiO2 함유 플라즈마 경화 피막을 제조하는 단계를 포함하는, 탄성률 및 유전상수가 개선된 플라즈마 경화 피막의 제조방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 플라즈마 경화 피막을 어닐링하여 제1 유전상수보다 작은 제2 유전상수와 제1 탄성률로부터 변하지 않는 제2 탄성률을 갖는 어닐링된 플라즈마 경화 피막을 제공하는 단계를 추가로 포함하는 방법.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 플라즈마 경화 피막이 475℃ 미만의 온도에서 어닐링되는 방법.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 플라즈마 경화 피막이 350 내지 450℃의 온도 범위에서 어닐링되는 방법.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 플라즈마 경화 피막이 180초 이하 동안 어닐링되는 방법.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 다공성 망상 피막이 15 내지 120초의 시간 동안 플라즈마 경화되는 방법.
  28. 청구항 28은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 다공성 망상 피막이 350℃ 미만의 온도에서 플라즈마 경화되는 방법.
  29. 청구항 29은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 다공성 망상 피막이 80 내지 280℃의 온도 범위에서 플라즈마 경화되는 방법.
  30. 청구항 30은(는) 설정등록료 납부시 포기되었습니다.
    제22항에 있어서, 다공성 망상 피막이 195 내지 230℃의 온도 범위에서 플라즈마 경화되는 방법.
  31. 청구항 31은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 어닐링된 플라즈마 경화 피막의 제2 유전상수 범위가 1.1 내지 3.5인 방법.
  32. 청구항 32은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 어닐링된 플라즈마 경화 피막의 제2 유전상수 범위가 2 내지 2.5인 방법.
  33. 청구항 33은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 어닐링된 플라즈마 경화 피막의 제2 탄성률이 4GPa을 초과하는 방법.
  34. 청구항 34은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 어닐링된 플라즈마 경화 피막의 제2 탄성률이 10GPa을 초과하는 방법.
  35. 청구항 35은(는) 설정등록료 납부시 포기되었습니다.
    제23항에 있어서, 어닐링된 플라즈마 경화 피막의 제2 탄성률이 4 내지 10GPa인 방법.
  36. 유전상수가 2.0 내지 3.5이고 탄성률이 4 내지 20GPa인 다공성 피막.
  37. 삭제
  38. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조되며 탄성률이 4 내지 10GPa인 플라즈마 처리된 열경화 다공성 망상 피막을 포함하는, 플라즈마 처리된 열경화 피막.
  39. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조되며 유전상수가 1.1 내지 3.5이고 탄성률이 4 내지 10GPa인 플라즈마 처리된 후에 어닐링된 열경화 다공성 망상 피막을 포함하는, 플라즈마 처리된 후에 어닐링된 열경화 피막.
  40. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조되며 탄성률이 4 내지 10GPa인 플라즈마 경화 다공성 망상 피막을 포함하는, 플라즈마 경화 피막.
  41. 유전상수가 1.1 내지 3.5이고 탄성률이 4 내지 10GPa인 어닐링된 플라즈마 경화 다공성 망상 피막을 포함하는, 어닐링된 플라즈마 경화 피막.
  42. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조되며 탄성률이 4 내지 10GPa인 플라즈마 처리된 열경화 다공성 망상 피막을 포함하는 플라즈마 처리된 열경화 피막을 포함하는 전자장치.
  43. 유전상수가 1.1 내지 3.5이고 탄성률이 4 내지 10GPa인 어닐링된 플라즈마 경화 다공성 망상 피막을 포함하는 어닐링된 플라즈마 경화 피막을 포함하는 전자장치.
  44. 2개 이상의 Si-H 그룹을 함유하는 수지로부터 제조되며 탄성률이 4 내지 10GPa인 플라즈마 처리된 열경화 다공성 망상 피막을 포함하는 플라즈마 처리된 열경화 피막을 갖는 기판.
  45. 유전상수가 1.1 내지 3.5이고 탄성률이 4 내지 10GPa인 어닐링된 플라즈마 경화 다공성 망상 피막을 포함하는 어닐링된 플라즈마 경화 피막을 갖는 기판.
  46. 유전상수가 1.1 내지 3.5이고 탄성률이 4 내지 10GPa인 SiO2 함유 다공성 플라즈마 처리 피막.
  47. 유전상수가 2.0 내지 2.9이고 탄성률이 5.7 내지 9.1GPa인 SiO2 함유 다공성 플라즈마 처리 피막.
  48. 유전상수가 1.1 내지 3.5이고 탄성률이 4 내지 10GPa인 SiO2 함유 다공성 플라즈마 경화 피막.
  49. 유전상수가 2.0 내지 2.9이고 탄성률이 5.7 내지 9.1GPa인 SiO2 함유 다공성 플라즈마 경화 피막.
KR1020027012203A 2000-03-20 2001-03-20 다공성 실리카 박막을 제조하기 위한 플라즈마 가공 KR100730633B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/528,835 US6576300B1 (en) 2000-03-20 2000-03-20 High modulus, low dielectric constant coatings
US09/528,835 2000-03-20
US09/681,332 2001-03-19
US09/681,332 US6558755B2 (en) 2000-03-20 2001-03-19 Plasma curing process for porous silica thin film
PCT/US2001/008997 WO2001070628A2 (en) 2000-03-20 2001-03-20 Plasma processing for porous silica thin film

Publications (2)

Publication Number Publication Date
KR20030034054A KR20030034054A (ko) 2003-05-01
KR100730633B1 true KR100730633B1 (ko) 2007-06-22

Family

ID=27062846

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027012203A KR100730633B1 (ko) 2000-03-20 2001-03-20 다공성 실리카 박막을 제조하기 위한 플라즈마 가공

Country Status (2)

Country Link
US (1) US6558755B2 (ko)
KR (1) KR100730633B1 (ko)

Families Citing this family (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6893523B2 (en) * 1999-02-11 2005-05-17 International Business Machines Corporation Method for bonding heat sinks to overmold material
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
DE10015555A1 (de) * 2000-03-30 2001-10-18 Eybl Internat Ag Krems Leder und dessen Zurichtung
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
JP2005522877A (ja) * 2002-04-10 2005-07-28 ハネウェル・インターナショナル・インコーポレーテッド 集積回路用の多孔質シリカ誘電体のための新規なポロジェン
US7381441B2 (en) * 2002-04-10 2008-06-03 Honeywell International Inc. Low metal porous silica dielectric for integral circuit applications
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
EP1420439B1 (en) * 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
JP4092220B2 (ja) * 2003-01-31 2008-05-28 Necエレクトロニクス株式会社 半導体装置およびその製造方法
JP2004235548A (ja) * 2003-01-31 2004-08-19 Nec Electronics Corp 半導体装置およびその製造方法
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110318502A1 (en) * 2009-12-24 2011-12-29 Spp Process Technology Systems Uk Limited Methods of depositing sio2 films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8785215B2 (en) * 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10189712B2 (en) * 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
WO2019039909A1 (ko) 2017-08-24 2019-02-28 주식회사 엘지화학 실리카막의 제조 방법
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950993A (ja) * 1995-08-08 1997-02-18 Fujitsu Ltd 絶縁膜形成方法と半導体装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US4636440A (en) 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4749631B1 (en) 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
US4905117A (en) 1988-09-02 1990-02-27 Westinghouse Electric Corp. Circuit and method for DC content protection of parallel VSCF power systems
DE3834088A1 (de) 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4885186A (en) 1988-12-29 1989-12-05 Bell Communications Research, Inc. Method for preparation of silicate glasses of controlled index of refraction
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5010159A (en) 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
US5145723A (en) 1991-06-05 1992-09-08 Dow Corning Corporation Process for coating a substrate with silica
JP2795002B2 (ja) 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
JP2790163B2 (ja) 1993-07-29 1998-08-27 富士通株式会社 シリコン酸化膜の形成方法、半導体装置の製造方法及びフラットディスプレイ装置の製造方法
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5456952A (en) 1994-05-17 1995-10-10 Lsi Logic Corporation Process of curing hydrogen silsesquioxane coating to form silicon oxide layer
US5567658A (en) 1994-09-01 1996-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for minimizing peeling at the surface of spin-on glasses
US5618878A (en) 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6303192B1 (en) 1998-07-22 2001-10-16 Philips Semiconductor Inc. Process to improve adhesion of PECVD cap layers in integrated circuits
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6143360A (en) 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950993A (ja) * 1995-08-08 1997-02-18 Fujitsu Ltd 絶縁膜形成方法と半導体装置

Also Published As

Publication number Publication date
KR20030034054A (ko) 2003-05-01
US6558755B2 (en) 2003-05-06
US20010038919A1 (en) 2001-11-08

Similar Documents

Publication Publication Date Title
KR100730633B1 (ko) 다공성 실리카 박막을 제조하기 위한 플라즈마 가공
EP1265813B1 (en) Plasma processing for porous silica thin film
US6913796B2 (en) Plasma curing process for porous low-k materials
US20030054115A1 (en) Ultraviolet curing process for porous low-K materials
US20040058090A1 (en) Low temperature UV pretreating of porous low-k materials
US6231989B1 (en) Method of forming coatings
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
KR100300801B1 (ko) Si-o함유 피막을 형성시키는 방법
US20030157267A1 (en) Fluorine-free plasma curing process for porous low-k materials
EP1649504A2 (en) Ultaviolet curing processes for advanced low-k materials
US6399210B1 (en) Alkoxyhydridosiloxane resins
US5906859A (en) Method for producing low dielectric coatings from hydrogen silsequioxane resin
US5707681A (en) Method of producing coatings on electronic substrates
US6210749B1 (en) Thermally stable dielectric coatings
EP0917184A2 (en) Electronic coatings having low dielectric constant
EP0849240B1 (en) Method of producing low dielectric ceramic-like materials
EP0857705A1 (en) Method of producing coatings on electronic substrates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee