US20120258259A1 - Apparatus and method for uv treatment, chemical treatment, and deposition - Google Patents

Apparatus and method for uv treatment, chemical treatment, and deposition Download PDF

Info

Publication number
US20120258259A1
US20120258259A1 US13/440,720 US201213440720A US2012258259A1 US 20120258259 A1 US20120258259 A1 US 20120258259A1 US 201213440720 A US201213440720 A US 201213440720A US 2012258259 A1 US2012258259 A1 US 2012258259A1
Authority
US
United States
Prior art keywords
transparent
gas distribution
distribution showerhead
volume
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/440,720
Inventor
Amit Bansal
Dale R. Du Bois
Juan Carlos Rocha-Alvarez
Sanjeev Baluja
Scott A. Hendrickson
Thomas Nowak
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/440,720 priority Critical patent/US20120258259A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BALUJA, SANJEEV, BANSAL, AMIT, DU BOIS, DALE R., HENDRICKSON, SCOTT A., NOWAK, THOMAS, ROCHA-ALVAREZ, JUAN CARLOS
Publication of US20120258259A1 publication Critical patent/US20120258259A1/en
Priority to US15/184,675 priority patent/US10570517B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate

Definitions

  • Embodiment of the present invention generally relates to a method and apparatus for fabricating devices on a semiconductor substrate. More particularly, embodiments of the present invention provide apparatus and methods for performing UV treatment and chemical treatment and/or deposition in the same chamber.
  • new materials with a low dielectric constant (k), such as materials with dielectric value as low as 2.2, are used in forming the electronic devices.
  • Plasma-deposited porous low k films are one class of materials that is able to satisfy such a requirement.
  • the presence of pores and carbon, which contributes to low dielectric value, creates significant process integration challenges since the pores are susceptible to etching, ashing, and plasma damages. Therefore, a k-restoration process is usually needed to restore the porous low-k films after formation and/or after integration.
  • two different chambers are needed for k-restoration.
  • One chamber for chemical treatment of the low-k films such as silylation, or deposition of a thin film for surface treatment of the low-k films.
  • a different chamber is used for pore sealing using UV (ultra violet) curing.
  • Traditional k-restoration is performed in separate chambers because the chemical surface treatment uses a showerhead to supply a processing gas including halogen or ozone while the UV chamber uses a quartz window which usually is not compatible with halogen and ozone.
  • the two chamber k-restoration process increases cost of ownership by requiring two chambers and additional time for substrate transfer.
  • Embodiments of the present invention generally provide apparatus and methods for processing a substrate. Particularly, embodiments of the present inventions provide a processing chamber that is capable of performing UV treatment as well as chemical or surface treatment.
  • the processing chamber comprises a chamber body defining an inner volume, a substrate support disposed in the inner volume, and a UV transparent gas distribution showerhead disposed above the substrate support.
  • the processing chamber further comprises a UV transparent window disposed above the UV transparent gas distribution showerhead.
  • a gas volume is formed between the UV transparent gas distribution showerhead and the UV transparent window.
  • the gas volume and the inner volume are in fluid communication through a plurality of through holes formed through the UV transparent gas distribution showerhead.
  • the processing chamber further comprises a UV unit disposed outside the inner volume. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
  • the processing system comprises a transfer chamber defining a transfer volume, a substrate transfer robot disposed in the transfer volume, and processing chamber coupled to the transfer chamber.
  • the processing chamber comprises a chamber body defining an inner volume, a substrate support disposed in the inner volume, and a UV transparent gas distribution showerhead disposed above the substrate support.
  • the processing chamber further comprises a UV transparent window disposed above the UV transparent gas distribution showerhead.
  • a gas volume is formed between the UV transparent gas distribution showerhead and the UV transparent window.
  • the gas volume and the inner volume are in fluid communication through a plurality of through holes formed through the UV transparent gas distribution showerhead.
  • the processing chamber further comprises a UV unit disposed outside the UV transparent window. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
  • Yet another embodiment of the present invention provides a method for processing a substrate.
  • the method comprises receiving a substrate on a substrate support disposed in a processing chamber.
  • the processing chamber comprises a UV transparent gas distribution showerhead disposed above the substrate support, a UV transparent window disposed above the UV transparent gas distribution showerhead, and a UV unit disposed outside the UV transparent window.
  • the UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
  • the method further comprises chemically treating the substrate by flowing one or more processing gas through the UV transparent gas distribution showerhead from a gas volume defined between the UV transparent window and the UV transparent gas distribution showerhead, and curing the substrate by directing a UV energy towards the substrate from the UV unit through the UV transparent gas distribution showerhead and the UV transparent window.
  • FIG. 1 is a schematic sectional view of a processing chamber according to one embodiment of the present invention.
  • FIG. 2 is a schematic top view of the processing chamber of FIG. 1 with a UV unit and a window removed.
  • FIG. 3A is a schematic partial perspective view of a clamping member showing gas channel according to one embodiment of the present invention.
  • FIG. 3B is a schematic partial section view of the clamping member of FIG. 3A .
  • FIG. 4 is a partial sectional view of a showerhead clamping assembly including a plenum for gas flow.
  • FIG. 5A is a partial sectional view of a UV transparent showerhead according to one embodiment of the present invention.
  • FIG. 5B is a partial sectional view of a UV transparent window according to one embodiment of the present invention.
  • FIG. 6 is a sectional view of a twin volume processing chamber according to one embodiment of the present invention.
  • FIG. 7 is a top view of the twin volume processing chambers of FIG. 6 .
  • FIG. 8 is a schematic plan view of a processing system according to one embodiment of the present invention.
  • FIG. 9 is a diagram showing a method for processing a substrate according to one embodiment of the present invention.
  • Embodiment of the present invention generally relates to apparatus and for processing a substrate. More particularly, embodiments of the present invention provide apparatus and methods for performing UV treatment and chemical treatment and/or deposition in the same chamber.
  • FIG. 1 is a schematic sectional view of a processing chamber 100 according to one embodiment of the present invention.
  • the processing chamber 100 is configured to processing a substrate using UV energy, one or more processing gases, and remotely generated plasma.
  • the processing chamber 100 includes a chamber body 102 and a chamber lid 104 disposed over the chamber body.
  • the chamber body 102 and the chamber lid 104 form an inner volume 106 .
  • a substrate support assembly 108 is disposed in the inner volume 106 .
  • the substrate support assembly 108 receives and supports a substrate 110 thereon for processing.
  • a UV transparent gas distribution showerhead 116 is hung in the inner volume 106 through a central opening 112 of the chamber 104 by an upper clamping member 118 and a lower clamping member 120 .
  • the UV transparent gas distribution showerhead 116 is positioned facing the substrate support assembly 108 to distribute one or more processing gases across a processing volume 122 which is below the UV transparent gas distribution showerhead 116 and above the substrate support assembly 108 .
  • a UV transparent window 114 is disposed above the UV transparent gas distribution showerhead 116 .
  • the UV transparent window 114 is supported by the upper clamping member 118 and secured by a window clamping member 124 .
  • the window UV transparent 114 is positioned at a distance 126 above the UV transparent gas distribution showerhead 116 forming a gas volume 128 between the UV transparent window 114 and the UV transparent gas distribution showerhead 116 .
  • the UV transparent window 114 and the UV transparent gas distribution showerhead 116 are at least partially transparent to thermal energy within the UV wavelengths.
  • a UV source 130 is disposed above the UV transparent window 114 .
  • the UV source 130 is configured to generate UV energy and project the UV energy towards the processing volume 122 through the UV transparent window 114 and the UV transparent gas distribution showerhead 116 .
  • a cover 132 may be disposed above the UV source 130 .
  • the inner surface 134 of the cover 132 may be shaped to assist projection of the UV energy from the UV source 130 towards the processing volume 122 .
  • the UV source 130 includes one or more UV lights 136 to generate UV radiation. More detailed descriptions of suitable UV sources can be found in U.S. Pat. No. 7,777,198, and United States Patent Publication 2006/0249175.
  • the processing chamber 100 includes flow channels configured to supply one or more processing gases across the substrate 110 disposed over the substrate support assembly 108 .
  • one or more processing gases are delivered to the processing volume 122 through flow channels formed in the upper clamping member 118 and the UV transparent gas distribution showerhead 116 .
  • the processing chamber 100 includes a gas panel 140 configured to generate and/or mix processing gases from one or more liquid sources 138 a , 138 b , 138 c .
  • the gas panel 140 is coupled to an input manifold 144 via one or more gas lines 142 a , 142 b , 142 c .
  • the one or more gas lines 142 a , 142 b , 142 c are heated to prevent any condensation of the processing gas therein during transfer.
  • the gas panel 140 is configured to provide one or more processing gases for chemical treatments of the substrate 110 disposed in the processing volume 122 .
  • the processing chamber 100 also include a remote plasma source 154 connected to the input manifold 144 via a plasma channel 156 .
  • the remote plasma source 154 may be used to supply plasma for cleaning inner surfaces of the processing chamber 100 .
  • the input manifold 144 has internal channels 146 connecting one or more feedthroughs 148 to an outlet 150 .
  • each gas line 142 a , 142 b , 142 c and the plasma channel 156 is coupled to one of the feedthroughs 148 .
  • the input manifold 144 may be disposed over the chamber lid 104 and coupled to the upper clamping member 118 so that the outlet 150 connects to a feeding slot 152 formed in the upper clamping member 118 .
  • the input manifold 144 may be machined from a suitable material, such as metals. In one embodiment, the input manifold 144 is machined from aluminum.
  • flow channels are formed in the upper clamping member 118 so that the processing gas from the input manifold 144 enters the gas volume 128 above the UV transparent gas distribution showerhead 116 in a substantially even manner. The processing gas can then flow through the UV transparent gas distribution showerhead 116 to the processing volume 122 .
  • the flow channels in the upper clamping member 118 include an inlet plenum 160 , a vertical slot 158 connecting the inlet plenum 160 to the feeding slot 152 , and a plurality of spoke apertures 162 connecting the inlet plenum 160 to the gas volume 128 .
  • the plurality of spoke apertures 162 are evenly distributed along the inlet plenum 160 to achieve even gas distribution within the gas volume 128 .
  • the inlet plenum 160 is formed by a groove 176 of the upper clamping member 118 and a groove 178 of the lower clamping member 120 .
  • the volume of the inlet plenum 160 is increased without changing dimensions of the upper clamping member 118 and lower clamping member 120 .
  • embodiments of the present invention reduce the pressure drop of the incoming gas flow.
  • the UV transparent gas distribution showerhead 116 includes a plurality of through holes 164 that allow processing gas to flow from the gas volume 128 to the processing volume 122 .
  • the plurality of through holes 164 are evenly distributed across the UV transparent gas distribution showerhead 116 .
  • the processing chamber 100 also includes an inner liner 166 and an outer liner 168 disposed in the inner volume 106 around the substrate support assembly 108 .
  • the inner liner 166 and the outer liner 168 shield the chamber body 102 from processing chemistry in the inner volume 106 .
  • the inner liner 166 and outer liner 168 also form an exhaust path for the processing chamber 100 .
  • an exhaust plenum 170 is formed between the inner liner 166 and the outer liner 168 .
  • the exhaust plenum 170 radially surrounds the processing volume 122 .
  • a plurality of apertures 172 are formed through the inner liner 166 connecting the exhaust plenum 170 and the processing volume 122 .
  • a vacuum pump 174 is in fluid communication with the exhaust plenum 170 so that the processing volume 122 can be pumped out through the plurality apertures 172 and the exhaust plenum 170 .
  • FIG. 2 is a schematic top view of the processing chamber 100 with the UV source 130 and the UV transparent window 114 removed.
  • the arrows illustrate the flow path from the input manifold 144 to the gas volume 128 .
  • FIG. 3A is a schematic partial perspective view of the upper clamping member 118 showing gas channels in dotted lines.
  • FIG. 3B is a schematic partial perspective view of the upper clamping member 118 from a different angel.
  • the upper clamping member 118 includes a ring shaped body 304 , a flange 302 extending radially outward from an upper portion 304 u of the ring shaped body 304 , and a lower step 306 extending radially inward from a lower portion 304 L of the ring shaped body 304 .
  • the flange 302 allows the upper clamping member 118 to mount on a chamber body with a circular top opening.
  • the step 306 has a top surface 308 for supporting a window therein.
  • the feeding slot 152 is formed in the flange 302 and opens to an outer surface 312 of the flange 302 .
  • the groove 176 is formed from a bottom surface 310 of the step 306 .
  • the vertical slot 158 connects the feeding slot 152 to the groove 176 .
  • the plurality of spoke apertures 162 are formed in the step 306 between an inner surface 314 of the step 306 and an inner wall 316 of the groove 176 .
  • the processing gas enters the feed slot 152 , passes through the vertical slot 158 , expands in the groove 176 , and then flows through the plurality of spoke apertures 162 .
  • the feeding slot 152 and the vertical slot 158 are elongated in the direction perpendicular to the flow to increase the size of the flow channel within the upper clamping member 118 .
  • pressure drop in the gas flow can be reduced.
  • two or more columns 318 may be formed in the groove 176 .
  • the columns 318 are used to attach the lower clamping member 120 .
  • FIG. 4 is a schematic partial sectional view of showing that the lower clamping member 120 is attached to the upper clamping member 118 at the column 318 by one or more screws 402 .
  • FIG. 4 also illustrates that the inlet plenum 160 are formed by matching grooves 176 , 178 of the upper clamping member 118 and lower clamping member 120 .
  • volume of the inlet plenum 160 is increased without changing other dimensions of the chamber components. The increased volume of the inlet plenum 160 further reduces pressure drop in the flow path during processing.
  • the processing chamber 100 is capable of performing both chemical or surface treatment and UV treatment.
  • a UV treatment to the substrate 110 disposed on the processing volume 122 can be performed by delivering UV energy from the UV source 130 through the UV transparent window 114 and the UV transparent gas distribution showerhead 116 .
  • a chemical treatment to the substrate 110 disposed in the processing volume 122 can be performed by supplying one or more processing gases from the gas panel 140 to the processing volume 122 through a flow path including the UV transparent gas distribution showerhead 116 .
  • the flow path include the plasma channel 156 , the internal channels 146 in the input manifold 144 , the feeding slot 152 , the vertical slot 158 , the inlet plenum 160 , the plurality of spoke apertures 162 , the gas volume 128 , and the plurality of through holes 164 in the UV transparent gas distribution showerhead 116 .
  • the UV transparent gas distribution showerhead 116 and the UV transparent window 114 are not only substantially transparent to lights within the UV wavelength but also resistive to the chemistry in the processing gas.
  • FIG. 5A is a partial sectional view of a UV transparent gas distribution showerhead 500 according to one embodiment of the present invention.
  • the UV transparent gas distribution showerhead 500 is substantially transparent to lights within the UV wavelength and resistive against processing chemistry including halogen, such as fluorine, or ozone.
  • the UV transparent gas distribution showerhead 500 may be used in place of the UV transparent gas distribution showerhead 116 in the processing chamber 100 .
  • the UV transparent gas distribution showerhead 500 includes a body 502 .
  • the body 502 may shape substantially like a disk having an upper surface 508 and a lower surface 510 substantially parallel to each other.
  • a plurality of through holes 506 are formed through the body 502 .
  • the through holes 506 open to the upper surface 508 and the lower surface 510 and are configured to allow a processing gas evenly distributed through the body 502 .
  • the body 502 is formed from a material that is substantially transparent to lights within the UV wavelength. In one embodiment, the body 502 is formed from quartz.
  • the UV transparent gas distribution showerhead 500 also includes a coating 504 covering the upper surface 508 , the lower surface 510 , and inner surface 512 forming the plurality of through holes 506 .
  • the coating 504 protects the body 502 from being damaged by processing gas passing through the through holes 506 without blocking the UV wavelengths.
  • the coating 504 is resistant against processing chemistry including halogen, such as fluorine, or ozone.
  • the coating 504 may comprise aluminum oxynitride, sapphire, or other suitable materials.
  • the coating 504 may be deposited on the body 502 using common deposition technologies, such as chemical vapor deposition, physical vapor deposition, spraying coating.
  • the thickness of the coating 504 may be selected to be thick enough to provide protection to the body 502 without affecting UV transparency of the body 502 .
  • the coating 504 is an aluminum oxynitride film of a thickness up to about 500 micro meters formed by chemical vapor deposition or physical vapor deposition.
  • FIG. 5B is a partial sectional view of a UV transparent window 520 according to one embodiment of the present invention. Similar to the UV transparent gas distribution showerhead 500 , the UV transparent window 520 is also substantially transparent to lights within the UV wavelength and resistive against processing chemistry including halogen, such as fluorine, or ozone. The UV transparent gas distribution showerhead 500 may be used in place of the UV transparent gas distribution showerhead 116 in the processing chamber 100 .
  • the UV transparent window 520 includes a body 522 formed from a UV transparent material and a coating 524 formed at least on a lower surface 526 of the body 522 .
  • the body 522 may be formed from any UV transparent material.
  • the body 522 is formed from quartz.
  • the coating 524 protects the body 522 from being damaged when exposed to a processing gas.
  • the coating 524 is resistant against processing chemistry including halogen, such as fluorine, or ozone.
  • the coating 524 include aluminum oxynitride, sapphire, or other suitable materials.
  • the coating 524 may be deposited on the body 522 using common deposition technologies, such as chemical vapor deposition, physical vapor deposition, spraying coating.
  • the thickness of the coating 524 may be selected to be thick enough to provide protection to the body 522 without affecting UV transparency of the body 522 .
  • the coating 524 is an aluminum oxynitride film of a thickness up to about 500 micro meters formed by chemical vapor deposition or physical vapor deposition.
  • FIG. 6 is a sectional view of a twin volume processing chamber 600 according to one embodiment of the present invention.
  • FIG. 7 is a top view of the twin volume processing chamber 600 .
  • the twin volume processing chamber 600 includes two processing chambers 600 a , 600 b that are substantially similar to the processing chamber 100 of FIG. 1 .
  • the processing chambers 600 a , 600 b share a chamber body 602 and a chamber lid 604 .
  • the processing chambers 600 a , 600 b are mirror images of one another about a central plane 628 .
  • the processing chamber 600 a defines a processing volume 624 for processing a single substrate.
  • the processing chamber 600 a includes a UV transparent window 616 and a UV transparent gas distribution showerhead 620 disposed above the processing volume 624 .
  • the processing chamber 600 b defines a processing volume 626 for processing a single substrate.
  • the processing chamber 600 b includes a UV transparent window 618 and a UV transparent gas distribution showerhead 622 disposed above the processing volume 626 .
  • the processing chambers 600 a , 600 b share a remote plasma source 606 , a gas panel 608 , and a vacuum pump 610 .
  • the processing chamber 600 a is coupled to the remote plasma source 606 and the gas panel 608 via an input manifold 612 and the processing chamber 600 b is coupled to the remote plasma source 606 and the gas panel 608 via an input manifold 614 .
  • the input manifolds 612 , 614 may be positioned so that the distances between the input manifolds 612 , 614 to the remote plasma source 606 are minimized to reduce radicals in the plasma from recombination while flowing to the processing volumes 624 , 626 .
  • the input manifolds 612 , 614 are positioned at locations that are at an angle ⁇ from a horizontal line 630 . In one embodiment, the angle ⁇ is about 45 degrees.
  • FIG. 8 is a schematic plan view of a processing system 800 according to one embodiment of the present invention.
  • the processing system 800 includes one or more twin volume processing chambers 600 .
  • the processing system 800 includes a vacuum-tight processing platform 804 , a factory interface 812 , and a system controller 810 .
  • the platform 804 includes a plurality of twin volume processing chambers 822 , 824 , 826 and a load-lock chamber 816 that are coupled to a transfer chamber 802 .
  • the transfer chamber 802 may have four sides 806 . Each side 806 is configured to connect with a twin volume processing chamber 600 or load-lock chamber 816 .
  • Three twin volume processing chambers 822 , 824 , 826 are coupled to three sides 806 of the transfer chamber 802 as shown in FIG. 8 .
  • the factory interface 812 is coupled to the transfer chamber 802 through the dual load-lock chamber 816 .
  • the factory interface 812 includes at least one docking station 814 and at least one factory interface robot 820 to facilitate transfer of substrates.
  • the docking station 814 is configured to accept one or more front opening unified pod (FOUP) 818 .
  • FOUP front opening unified pod
  • Each of the twin volume processing chambers 822 , 824 , 826 includes two processing volumes processing volumes 822 a , 822 b , 824 a , 824 b , 826 a , 826 b respectively positioned side by side.
  • Each of the twin volume processing chambers 822 , 824 , 826 is configured to process two substrates simultaneously.
  • the substrate transfer robot 808 includes two robot blades 808 a , 808 b arranged side-by-side for transfer two substrates among the twin volume processing chambers 822 , 824 , 826 and the load-lock chamber 816 . This twin volume configuration increases productivity without increasing resources, such as substrate transfer robot, and gas panels for each processing chamber.
  • the twin volume processing chambers 822 , 824 , 826 may have different configurations to perform different processing steps in a processing recipe.
  • the twin volume processing chambers 822 , 824 , 826 may have the same configuration to perform the same treatments to the substrates.
  • At least one of the twin volume processing chambers 822 , 824 , 826 is substantially similar to the twin volume processing chamber 600 and configured to process two substrates simultaneously in two processing volumes by performing UV treatment and chemical treatment to the substrates, consecutively, alternatively or simultaneously.
  • FIG. 9 is a diagram showing a method 900 for processing a substrate according to one embodiment of the present invention.
  • the method 900 may be performed in a standalone processing chamber, such as the processing chamber 100 of FIG. 1 , the twin volume process chamber 600 of FIG. 6 , or in a processing chamber coupled to a processing system, such as the processing system 800 of FIG. 8 or a processing system including a single volume processing chamber 100 of FIG. 1 .
  • the method 900 is configured to recover low k dielectric material using UV treatment and chemical treatment within the same processing chamber.
  • the method 900 may be used to perform a one stop recovery for a low k dielectric film based on SiCOH material formed by a plasma-enhanced chemical vapor deposition.
  • vapor phase silylation and cure are combined to recover the low k film properties and repair side wall damage.
  • methyl or phenyl containing silylation compounds react with the Si—OH groups in low k films to convert hydrophilic Si—OH groups into hydrophobic Si—O—Si(CH 3 ) 3 groups against moisture uptake, thus decreasing dielectric constant.
  • UV cure pores in the low k film are sealed by curing.
  • a substrate is received on a substrate support disposed in a processing volume of a processing chamber.
  • the processing volume is disposed under a UV transparent gas distribution showerhead that is substantially transparent to lights within UV wavelength.
  • the UV transparent gas distribution showerhead allows processing gas for chemical treatment to be distributed across the substrate in a substantially even manner.
  • the UV transparent gas distribution showerhead also allows passages of UV light to enable UV curing of the substrate in the processing volume.
  • a chemical treatment is performed by flowing one or more processing gas from the UV transparent gas distribution showerhead above the substrate.
  • the one or more processing gas is delivered towards the substrate through the UV transparent gas distribution showerhead from a region between a UV transparent window and the UV transparent gas distribution showerhead.
  • the chemical treatment is vapor silylation using a silylation agent selected from a group comprising hexamethyldisilazane (HMDS), tetramethyldisilazane (TMDS), trimethylchlorosilane (TMCS), dimethyldichlorosilane (DMDCS), methyltrichlorosilane (MTCS), methyltrichlorosilane (MTCS), trimethylmethoxysilane (TMMS), phenyltrimethoxysilane (PTMOS), phenyldimethylchlorsilane (PDMCS), dimethylaminotrimethylsilane (DMATMS), bis(dimethylamino)dimethylsilane (BDMADMS), or combinations thereof.
  • HMDS hexamethyldisilazane
  • TMDS tetramethyldisilazane
  • TMCS trimethylchlorosilane
  • DDCS methyldichlorosilane
  • MTCS
  • the time during for the vapor silylation may be from about 1 min to about 10 min.
  • the silylation temperature may be from about 100 C to about 400 C.
  • the flow rate of the silylation agent may be between about 0.5 g to about 5 g/min and the chamber pressure may be between about 2 mTorr and about 500 Torr.
  • the substrate is cured in the same processing chamber using UV energy from a UV unit disposed above the UV transparent gas distribution showerhead and the UV transparent window.
  • the UV cure temperature may be from room temperature to about 400 C.
  • the UV cure time may be from about 10 sec to about 180 sec.
  • a UV cure gas may be flown to the processing chamber through the UV transparent gas distribution showerhead.
  • an inert cure gas such as He and Ar, may be flown to the processing chamber at a flow rate between about 8 slm to about 24 slm.
  • the silylation in box 920 and UV curing in box 930 can be performed simultaneously.
  • the UV unit turns on/off at the same time with the silylation process.
  • the silylation agent flow rate, UV power, wafer temperature, chamber pressure of silylation and UV cure process, silylation time and UV on time are adjustable.
  • the UV cure in box 930 may be performed before silylation treatment in box 920 .
  • the UV cure in box 930 and the silylation in box 920 can be performed alternately.
  • the UV cure is performed to remove some water from surface/side wall.
  • the silylation is performed to recover surface hydrophobicity.
  • the UV cure is then performed to further recover low k film damage.
  • the silylation agent flow rate, UV power, wafer temperature, chamber pressure of silylation and UV cure process, silylation time and UV on time are adjustable.
  • the silylation in box 920 and the UV cure in box 930 are performed in a pulsed in-situ manner.
  • the silylation treatment is performed in a pulse of about 5-10 seconds followed by a pulse of UV cure for about 5-10 seconds.
  • Embodiments of the present invention provide apparatus and methods for performing chemical treatment and UV curing for low-k film recovery in a single chamber.
  • Embodiments of the present invention also enable plasma cleaning of the UV curing chamber by including a remote plasma source. As a result, costs of production are reduced by reducing the number of chambers used. Efficiency of the product is increased by eliminating substrate transfer and additional chamber pump outs. Additionally, embodiments of the present invention also enables incorporating various treatment features and functions within a minimum space, thereby, enabling cost-effective implementation of k-recovery in a manufacturing environment.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Embodiments of the present invention provide apparatus and methods for performing UV treatment and chemical treatment and/or deposition in the same chamber. One embodiment of the present invention provides a processing chamber including a UV transparent gas distribution showerhead disposed above a substrate support located in an inner volume of the processing chamber, a UV transparent window disposed above the UV transparent gas distribution showerhead, and a UV unit disposed outside the inner volume. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 61/473,577 filed Apr. 8, 2011, which is herein incorporated by reference.
  • BACKGROUND
  • 1. Field
  • Embodiment of the present invention generally relates to a method and apparatus for fabricating devices on a semiconductor substrate. More particularly, embodiments of the present invention provide apparatus and methods for performing UV treatment and chemical treatment and/or deposition in the same chamber.
  • 2. Description of the Related Art
  • As the size of the electronic devices is reduced, new materials with a low dielectric constant (k), such as materials with dielectric value as low as 2.2, are used in forming the electronic devices.
  • Plasma-deposited porous low k films are one class of materials that is able to satisfy such a requirement. The presence of pores and carbon, which contributes to low dielectric value, creates significant process integration challenges since the pores are susceptible to etching, ashing, and plasma damages. Therefore, a k-restoration process is usually needed to restore the porous low-k films after formation and/or after integration.
  • Traditionally, two different chambers are needed for k-restoration. One chamber for chemical treatment of the low-k films, such as silylation, or deposition of a thin film for surface treatment of the low-k films. A different chamber is used for pore sealing using UV (ultra violet) curing. Traditional k-restoration is performed in separate chambers because the chemical surface treatment uses a showerhead to supply a processing gas including halogen or ozone while the UV chamber uses a quartz window which usually is not compatible with halogen and ozone. However, the two chamber k-restoration process increases cost of ownership by requiring two chambers and additional time for substrate transfer.
  • Therefore, there is a need for an improved apparatus and method for k-restoration processes.
  • SUMMARY
  • Embodiments of the present invention generally provide apparatus and methods for processing a substrate. Particularly, embodiments of the present inventions provide a processing chamber that is capable of performing UV treatment as well as chemical or surface treatment.
  • One embodiment of the present invention provides a processing chamber. The processing chamber comprises a chamber body defining an inner volume, a substrate support disposed in the inner volume, and a UV transparent gas distribution showerhead disposed above the substrate support. The processing chamber further comprises a UV transparent window disposed above the UV transparent gas distribution showerhead. A gas volume is formed between the UV transparent gas distribution showerhead and the UV transparent window. The gas volume and the inner volume are in fluid communication through a plurality of through holes formed through the UV transparent gas distribution showerhead. The processing chamber further comprises a UV unit disposed outside the inner volume. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
  • Another embodiment of the present invention provides a processing system. The processing system comprises a transfer chamber defining a transfer volume, a substrate transfer robot disposed in the transfer volume, and processing chamber coupled to the transfer chamber. The processing chamber comprises a chamber body defining an inner volume, a substrate support disposed in the inner volume, and a UV transparent gas distribution showerhead disposed above the substrate support. The processing chamber further comprises a UV transparent window disposed above the UV transparent gas distribution showerhead. A gas volume is formed between the UV transparent gas distribution showerhead and the UV transparent window. The gas volume and the inner volume are in fluid communication through a plurality of through holes formed through the UV transparent gas distribution showerhead. The processing chamber further comprises a UV unit disposed outside the UV transparent window. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
  • Yet another embodiment of the present invention provides a method for processing a substrate. The method comprises receiving a substrate on a substrate support disposed in a processing chamber. The processing chamber comprises a UV transparent gas distribution showerhead disposed above the substrate support, a UV transparent window disposed above the UV transparent gas distribution showerhead, and a UV unit disposed outside the UV transparent window. The UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead. The method further comprises chemically treating the substrate by flowing one or more processing gas through the UV transparent gas distribution showerhead from a gas volume defined between the UV transparent window and the UV transparent gas distribution showerhead, and curing the substrate by directing a UV energy towards the substrate from the UV unit through the UV transparent gas distribution showerhead and the UV transparent window.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic sectional view of a processing chamber according to one embodiment of the present invention.
  • FIG. 2 is a schematic top view of the processing chamber of FIG. 1 with a UV unit and a window removed.
  • FIG. 3A is a schematic partial perspective view of a clamping member showing gas channel according to one embodiment of the present invention.
  • FIG. 3B is a schematic partial section view of the clamping member of FIG. 3A.
  • FIG. 4 is a partial sectional view of a showerhead clamping assembly including a plenum for gas flow.
  • FIG. 5A is a partial sectional view of a UV transparent showerhead according to one embodiment of the present invention.
  • FIG. 5B is a partial sectional view of a UV transparent window according to one embodiment of the present invention.
  • FIG. 6 is a sectional view of a twin volume processing chamber according to one embodiment of the present invention.
  • FIG. 7 is a top view of the twin volume processing chambers of FIG. 6.
  • FIG. 8 is a schematic plan view of a processing system according to one embodiment of the present invention.
  • FIG. 9 is a diagram showing a method for processing a substrate according to one embodiment of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
  • DETAILED DESCRIPTION
  • Embodiment of the present invention generally relates to apparatus and for processing a substrate. More particularly, embodiments of the present invention provide apparatus and methods for performing UV treatment and chemical treatment and/or deposition in the same chamber.
  • FIG. 1 is a schematic sectional view of a processing chamber 100 according to one embodiment of the present invention. The processing chamber 100 is configured to processing a substrate using UV energy, one or more processing gases, and remotely generated plasma.
  • The processing chamber 100 includes a chamber body 102 and a chamber lid 104 disposed over the chamber body. The chamber body 102 and the chamber lid 104 form an inner volume 106. A substrate support assembly 108 is disposed in the inner volume 106. The substrate support assembly 108 receives and supports a substrate 110 thereon for processing.
  • A UV transparent gas distribution showerhead 116 is hung in the inner volume 106 through a central opening 112 of the chamber 104 by an upper clamping member 118 and a lower clamping member 120. The UV transparent gas distribution showerhead 116 is positioned facing the substrate support assembly 108 to distribute one or more processing gases across a processing volume 122 which is below the UV transparent gas distribution showerhead 116 and above the substrate support assembly 108.
  • A UV transparent window 114 is disposed above the UV transparent gas distribution showerhead 116. In one embodiment, the UV transparent window 114 is supported by the upper clamping member 118 and secured by a window clamping member 124. The window UV transparent 114 is positioned at a distance 126 above the UV transparent gas distribution showerhead 116 forming a gas volume 128 between the UV transparent window 114 and the UV transparent gas distribution showerhead 116.
  • The UV transparent window 114 and the UV transparent gas distribution showerhead 116 are at least partially transparent to thermal energy within the UV wavelengths.
  • A UV source 130 is disposed above the UV transparent window 114. The UV source 130 is configured to generate UV energy and project the UV energy towards the processing volume 122 through the UV transparent window 114 and the UV transparent gas distribution showerhead 116. A cover 132 may be disposed above the UV source 130. In one embodiment, the inner surface 134 of the cover 132 may be shaped to assist projection of the UV energy from the UV source 130 towards the processing volume 122.
  • In one embodiment, the UV source 130 includes one or more UV lights 136 to generate UV radiation. More detailed descriptions of suitable UV sources can be found in U.S. Pat. No. 7,777,198, and United States Patent Publication 2006/0249175.
  • The processing chamber 100 includes flow channels configured to supply one or more processing gases across the substrate 110 disposed over the substrate support assembly 108.
  • In one embodiment, one or more processing gases are delivered to the processing volume 122 through flow channels formed in the upper clamping member 118 and the UV transparent gas distribution showerhead 116.
  • The processing chamber 100 includes a gas panel 140 configured to generate and/or mix processing gases from one or more liquid sources 138 a, 138 b, 138 c. The gas panel 140 is coupled to an input manifold 144 via one or more gas lines 142 a, 142 b, 142 c. In one embodiment, the one or more gas lines 142 a, 142 b, 142 c are heated to prevent any condensation of the processing gas therein during transfer. In one embodiment, the gas panel 140 is configured to provide one or more processing gases for chemical treatments of the substrate 110 disposed in the processing volume 122.
  • The processing chamber 100 also include a remote plasma source 154 connected to the input manifold 144 via a plasma channel 156. In one embodiment, the remote plasma source 154 may be used to supply plasma for cleaning inner surfaces of the processing chamber 100.
  • The input manifold 144 has internal channels 146 connecting one or more feedthroughs 148 to an outlet 150. In one embodiment, each gas line 142 a, 142 b, 142 c and the plasma channel 156 is coupled to one of the feedthroughs 148. The input manifold 144 may be disposed over the chamber lid 104 and coupled to the upper clamping member 118 so that the outlet 150 connects to a feeding slot 152 formed in the upper clamping member 118. The input manifold 144 may be machined from a suitable material, such as metals. In one embodiment, the input manifold 144 is machined from aluminum.
  • In one embodiment, flow channels are formed in the upper clamping member 118 so that the processing gas from the input manifold 144 enters the gas volume 128 above the UV transparent gas distribution showerhead 116 in a substantially even manner. The processing gas can then flow through the UV transparent gas distribution showerhead 116 to the processing volume 122.
  • In one embodiment, the flow channels in the upper clamping member 118 include an inlet plenum 160, a vertical slot 158 connecting the inlet plenum 160 to the feeding slot 152, and a plurality of spoke apertures 162 connecting the inlet plenum 160 to the gas volume 128. In one embodiment, the plurality of spoke apertures 162 are evenly distributed along the inlet plenum 160 to achieve even gas distribution within the gas volume 128. In one embodiment, the inlet plenum 160 is formed by a groove 176 of the upper clamping member 118 and a groove 178 of the lower clamping member 120. By combining volumes from the grooves 176 and 178, the volume of the inlet plenum 160 is increased without changing dimensions of the upper clamping member 118 and lower clamping member 120. By increasing the volume of the inlet plenum 160, embodiments of the present invention reduce the pressure drop of the incoming gas flow.
  • The UV transparent gas distribution showerhead 116 includes a plurality of through holes 164 that allow processing gas to flow from the gas volume 128 to the processing volume 122. In one embodiment, the plurality of through holes 164 are evenly distributed across the UV transparent gas distribution showerhead 116.
  • The processing chamber 100 also includes an inner liner 166 and an outer liner 168 disposed in the inner volume 106 around the substrate support assembly 108. The inner liner 166 and the outer liner 168 shield the chamber body 102 from processing chemistry in the inner volume 106. The inner liner 166 and outer liner 168 also form an exhaust path for the processing chamber 100. In one embodiment, an exhaust plenum 170 is formed between the inner liner 166 and the outer liner 168. The exhaust plenum 170 radially surrounds the processing volume 122. A plurality of apertures 172 are formed through the inner liner 166 connecting the exhaust plenum 170 and the processing volume 122. A vacuum pump 174 is in fluid communication with the exhaust plenum 170 so that the processing volume 122 can be pumped out through the plurality apertures 172 and the exhaust plenum 170.
  • FIG. 2 is a schematic top view of the processing chamber 100 with the UV source 130 and the UV transparent window 114 removed. The arrows illustrate the flow path from the input manifold 144 to the gas volume 128.
  • FIG. 3A is a schematic partial perspective view of the upper clamping member 118 showing gas channels in dotted lines. FIG. 3B is a schematic partial perspective view of the upper clamping member 118 from a different angel. The upper clamping member 118 includes a ring shaped body 304, a flange 302 extending radially outward from an upper portion 304 u of the ring shaped body 304, and a lower step 306 extending radially inward from a lower portion 304L of the ring shaped body 304. The flange 302 allows the upper clamping member 118 to mount on a chamber body with a circular top opening. The step 306 has a top surface 308 for supporting a window therein.
  • The feeding slot 152 is formed in the flange 302 and opens to an outer surface 312 of the flange 302. The groove 176 is formed from a bottom surface 310 of the step 306. The vertical slot 158 connects the feeding slot 152 to the groove 176. The plurality of spoke apertures 162 are formed in the step 306 between an inner surface 314 of the step 306 and an inner wall 316 of the groove 176. During processing, the processing gas enters the feed slot 152, passes through the vertical slot 158, expands in the groove 176, and then flows through the plurality of spoke apertures 162. In one embodiment, the feeding slot 152 and the vertical slot 158 are elongated in the direction perpendicular to the flow to increase the size of the flow channel within the upper clamping member 118. By increasing the size of the feeding slot 152 and the vertical slot 158, pressure drop in the gas flow can be reduced.
  • In one embodiment, two or more columns 318 may be formed in the groove 176. The columns 318 are used to attach the lower clamping member 120.
  • FIG. 4 is a schematic partial sectional view of showing that the lower clamping member 120 is attached to the upper clamping member 118 at the column 318 by one or more screws 402. FIG. 4 also illustrates that the inlet plenum 160 are formed by matching grooves 176, 178 of the upper clamping member 118 and lower clamping member 120. By including volumes from both the upper and lower clamping members 118, 120, volume of the inlet plenum 160 is increased without changing other dimensions of the chamber components. The increased volume of the inlet plenum 160 further reduces pressure drop in the flow path during processing.
  • As discussed above, the processing chamber 100 is capable of performing both chemical or surface treatment and UV treatment. For example, in the embodiment shown in FIG. 1, a UV treatment to the substrate 110 disposed on the processing volume 122 can be performed by delivering UV energy from the UV source 130 through the UV transparent window 114 and the UV transparent gas distribution showerhead 116.
  • A chemical treatment to the substrate 110 disposed in the processing volume 122 can be performed by supplying one or more processing gases from the gas panel 140 to the processing volume 122 through a flow path including the UV transparent gas distribution showerhead 116. In the embodiment shown in FIG. 1, the flow path include the plasma channel 156, the internal channels 146 in the input manifold 144, the feeding slot 152, the vertical slot 158, the inlet plenum 160, the plurality of spoke apertures 162, the gas volume 128, and the plurality of through holes 164 in the UV transparent gas distribution showerhead 116. The UV transparent gas distribution showerhead 116 and the UV transparent window 114 are not only substantially transparent to lights within the UV wavelength but also resistive to the chemistry in the processing gas.
  • FIG. 5A is a partial sectional view of a UV transparent gas distribution showerhead 500 according to one embodiment of the present invention. The UV transparent gas distribution showerhead 500 is substantially transparent to lights within the UV wavelength and resistive against processing chemistry including halogen, such as fluorine, or ozone. The UV transparent gas distribution showerhead 500 may be used in place of the UV transparent gas distribution showerhead 116 in the processing chamber 100.
  • The UV transparent gas distribution showerhead 500 includes a body 502. The body 502 may shape substantially like a disk having an upper surface 508 and a lower surface 510 substantially parallel to each other. A plurality of through holes 506 are formed through the body 502. The through holes 506 open to the upper surface 508 and the lower surface 510 and are configured to allow a processing gas evenly distributed through the body 502. The body 502 is formed from a material that is substantially transparent to lights within the UV wavelength. In one embodiment, the body 502 is formed from quartz.
  • The UV transparent gas distribution showerhead 500 also includes a coating 504 covering the upper surface 508, the lower surface 510, and inner surface 512 forming the plurality of through holes 506. The coating 504 protects the body 502 from being damaged by processing gas passing through the through holes 506 without blocking the UV wavelengths. In one embodiment, the coating 504 is resistant against processing chemistry including halogen, such as fluorine, or ozone. The coating 504 may comprise aluminum oxynitride, sapphire, or other suitable materials. The coating 504 may be deposited on the body 502 using common deposition technologies, such as chemical vapor deposition, physical vapor deposition, spraying coating. The thickness of the coating 504 may be selected to be thick enough to provide protection to the body 502 without affecting UV transparency of the body 502. In one embodiment, the coating 504 is an aluminum oxynitride film of a thickness up to about 500 micro meters formed by chemical vapor deposition or physical vapor deposition.
  • FIG. 5B is a partial sectional view of a UV transparent window 520 according to one embodiment of the present invention. Similar to the UV transparent gas distribution showerhead 500, the UV transparent window 520 is also substantially transparent to lights within the UV wavelength and resistive against processing chemistry including halogen, such as fluorine, or ozone. The UV transparent gas distribution showerhead 500 may be used in place of the UV transparent gas distribution showerhead 116 in the processing chamber 100.
  • The UV transparent window 520 includes a body 522 formed from a UV transparent material and a coating 524 formed at least on a lower surface 526 of the body 522. The body 522 may be formed from any UV transparent material. In one embodiment, the body 522 is formed from quartz. The coating 524 protects the body 522 from being damaged when exposed to a processing gas. In one embodiment, the coating 524 is resistant against processing chemistry including halogen, such as fluorine, or ozone. The coating 524 include aluminum oxynitride, sapphire, or other suitable materials. The coating 524 may be deposited on the body 522 using common deposition technologies, such as chemical vapor deposition, physical vapor deposition, spraying coating. The thickness of the coating 524 may be selected to be thick enough to provide protection to the body 522 without affecting UV transparency of the body 522. In one embodiment, the coating 524 is an aluminum oxynitride film of a thickness up to about 500 micro meters formed by chemical vapor deposition or physical vapor deposition.
  • FIG. 6 is a sectional view of a twin volume processing chamber 600 according to one embodiment of the present invention. FIG. 7 is a top view of the twin volume processing chamber 600. The twin volume processing chamber 600 includes two processing chambers 600 a, 600 b that are substantially similar to the processing chamber 100 of FIG. 1.
  • The processing chambers 600 a, 600 b share a chamber body 602 and a chamber lid 604. The processing chambers 600 a, 600 b are mirror images of one another about a central plane 628.
  • The processing chamber 600 a defines a processing volume 624 for processing a single substrate. The processing chamber 600 a includes a UV transparent window 616 and a UV transparent gas distribution showerhead 620 disposed above the processing volume 624. The processing chamber 600 b defines a processing volume 626 for processing a single substrate. The processing chamber 600 b includes a UV transparent window 618 and a UV transparent gas distribution showerhead 622 disposed above the processing volume 626.
  • The processing chambers 600 a, 600 b share a remote plasma source 606, a gas panel 608, and a vacuum pump 610. The processing chamber 600 a is coupled to the remote plasma source 606 and the gas panel 608 via an input manifold 612 and the processing chamber 600 b is coupled to the remote plasma source 606 and the gas panel 608 via an input manifold 614. The input manifolds 612, 614 may be positioned so that the distances between the input manifolds 612, 614 to the remote plasma source 606 are minimized to reduce radicals in the plasma from recombination while flowing to the processing volumes 624, 626. In one embodiment, the input manifolds 612, 614 are positioned at locations that are at an angle α from a horizontal line 630. In one embodiment, the angle α is about 45 degrees.
  • FIG. 8 is a schematic plan view of a processing system 800 according to one embodiment of the present invention. The processing system 800 includes one or more twin volume processing chambers 600.
  • The processing system 800 includes a vacuum-tight processing platform 804, a factory interface 812, and a system controller 810. The platform 804 includes a plurality of twin volume processing chambers 822, 824, 826 and a load-lock chamber 816 that are coupled to a transfer chamber 802. In one embodiment, the transfer chamber 802 may have four sides 806. Each side 806 is configured to connect with a twin volume processing chamber 600 or load-lock chamber 816. Three twin volume processing chambers 822, 824, 826 are coupled to three sides 806 of the transfer chamber 802 as shown in FIG. 8.
  • The factory interface 812 is coupled to the transfer chamber 802 through the dual load-lock chamber 816. In one embodiment, the factory interface 812 includes at least one docking station 814 and at least one factory interface robot 820 to facilitate transfer of substrates. The docking station 814 is configured to accept one or more front opening unified pod (FOUP) 818.
  • Each of the twin volume processing chambers 822, 824, 826 includes two processing volumes processing volumes 822 a, 822 b, 824 a, 824 b, 826 a, 826 b respectively positioned side by side. Each of the twin volume processing chambers 822, 824, 826 is configured to process two substrates simultaneously. The substrate transfer robot 808 includes two robot blades 808 a, 808 b arranged side-by-side for transfer two substrates among the twin volume processing chambers 822, 824, 826 and the load-lock chamber 816. This twin volume configuration increases productivity without increasing resources, such as substrate transfer robot, and gas panels for each processing chamber.
  • In one embodiment, the twin volume processing chambers 822, 824, 826 may have different configurations to perform different processing steps in a processing recipe. Alternatively, the twin volume processing chambers 822, 824, 826 may have the same configuration to perform the same treatments to the substrates.
  • In one embodiment, at least one of the twin volume processing chambers 822, 824, 826 is substantially similar to the twin volume processing chamber 600 and configured to process two substrates simultaneously in two processing volumes by performing UV treatment and chemical treatment to the substrates, consecutively, alternatively or simultaneously.
  • FIG. 9 is a diagram showing a method 900 for processing a substrate according to one embodiment of the present invention. The method 900 may be performed in a standalone processing chamber, such as the processing chamber 100 of FIG. 1, the twin volume process chamber 600 of FIG. 6, or in a processing chamber coupled to a processing system, such as the processing system 800 of FIG. 8 or a processing system including a single volume processing chamber 100 of FIG. 1.
  • The method 900 is configured to recover low k dielectric material using UV treatment and chemical treatment within the same processing chamber.
  • For example, the method 900 may be used to perform a one stop recovery for a low k dielectric film based on SiCOH material formed by a plasma-enhanced chemical vapor deposition. Particularly, vapor phase silylation and cure are combined to recover the low k film properties and repair side wall damage. In vapor phase silylation, methyl or phenyl containing silylation compounds react with the Si—OH groups in low k films to convert hydrophilic Si—OH groups into hydrophobic Si—O—Si(CH3)3 groups against moisture uptake, thus decreasing dielectric constant. In UV cure, pores in the low k film are sealed by curing.
  • In box 910 of method 900, a substrate is received on a substrate support disposed in a processing volume of a processing chamber. In one embodiment, the processing volume is disposed under a UV transparent gas distribution showerhead that is substantially transparent to lights within UV wavelength. The UV transparent gas distribution showerhead allows processing gas for chemical treatment to be distributed across the substrate in a substantially even manner. The UV transparent gas distribution showerhead also allows passages of UV light to enable UV curing of the substrate in the processing volume.
  • In box 920 of method 900, a chemical treatment is performed by flowing one or more processing gas from the UV transparent gas distribution showerhead above the substrate. In one embodiment, the one or more processing gas is delivered towards the substrate through the UV transparent gas distribution showerhead from a region between a UV transparent window and the UV transparent gas distribution showerhead.
  • In one embodiment, the chemical treatment is vapor silylation using a silylation agent selected from a group comprising hexamethyldisilazane (HMDS), tetramethyldisilazane (TMDS), trimethylchlorosilane (TMCS), dimethyldichlorosilane (DMDCS), methyltrichlorosilane (MTCS), methyltrichlorosilane (MTCS), trimethylmethoxysilane (TMMS), phenyltrimethoxysilane (PTMOS), phenyldimethylchlorsilane (PDMCS), dimethylaminotrimethylsilane (DMATMS), bis(dimethylamino)dimethylsilane (BDMADMS), or combinations thereof. In one embodiment, the time during for the vapor silylation may be from about 1 min to about 10 min. The silylation temperature may be from about 100 C to about 400 C. The flow rate of the silylation agent may be between about 0.5 g to about 5 g/min and the chamber pressure may be between about 2 mTorr and about 500 Torr.
  • In box 930 of the method 900, the substrate is cured in the same processing chamber using UV energy from a UV unit disposed above the UV transparent gas distribution showerhead and the UV transparent window. In one embodiment, the UV cure temperature may be from room temperature to about 400 C. The UV cure time may be from about 10 sec to about 180 sec. A UV cure gas may be flown to the processing chamber through the UV transparent gas distribution showerhead. In one embodiment, an inert cure gas, such as He and Ar, may be flown to the processing chamber at a flow rate between about 8 slm to about 24 slm.
  • In another embodiment, the silylation in box 920 and UV curing in box 930 can be performed simultaneously. The UV unit turns on/off at the same time with the silylation process. The silylation agent flow rate, UV power, wafer temperature, chamber pressure of silylation and UV cure process, silylation time and UV on time are adjustable.
  • In another embodiment, the UV cure in box 930 may be performed before silylation treatment in box 920.
  • In another embodiment, the UV cure in box 930 and the silylation in box 920 can be performed alternately. First, the UV cure is performed to remove some water from surface/side wall. The silylation is performed to recover surface hydrophobicity. The UV cure is then performed to further recover low k film damage. The silylation agent flow rate, UV power, wafer temperature, chamber pressure of silylation and UV cure process, silylation time and UV on time are adjustable.
  • In yet another embodiment, the silylation in box 920 and the UV cure in box 930 are performed in a pulsed in-situ manner. The silylation treatment is performed in a pulse of about 5-10 seconds followed by a pulse of UV cure for about 5-10 seconds.
  • Embodiments of the present invention provide apparatus and methods for performing chemical treatment and UV curing for low-k film recovery in a single chamber. Embodiments of the present invention also enable plasma cleaning of the UV curing chamber by including a remote plasma source. As a result, costs of production are reduced by reducing the number of chambers used. Efficiency of the product is increased by eliminating substrate transfer and additional chamber pump outs. Additionally, embodiments of the present invention also enables incorporating various treatment features and functions within a minimum space, thereby, enabling cost-effective implementation of k-recovery in a manufacturing environment.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A processing chamber, comprising:
a chamber body defining an inner volume;
a substrate support disposed in the inner volume;
a UV transparent gas distribution showerhead disposed above the substrate support;
a UV transparent window disposed above the UV transparent gas distribution showerhead, wherein a gas volume is formed between the UV transparent gas distribution showerhead and the UV transparent window, and the gas volume and the inner volume are in fluid communication through the UV transparent gas distribution showerhead; and
a UV unit disposed outside the UV transparent window, wherein the UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead.
2. The processing chamber of claim 1, wherein the UV transparent gas distribution showerhead comprises:
a body formed from a substantially UV transparent material; and
a coating configured to protect the body from exposing to processing gases flowing through the UV transparent gas distribution showerhead.
3. The processing chamber of claim 2, wherein the body is formed from quartz.
4. The processing chamber of claim 3, wherein the coating comprises an aluminum oxynitride film.
5. The processing chamber of claim 4, wherein the aluminum oxynitride film is formed by plasma enhanced chemical deposition.
6. The processing chamber of claim 3, wherein the coating comprises sapphire.
7. The processing chamber of claim 1, wherein the UV transparent window comprises:
a body formed from quartz; and
a coating configured to protect the body from exposure to processing gases in the gas volume.
8. The processing chamber of claim 1, further comprising:
a clamping member disposed in an upper opening of the chamber body, wherein the clamping member is disposed between the UV transparent gas distribution showerhead and the UV transparent window, and a gas flow path is formed within the clamping member.
9. The processing chamber of claim 8, wherein the clamping member has:
a ring shaped body;
a flange extending radially outwards from an upper portion of the ring shaped body, wherein the flange is coupled to the chamber body; and
a step extending radially inwards from a lower portion of the ring shaped body, wherein the UV transparent gas distribution showerhead is disposed on a top surface of the step.
10. The processing chamber of claim 9, wherein the gas flow path includes:
a horizontal slot formed in the flange, wherein the horizontal slot opens at an outer surface of the flange;
a vertical slot formed in the ring shaped body, wherein the vertical slot is connected to the horizontal slot at an upper end;
an plenum formed in the lower portion of the ring shaped body, wherein a lower end of the vertical slot opens to the plenum; and
a plurality of spoke apertures formed through the step, wherein each of the plurality of apertures has a first end opening to the plenum and a second end opening to an inner surface of the step.
11. The processing chamber of claim 9, further comprising an input manifold coupled to the clamping member, wherein an outlet of the input manifold is connected to the gas flow path formed in the clamping member.
12. The processing chamber of claim 11, further comprising:
a remote plasma source connected to the input manifold; and
a gas panel connected to the input manifold.
13. A processing system, comprising:
a transfer chamber defining a transfer volume;
a substrate transfer robot disposed in the transfer volume; and
a twin volume processing chamber coupled to the transfer chamber, wherein the twin volume processing chamber comprises:
a chamber body defining a first inner volume and a second inner volume;
a first substrate support disposed in the first inner volume;
a first UV transparent gas distribution showerhead disposed above the first substrate support;
a first UV transparent window disposed above the first UV transparent gas distribution showerhead, wherein a first gas volume is formed between the first UV transparent gas distribution showerhead and the first UV transparent window, and the first gas volume and the first inner volume are in fluid communication through the first UV transparent gas distribution showerhead;
a first UV unit disposed outside the first UV transparent window, wherein the first UV unit is configured to direct UV lights towards the first substrate support through the first UV transparent window and the first UV transparent gas distribution showerhead;
a second substrate support disposed in the second inner volume;
a second UV transparent gas distribution showerhead disposed above the second substrate support;
a second UV transparent window disposed above the second UV transparent gas distribution showerhead, wherein a second gas volume is formed between the second UV transparent gas distribution showerhead and the second UV transparent window, and the second gas volume and the second inner volume are in fluid communication through the second UV transparent gas distribution showerhead; and
a second UV unit disposed outside the second UV transparent window, wherein the second UV unit is configured to direct UV lights towards the second substrate support through the second UV transparent window and the second UV transparent gas distribution showerhead.
14. The system of claim 13, wherein the twin volume processing chamber further comprises:
a first clamping member disposed between the first UV transparent gas distribution showerhead and the first UV transparent window, wherein a first gas flow path is formed within the first clamping member; and
a second clamping member disposed between the second UV transparent gas distribution showerhead and the second UV transparent window, wherein a second gas flow path is formed within the second clamping member, and the first and second flow paths are mirror images of one another.
15. The system of claim 13, wherein the first UV transparent gas distribution showerhead comprises:
a body formed from a UV transparent material; and
a coating configured to protect the body from exposing to processing gases flowing through the first UV transparent gas distribution showerhead.
16. The system of claim 13, wherein the first UV transparent window comprises:
a body formed from quartz; and
a coating configured to protect the body from exposing to processing gases in the first gas volume.
17. A method for processing a substrate, comprising:
receiving a substrate on a substrate support disposed in an inner volume of a processing chamber, wherein the processing chamber comprises:
a UV transparent gas distribution showerhead disposed above the substrate support;
a UV transparent window disposed above the UV transparent gas distribution showerhead; and
a UV unit disposed outside the inner volume, wherein the UV unit is configured to direct UV lights towards the substrate support through the UV transparent window and the UV transparent gas distribution showerhead;
chemically treating the substrate by flowing one or more processing gas through the UV transparent gas distribution showerhead from a gas volume defined between the UV transparent window and the UV transparent gas distribution showerhead; and
curing the substrate by directing a UV energy towards the substrate from the UV unit through the UV transparent gas distribution showerhead and the UV transparent window.
18. The method of claim 17, wherein chemically treating the substrate comprises flowing one or more processing gas comprising a silylation agent for chemically treating a low k film formed on the substrate.
19. The method of claim 18, wherein the chemical treating and the curing are performed simultaneously.
20. The method of claim 18, wherein the chemical treating is performed before the curing.
US13/440,720 2011-04-08 2012-04-05 Apparatus and method for uv treatment, chemical treatment, and deposition Abandoned US20120258259A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/440,720 US20120258259A1 (en) 2011-04-08 2012-04-05 Apparatus and method for uv treatment, chemical treatment, and deposition
US15/184,675 US10570517B2 (en) 2011-04-08 2016-06-16 Apparatus and method for UV treatment, chemical treatment, and deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161473577P 2011-04-08 2011-04-08
US13/440,720 US20120258259A1 (en) 2011-04-08 2012-04-05 Apparatus and method for uv treatment, chemical treatment, and deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/184,675 Division US10570517B2 (en) 2011-04-08 2016-06-16 Apparatus and method for UV treatment, chemical treatment, and deposition

Publications (1)

Publication Number Publication Date
US20120258259A1 true US20120258259A1 (en) 2012-10-11

Family

ID=46966323

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/440,720 Abandoned US20120258259A1 (en) 2011-04-08 2012-04-05 Apparatus and method for uv treatment, chemical treatment, and deposition
US15/184,675 Active 2033-01-18 US10570517B2 (en) 2011-04-08 2016-06-16 Apparatus and method for UV treatment, chemical treatment, and deposition

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/184,675 Active 2033-01-18 US10570517B2 (en) 2011-04-08 2016-06-16 Apparatus and method for UV treatment, chemical treatment, and deposition

Country Status (6)

Country Link
US (2) US20120258259A1 (en)
JP (1) JP5976776B2 (en)
KR (1) KR101928348B1 (en)
CN (1) CN103493185A (en)
TW (1) TWI529834B (en)
WO (1) WO2012138866A1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US20120240853A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US20140231671A1 (en) * 2013-02-20 2014-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at uv curing chamber
US20140264059A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Light irradiance and thermal measurement in uv and cvd chambers
US20140262037A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Transparent yttria coated quartz showerhead
US8877659B2 (en) 2012-07-02 2014-11-04 Applied Materials, Inc. Low-k dielectric damage repair by vapor-phase chemical exposure
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8993444B2 (en) 2012-07-13 2015-03-31 Applied Materials, Inc. Method to reduce dielectric constant of a porous low-k film
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
KR20170141747A (en) * 2015-04-22 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 Load lock devices, cooling plate assemblies, and electronic device processing systems and methods
US20180040473A1 (en) * 2013-12-26 2018-02-08 Applied Materials, Inc. Photo-assisted deposition of flowable films
US20180155835A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Thin film encapsulation processing system and process kit
US10541145B2 (en) * 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10767016B2 (en) * 2016-02-10 2020-09-08 Lumileds Llc Vapor-phase curing catalysis and passivation of siloxane resins in LED applications
WO2022140068A1 (en) * 2020-12-22 2022-06-30 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly
US20220341042A1 (en) * 2014-05-14 2022-10-27 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US11492704B2 (en) * 2018-08-29 2022-11-08 Applied Materials, Inc. Chamber injector

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102438139B1 (en) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 Process kit for a high throughput processing chamber
SG11201704367QA (en) 2015-01-02 2017-07-28 Applied Materials Inc Processing chamber
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
CN104875113B (en) * 2015-06-18 2017-03-01 浙江工商大学 A kind of quick reparation grinding mechanism of abrasive disk surface self-grown
KR102156389B1 (en) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 Gas distribution showerhead for semiconductor processing
JP6981356B2 (en) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 Film forming equipment and film forming method
MX2021004432A (en) * 2018-10-16 2021-07-06 Transitions Optical Ltd Ultraviolet curing apparatus.
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
WO2021011229A1 (en) 2019-07-12 2021-01-21 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11448977B1 (en) 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JPH0693454A (en) * 1992-05-15 1994-04-05 Mitsubishi Kasei Corp Glow discharge method and device therefor
WO1999061680A1 (en) * 1998-05-29 1999-12-02 Applied Materials, Inc. Gas manifold for uniform gas distribuition and photochemistry
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US20050156063A1 (en) * 2004-01-21 2005-07-21 Asm Japan K.K. Apparatus and method for forming thin film using surface-treated shower plate
US7148480B2 (en) * 2004-07-14 2006-12-12 The Regents Of The University Of California Polycrystalline optical window materials from nanoceramics
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983039A (en) 1975-03-03 1976-09-28 Fusion Systems Corporation Non-symmetrical reflector for ultraviolet curing
US4135098A (en) 1976-11-05 1979-01-16 Union Carbide Corporation Method and apparatus for curing coating materials
US4411931A (en) 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
NL8402124A (en) 1984-07-04 1986-02-03 Philips Nv DEVICE FOR EXPOSING A UV-CURING LAYER ON A WIRE-BODY.
DE3919334A1 (en) 1989-06-13 1990-12-20 Tetsuhiro Kano REFLECTOR FOR A LAMP
JPH0435842A (en) 1990-05-31 1992-02-06 Brother Ind Ltd Working unit controller
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
DE4318735A1 (en) 1993-06-05 1994-12-08 Kammann Maschf Werner UV radiator for the irradiation of printing inks on objects and method for drying objects provided with printing ink
US5440137A (en) 1994-09-06 1995-08-08 Fusion Systems Corporation Screw mechanism for radiation-curing lamp having an adjustable irradiation area
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3088970B2 (en) * 1996-07-12 2000-09-18 東京エレクトロン株式会社 Reforming method and apparatus
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
GB2349684B (en) 1996-08-02 2001-01-17 Nordson Corp Lamp assembly
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
WO1998042624A1 (en) 1997-06-23 1998-10-01 Soloviev, Evgeny Vladimirovich Method and device for uv treatment of liquid, air and surface
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
DE19810455C2 (en) 1998-03-11 2000-02-24 Michael Bisges Cold light UV irradiation device
GB2336240A (en) 1998-04-09 1999-10-13 Jenton International Limited Apparatus for emitting light
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
JP2000218156A (en) 1998-11-25 2000-08-08 Hooya Shot Kk Ultraviolet ray irradiation apparatus
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US7126687B2 (en) 1999-08-09 2006-10-24 The United States Of America As Represented By The Secretary Of The Army Method and instrumentation for determining absorption and morphology of individual airborne particles
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
GB2360084B (en) 2000-03-08 2004-04-21 Nordson Corp Lamp assembly
US6696801B2 (en) 2000-04-07 2004-02-24 Nordson Corporation Microwave excited ultraviolet lamp system with improved lamp cooling
JP4744671B2 (en) * 2000-05-22 2011-08-10 東京エレクトロン株式会社 Single wafer processing equipment
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6323601B1 (en) 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
US6380270B1 (en) 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6559460B1 (en) 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
GB2372557B (en) 2001-02-27 2005-05-04 Nordson Corp Lamp assembly
US6732451B2 (en) 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
JP4712240B2 (en) * 2001-08-01 2011-06-29 東京エレクトロン株式会社 Photo-excited film forming apparatus and photo-excited film forming method
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
DE10204994B4 (en) 2002-02-05 2006-11-09 Xtreme Technologies Gmbh Arrangement for monitoring the energy emission of an EUV radiation source
US6717161B1 (en) 2003-04-30 2004-04-06 Fusion Uv Systems, Inc. Apparatus and method providing substantially uniform irradiation of surfaces of elongated objects with a high level of irradiance
WO2004109285A1 (en) 2003-06-03 2004-12-16 Bayer Healthcare Llc Native analyte as reference in lateral flow assays
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
US7119904B2 (en) 2004-01-13 2006-10-10 Thermo Electron Scientific Instruments Corporation Stabilized infrared source for infrared spectrometers
US7164144B2 (en) 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7077547B2 (en) 2004-07-29 2006-07-18 Nordson Corporation Shuttered lamp assembly and method of cooling the lamp assembly
DE102004038592A1 (en) 2004-08-06 2006-03-16 Ist Metz Gmbh irradiation unit
JP2006134974A (en) 2004-11-04 2006-05-25 Canon Inc Exposure device, judgment method and device manufacturing method
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7909595B2 (en) 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US20070287091A1 (en) 2006-06-12 2007-12-13 Jacobo Victor M System and method for exposing electronic substrates to UV light
US20070298167A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Ozone abatement in a re-circulating cooling system
US20070295012A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system
JP5258241B2 (en) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Method for cleaning a UV irradiation chamber
KR20080027009A (en) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus and method for depositing laminated films using the same
US7501292B2 (en) * 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
JP4708465B2 (en) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JPH0693454A (en) * 1992-05-15 1994-04-05 Mitsubishi Kasei Corp Glow discharge method and device therefor
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
WO1999061680A1 (en) * 1998-05-29 1999-12-02 Applied Materials, Inc. Gas manifold for uniform gas distribuition and photochemistry
US20050156063A1 (en) * 2004-01-21 2005-07-21 Asm Japan K.K. Apparatus and method for forming thin film using surface-treated shower plate
US7148480B2 (en) * 2004-07-14 2006-12-12 The Regents Of The University Of California Polycrystalline optical window materials from nanoceramics
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8894767B2 (en) * 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US9695508B2 (en) * 2011-03-22 2017-07-04 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US20120240853A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US8980005B2 (en) * 2011-03-22 2015-03-17 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US20150176123A1 (en) * 2011-03-22 2015-06-25 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US8877659B2 (en) 2012-07-02 2014-11-04 Applied Materials, Inc. Low-k dielectric damage repair by vapor-phase chemical exposure
US9123532B2 (en) 2012-07-02 2015-09-01 Applied Materials, Inc. Low-k dielectric damage repair by vapor-phase chemical exposure
US8993444B2 (en) 2012-07-13 2015-03-31 Applied Materials, Inc. Method to reduce dielectric constant of a porous low-k film
US8872138B2 (en) * 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US20140231671A1 (en) * 2013-02-20 2014-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at uv curing chamber
US20140262037A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Transparent yttria coated quartz showerhead
US20140264059A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Light irradiance and thermal measurement in uv and cvd chambers
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US20180040473A1 (en) * 2013-12-26 2018-02-08 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10460936B2 (en) * 2013-12-26 2019-10-29 Applied Materials, Inc. Photo-assisted deposition of flowable films
US20220341042A1 (en) * 2014-05-14 2022-10-27 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
KR20170141747A (en) * 2015-04-22 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 Load lock devices, cooling plate assemblies, and electronic device processing systems and methods
KR102278413B1 (en) 2015-04-22 2021-07-15 어플라이드 머티어리얼스, 인코포레이티드 Load lock apparatus, cooling plate assembly, and electronic device processing systems and methods
TWI713136B (en) * 2015-04-22 2020-12-11 美商應用材料股份有限公司 Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US10767016B2 (en) * 2016-02-10 2020-09-08 Lumileds Llc Vapor-phase curing catalysis and passivation of siloxane resins in LED applications
US20200377661A1 (en) * 2016-02-10 2020-12-03 Lumileds Llc Vapor-phase curing catalysis and passivation of siloxane resins in led applications
US11629232B2 (en) * 2016-02-10 2023-04-18 Lumileds Llc Vapor-phase curing catalysis and passivation of siloxane resins in LED applications
US10655222B2 (en) * 2016-12-02 2020-05-19 Applied Materials, Inc. Thin film encapsulation processing system and process kit
US20180155835A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Thin film encapsulation processing system and process kit
US10985029B2 (en) * 2017-03-29 2021-04-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10541145B2 (en) * 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US11492704B2 (en) * 2018-08-29 2022-11-08 Applied Materials, Inc. Chamber injector
US11807931B2 (en) 2018-08-29 2023-11-07 Applied Materials, Inc. Chamber injector
WO2022140068A1 (en) * 2020-12-22 2022-06-30 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly

Also Published As

Publication number Publication date
CN103493185A (en) 2014-01-01
JP5976776B2 (en) 2016-08-24
US20160289838A1 (en) 2016-10-06
TW201248757A (en) 2012-12-01
US10570517B2 (en) 2020-02-25
KR101928348B1 (en) 2018-12-12
WO2012138866A1 (en) 2012-10-11
JP2014512102A (en) 2014-05-19
KR20140021608A (en) 2014-02-20
TWI529834B (en) 2016-04-11

Similar Documents

Publication Publication Date Title
US10570517B2 (en) Apparatus and method for UV treatment, chemical treatment, and deposition
KR102548630B1 (en) Back side deposition apparatus and applications
KR102013456B1 (en) System and apparatus for flowable deposition in semiconductor fabrication
US8657961B2 (en) Method for UV based silylation chamber clean
US7989365B2 (en) Remote plasma source seasoning
TWI670756B (en) Fcvd line bending resolution by deposition modulation
KR20170027799A (en) Apparatus for radical-based deposition of dielectric films
US9502263B2 (en) UV assisted CVD AlN film for BEOL etch stop application
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
TW201622031A (en) Radical assisted cure of dielectric films
US20160042943A1 (en) Low-k dielectric film formation
KR20170085986A (en) Rps defect reduction by cyclic clean induced rps cooling
US9058980B1 (en) UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
US20230352279A1 (en) Multi-station processing tools with station-varying support features for backside processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BANSAL, AMIT;DU BOIS, DALE R.;ROCHA-ALVAREZ, JUAN CARLOS;AND OTHERS;SIGNING DATES FROM 20120503 TO 20120504;REEL/FRAME:028178/0194

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION