TWI670756B - Fcvd line bending resolution by deposition modulation - Google Patents

Fcvd line bending resolution by deposition modulation Download PDF

Info

Publication number
TWI670756B
TWI670756B TW104139962A TW104139962A TWI670756B TW I670756 B TWI670756 B TW I670756B TW 104139962 A TW104139962 A TW 104139962A TW 104139962 A TW104139962 A TW 104139962A TW I670756 B TWI670756 B TW I670756B
Authority
TW
Taiwan
Prior art keywords
precursor
radical
decane
group
substrate
Prior art date
Application number
TW104139962A
Other languages
Chinese (zh)
Other versions
TW201635347A (en
Inventor
梁璟梅
泰達尼金瑞V
卡契恩潔西卡S
拉札高帕藍納卡拉詹
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201635347A publication Critical patent/TW201635347A/en
Application granted granted Critical
Publication of TWI670756B publication Critical patent/TWI670756B/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

減少具支柱之基板表面粗糙度與線條彎曲的方法包括用自由基處理含支柱之基板,以形成處理表面。自由基可為矽基、氮基或氧基。方法包括使有機矽前驅物和氧前驅物反應,以在處理表面上面形成介電膜。方法包括在約150℃或以下的溫度下,固化介電膜。減少具支柱之基板表面粗糙度與線條彎曲的方法包括使有機矽前驅物、氧前驅物和自由基前驅物反應,以在含支柱之基板上面形成介電膜。方法包括在約150℃或以下的溫度下,固化介電膜。自由基前驅物可選自由氮基自由基前驅物、氧基自由基前驅物和矽基自由基前驅物所組成的群組。 A method of reducing surface roughness and line bending of a pillared substrate includes treating the substrate containing the pillars with free radicals to form a treated surface. The free radical can be a thiol group, a nitrogen group or an oxy group. The method includes reacting an organic hafnium precursor with an oxygen precursor to form a dielectric film over the treated surface. The method includes curing the dielectric film at a temperature of about 150 ° C or below. A method of reducing surface roughness and line bending of a substrate having a pillar includes reacting an organic tantalum precursor, an oxygen precursor, and a radical precursor to form a dielectric film on the substrate including the pillar. The method includes curing the dielectric film at a temperature of about 150 ° C or below. The free radical precursor may be selected from the group consisting of a nitrogen radical precursor, an oxy radical precursor, and a sulfhydryl radical precursor.

Description

藉由沉積調整來解決FCVD的線條彎曲 Resolve FCVD line bending by deposition adjustment

本發明的實施例大體係關於表面處理及形成介電膜。 Embodiments of the invention are directed to surface treatment and formation of dielectric films.

隨著裝置節點微縮,窄又高深寬比支柱變得機械易碎,及易因沉積期間的應力或力不平衡而彎曲。例如,高深寬比氧化矽支柱易受此彎曲。細長支柱周圍的應力或力不平衡可能係由毛細力與流動化學氣相沉積(FCVD)半月輪廓、FCVD沉積與基板間的黏滯力(例如由懸鍵間的分子間作用力引起)及/或表面粗糙度導致的局部應力引起。 As the device nodes shrink, the narrow, high aspect ratio struts become mechanically fragile and tend to bend due to stress or force imbalance during deposition. For example, high aspect ratio ruthenium oxide pillars are susceptible to this bending. The stress or force imbalance around the elongated struts may be caused by capillary forces and flow chemical vapor deposition (FCVD) half-moon profiles, viscous forces between FCVD deposition and the substrate (eg, caused by intermolecular forces between the dangling bonds) and / Or caused by local stress caused by surface roughness.

第1圖係圖示部分半導體裝置100的截面示意圖,其中在半導體裝置100內的二支柱間發生線條彎曲。如第1圖所示,高深寬比裝置結構形成於基板表面。處理期間,裝置支柱102應保持朝垂直位向,壁面106不應橫穿開口104及接觸支柱102的鄰接壁面106。支柱102的壁面106受到毛細力,致使鄰接支柱102的壁面106朝彼此彎曲而相互接觸。線條彎曲係因鄰接支柱102的壁面106接觸所致,此最終將造成開口104封閉。黏滯例如至少發生在鄰接支柱間的交互作用點 108。一般線條彎曲、特別係線條黏滯並非所期,因為此例如會防止在後續基板處理步驟(例如進一步沉積步驟)期間進入開口104。 1 is a schematic cross-sectional view showing a portion of a semiconductor device 100 in which line bending occurs between two pillars in the semiconductor device 100. As shown in Fig. 1, the high aspect ratio device structure is formed on the surface of the substrate. During processing, the device post 102 should remain oriented in a vertical orientation and the wall 106 should not traverse the opening 104 and the abutting wall 106 of the contact post 102. The wall surface 106 of the strut 102 is subjected to capillary forces such that the wall faces 106 of the abutting struts 102 are curved toward each other to contact each other. The line bending is due to the contact of the wall surface 106 of the adjacent strut 102, which will eventually cause the opening 104 to close. Viscosity, for example, occurs at least at the point of interaction between adjacent pillars 108. Generally, line bending, particularly line sticking, is not desirable because it prevents, for example, access to the opening 104 during subsequent substrate processing steps, such as further deposition steps.

毛細力亦會造成結構材料彎曲而產生不當黏滯,以致損壞半導體基板。上述缺點在沉積處理基板時,對具高深寬比半導體支柱的基板特別明顯。線條彎曲係因毛細壓力遍及陷入溝槽或通孔的液體上面的氣液界面,使側壁朝彼此彎曲所致,側壁形成高深寬比溝槽或通孔。支柱的高深寬比和支柱本身的彈性常數亦會造成線條彎曲。由於毛細壓力,此有時亦稱作毛細力,具窄線寬與高深寬比的特徵結構易受氣液與液壁界面間的表面張力差異影響。 The capillary force also causes the structural material to bend and cause improper adhesion, which may damage the semiconductor substrate. The above disadvantages are particularly noticeable when depositing a substrate for a substrate having a high aspect ratio semiconductor pillar. The line curvature is caused by capillary pressure throughout the gas-liquid interface above the liquid trapped in the groove or through hole, causing the side walls to bend toward each other, and the side walls form high aspect ratio grooves or through holes. The high aspect ratio of the strut and the elastic constant of the strut itself also cause the line to bend. Due to the capillary pressure, this is sometimes referred to as capillary force, and the characteristic structure with narrow line width and high aspect ratio is susceptible to the difference in surface tension between the gas-liquid and liquid wall interfaces.

沉積期間,較黏性流動膜不均勻分布至各支柱間開口104內亦因各支柱間的沉積膜缺乏流動性而導致進一步線條彎曲。沉積於各支柱間的膜初始表面粗糙度也會引起不均勻沉積分布。沉積於具原生氧化物之支柱間的膜表面反應不均一亦會造成線條彎曲。由於裝置尺度化進展神速,半導體處理在防止線條彎曲方面正面臨嚴峻挑戰。 During the deposition, the more viscous flow film is unevenly distributed into the inter-pillar openings 104, and further line bending is caused by the lack of fluidity of the deposited film between the pillars. The initial surface roughness of the film deposited between the pillars also causes a non-uniform deposition distribution. The uneven surface reaction of the film deposited between the pillars with the native oxide also causes the lines to bend. Due to the rapid progress of device scaling, semiconductor processing is facing serious challenges in preventing line bending.

因此,此領域需要FCVD製程,以減少或消除沉積粗糙度引起的線條彎曲和局部應力。 Therefore, an FCVD process is required in this field to reduce or eliminate line bending and local stress caused by deposition roughness.

在300毫米(mm)基板的實施例中,減少線條彎曲和具支柱之基板表面粗糙度的方法包括在約50 ℃至約800℃的溫度、約10毫托耳至約20托耳的壓力下,用自由基處理含支柱之基板,以形成處理表面。自由基可為矽基(silicon-based)、氮基(nitrogen-based)或氧基(oxygen-based),且自由基可按約0.1sccm(標準立方公分每分鐘)至約10000sccm的流率引入處理區。方法包括在約100℃或以下的溫度、約0.5托耳至約10托耳的壓力下,使有機矽前驅物和氧前驅物反應,以在處理表面上面形成介電膜。有機矽前驅物可按約10sccm至約1800sccm的流率引入處理區,氧前驅物可按約10mgm(毫克每分鐘)至約1500mgm的流率引入處理區。方法包括在約150℃或以下的溫度下,固化介電膜。 In an embodiment of a 300 millimeter (mm) substrate, the method of reducing the line curvature and the surface roughness of the substrate with the struts includes at about 50 The substrate containing the pillars is treated with a radical to form a treated surface at a temperature of from about °C to about 800 °C, at a pressure of from about 10 mTorr to about 20 Torr. The free radical may be a silicon-based, a nitrogen-based or an oxygen-based, and the free radical may be introduced at a flow rate of from about 0.1 sccm (standard cubic centimeters per minute) to about 10,000 sccm. Processing area. The method comprises reacting an organic rhodium precursor and an oxygen precursor at a temperature of about 100 ° C or below, at a pressure of from about 0.5 Torr to about 10 Torr to form a dielectric film over the treated surface. The organic ruthenium precursor can be introduced into the treatment zone at a flow rate of from about 10 sccm to about 1800 sccm, and the oxygen precursor can be introduced into the treatment zone at a flow rate of from about 10 mgm (mg per minute) to about 1500 mgm. The method includes curing the dielectric film at a temperature of about 150 ° C or below.

在一實施例中,減少具支柱之基板表面粗糙度和線條彎曲的方法包括在約100℃或以下的溫度、約0.5托耳至約10托耳的壓力下,使有機矽前驅物、氧前驅物和自由基前驅物反應,以在含支柱之基板上面形成介電膜。有機矽前驅物可按約10sccm至約1800sccm的流率引入處理區。氧前驅物可按約10mgm至約1500mgm的流率引入處理區。自由基前驅物可按約600sccm至約1250sccm的流率引入自由基源。方法包括在約150℃或以下的溫度下,固化介電膜。自由基前驅物可選自由氮基自由基前驅物、氧基自由基前驅物和矽基自由基前驅物所組成的群組。 In one embodiment, the method of reducing surface roughness and line bending of a substrate having a pillar comprises: causing an organic germanium precursor, an oxygen precursor at a temperature of about 100 ° C or less, at a pressure of about 0.5 Torr to about 10 Torr. The substance reacts with the radical precursor to form a dielectric film on the substrate containing the pillars. The organic germanium precursor can be introduced into the treatment zone at a flow rate of from about 10 sccm to about 1800 sccm. The oxygen precursor can be introduced into the treatment zone at a flow rate of from about 10 mgm to about 1500 mgm. The free radical precursor can be introduced into the free radical source at a flow rate of from about 600 sccm to about 1250 sccm. The method includes curing the dielectric film at a temperature of about 150 ° C or below. The free radical precursor may be selected from the group consisting of a nitrogen radical precursor, an oxy radical precursor, and a sulfhydryl radical precursor.

100‧‧‧半導體裝置 100‧‧‧Semiconductor device

102‧‧‧支柱 102‧‧‧ pillar

104‧‧‧開口 104‧‧‧ openings

106‧‧‧壁面 106‧‧‧ wall

108‧‧‧交互作用點 108‧‧‧ interaction points

200‧‧‧設備 200‧‧‧ equipment

202‧‧‧處理腔室 202‧‧‧Processing chamber

204‧‧‧自由基源 204‧‧‧Free radical source

206‧‧‧氣體入口 206‧‧‧ gas inlet

208‧‧‧導管 208‧‧‧ catheter

210‧‧‧自由基腔 210‧‧‧ free radical cavity

212‧‧‧蓋組件 212‧‧‧Cover components

214‧‧‧頂板 214‧‧‧ top board

216‧‧‧蓋緣 216‧‧‧ Cover

218‧‧‧噴淋頭 218‧‧‧Sprinkler

219、221‧‧‧氣源/自由基源 219, 221‧‧‧ source/free radical source

220‧‧‧支撐構件 220‧‧‧Support members

222‧‧‧襯層 222‧‧‧ lining

223‧‧‧分配板 223‧‧‧Distribution board

224‧‧‧孔洞 224‧‧‧ holes

226‧‧‧開口 226‧‧‧ openings

228‧‧‧處理區 228‧‧‧Processing area

230‧‧‧主體 230‧‧‧ Subject

232‧‧‧支撐組件 232‧‧‧Support components

234‧‧‧襯層 234‧‧‧ lining

235‧‧‧狹閥(開口) 235‧‧‧Square valve (opening)

236‧‧‧口孔 236‧‧‧ mouth

238‧‧‧泵送通道 238‧‧‧ pumping channel

240‧‧‧真空系統 240‧‧‧vacuum system

242‧‧‧真空埠 242‧‧‧vacuum

244‧‧‧閥 244‧‧‧ valve

246‧‧‧真空泵 246‧‧‧Vacuum pump

248、250‧‧‧表面 248, 250‧‧‧ surface

252‧‧‧支撐構件 252‧‧‧Support members

254‧‧‧升降機構 254‧‧‧ Lifting mechanism

256‧‧‧軸桿 256‧‧‧ shaft

258‧‧‧開口 258‧‧‧ openings

260‧‧‧伸縮管 260‧‧‧ telescopic tube

262‧‧‧加熱元件 262‧‧‧ heating element

264‧‧‧冷卻通道 264‧‧‧cooling channel

302、304‧‧‧表面 302, 304‧‧‧ surface

306‧‧‧內部容積 306‧‧‧ internal volume

308、310、316‧‧‧通道 308, 310, 316‧‧ channels

312、314‧‧‧入口 312, 314‧‧ Entrance

402、404、406、408、410‧‧‧方塊 402, 404, 406, 408, 410‧‧‧ blocks

為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明的典型實施例,故不宜視為限定本發明範圍,因為主張標的可接納其他等效實施例。 In order to make the above summary of the present invention more obvious and understood, the description may be made in conjunction with the reference embodiments. It is to be understood that the appended claims are not intended to

第1圖圖示因毛細力產生,導致支柱間線條彎曲的影響,支柱形成於基板上的半導體裝置結構內。 Fig. 1 is a view showing the effect of bending of the lines between the pillars due to the capillary force, and the pillars are formed in the structure of the semiconductor device on the substrate.

第2圖係根據一實施例的設備截面圖。 Figure 2 is a cross-sectional view of a device in accordance with an embodiment.

第3圖係可用於第2圖設備的雙通道噴淋頭截面圖。 Figure 3 is a cross-sectional view of a two-channel showerhead that can be used with the apparatus of Figure 2.

第4A圖至第4B圖係製程流程圖,各圖圖示根據另一實施例的方法。 4A through 4B are process flow diagrams, each of which illustrates a method in accordance with another embodiment.

為助於理解,盡可能以相同的元件符號代表各圖中共同的相似元件。應理解某一實施例所述元件當可有益地用於其他實施例,在此不另外詳述。除非具體指明,否則圖式在此不應理解為按比例繪製。又,為清楚呈現及說明,通常乃簡化圖式及省略細節或部件。圖式和敘述用於說明下述原理,其中相似的元件符號代表相似的元件。 To facilitate understanding, the same component symbols are used to represent common similar components in the various figures. It will be understood that the elements described in one embodiment may be beneficially utilized in other embodiments and are not described in detail herein. The illustrations are not to be construed as being drawn to scale unless otherwise specified. Also, the drawings are generally simplified and the details or components are omitted for clarity of illustration and description. The drawings and the description are used to illustrate the following principles, in which like reference

本申請案主張西元2014年12月22日申請的美國臨時專利申請案第62/095518號的權益,該臨時專利申請案全文以引用方式併入本文中。 The present application claims the benefit of U.S. Provisional Patent Application No. 62/095,518, filed on Jan. 22, 2014, which is hereby incorporated by reference.

為加以說明,以下敘述提及眾多特定細節,以對所述實施例有更徹底的理解。然熟諳此技術者將明 白本發明可不按該等特定細節實踐。在其他情況下,不描述特定設備結構,以免讓所述實施例變得晦澀難懂。以下敘述和圖式僅為說明實施例,故不宜解釋成限定主張標的。 The description below refers to numerous specific details in order to provide a more thorough understanding of the embodiments. However, those skilled in the art will be clear The invention may be practiced without these specific details. In other instances, specific device structures are not described in order to avoid obscuring the described embodiments. The following description and drawings are merely illustrative of the embodiments and should not be construed as limiting.

所述含支柱之基板可具有複數個空間做為支柱形成於基板上的間隔與結構。空間可具高度和寬度,以定義高度與寬度的深寬比(即H/W),深寬比明顯大於1:1(例如5:1或至25:1或以上)。 The pillar-containing substrate may have a plurality of spaces as a spacer and a structure formed on the substrate. The space can have height and width to define the aspect ratio of height to width (ie, H/W), and the aspect ratio is significantly greater than 1:1 (eg, 5:1 or to 25:1 or more).

第2圖係根據本發明一實施例,設備200的截面圖,用於介電膜/基板的矽烷基及/或自由基表面處理。如第2圖所示,設備200包括處理腔室202,處理腔室包含主體230和耦接至主體230的自由基源204。自由基源204可為任何能產生自由基的適合源。自由基源204可為遠端電漿源,例如射頻(RF)或超高射頻(VHRF)電容耦合電漿(CCP)源、感應耦合電漿(ICP)源、微波誘導(MW)電漿源、DC輝光放電源、電子迴旋共振(ECR)腔室或高密度電漿(HDP)腔室。或者,自由基源204可為紫外線(UV)源或熱線化學氣相沉積(HW-CVD)腔室的絲極。自由基源204可包括一或更多氣體入口206,自由基源204可由自由基導管208耦接至處理腔室202。 2 is a cross-sectional view of apparatus 200 for a germanium alkyl and/or free radical surface treatment of a dielectric film/substrate, in accordance with an embodiment of the present invention. As shown in FIG. 2, apparatus 200 includes a processing chamber 202 that includes a body 230 and a source of free radicals 204 coupled to body 230. The free radical source 204 can be any suitable source capable of generating free radicals. The free radical source 204 can be a remote plasma source such as a radio frequency (RF) or ultra high radio frequency (VHRF) capacitively coupled plasma (CCP) source, an inductively coupled plasma (ICP) source, a microwave induced (MW) plasma source. , DC glow discharge power supply, electron cyclotron resonance (ECR) chamber or high density plasma (HDP) chamber. Alternatively, the source of free radicals 204 can be the ultraviolet (UV) source or the filament of a hot wire chemical vapor deposition (HW-CVD) chamber. The free radical source 204 can include one or more gas inlets 206 that can be coupled to the processing chamber 202 by a free radical conduit 208.

一或更多製程氣體可經由一或更多氣體入口206進入自由基源204,製程氣體可為自由基形成氣體且可為氣體混合物。一或更多製程氣體可包含含氧及/ 或含氮氣體,例如氧、H2O(水)、過氧化氫及/或氨。或者或除含氧及/或含氮氣體外,製程氣體可包含含矽氣體。含矽氣體實例包括有機矽、四烷基正矽酸鹽氣體和二矽氧烷。有機矽氣體包括具至少一碳-矽鍵的有機化合物氣體。四烷基正矽酸鹽氣體包括由附接至SiO4 4-離子的四個烷基組成的氣體。更特別地,一或更多前驅氣體可為(二甲基矽基)(三甲基矽基)甲烷((Me)3SiCH2SiH(Me)2)、六甲基二矽烷((Me)3SiSi(Me)3)、三甲基矽烷((Me)3SiH)、氯化三甲基矽烷((Me)3SiCl)、四甲基矽烷((Me)4Si)、四乙氧基矽烷((EtO)4Si)、四甲氧基矽烷((MeO)4Si)、肆(三甲基矽基)矽烷((Me3Si)4Si)、(二甲基胺基)二甲基矽烷((Me2N)SiHMe2)、二甲基二乙氧基矽烷((EtO)2Si(Me)2)、二甲基二甲氧基矽烷((MeO)2Si(Me)2)、甲基三甲氧基矽烷((MeO)3Si(Me))、二甲氧基四甲基二矽氧烷(((Me)2Si(OMe))2O)、三(二甲基胺基)矽烷((Me2N)3SiH)、雙(二甲基胺基)甲基矽烷((Me2N)2CH3SiH)、二矽氧烷((SiH3)2O)和上述組合物。 One or more process gases may enter the radical source 204 via one or more gas inlets 206, which may be free radical forming gases and may be a gas mixture. The one or more process gases may comprise oxygen and/or nitrogen containing gases such as oxygen, H 2 O (water), hydrogen peroxide, and/or ammonia. Alternatively or in addition to oxygen and/or nitrogen containing, the process gas may comprise a helium containing gas. Examples of the ruthenium containing gas include organic ruthenium, tetraalkyl orthosilicate gas, and dioxane. The organic germanium gas includes an organic compound gas having at least one carbon-hydrazine bond. The tetraalkyl orthosilicate gas includes a gas composed of four alkyl groups attached to the SiO 4 4 - ion. More particularly, one or more of the precursor gases may be (dimethylhydrazino)(trimethyldecyl)methane ((Me) 3 SiCH 2 SiH(Me) 2 ), hexamethyldioxane ((Me) 3 SiSi(Me) 3 ), trimethyldecane ((Me) 3 SiH), trimethyldecane chloride ((Me) 3 SiCl), tetramethylnonane ((Me) 4 Si), tetraethoxy矽 ((EtO) 4 Si), tetramethoxy decane ((MeO) 4 Si), 肆 (trimethyl decyl) decane ((Me 3 Si) 4 Si), (dimethylamino) dimethyl Base decane ((Me 2 N)SiHMe 2 ), dimethyldiethoxy decane ((EtO) 2 Si(Me) 2 ), dimethyldimethoxydecane ((MeO) 2 Si(Me) 2 ), methyltrimethoxydecane ((MeO) 3 Si(Me)), dimethoxytetramethyldioxane (((Me) 2 Si(OMe)) 2 O), tris (dimethyl) Amino) decane ((Me 2 N) 3 SiH), bis(dimethylamino)methyl decane ((Me 2 N) 2 CH 3 SiH), dioxane ((SiH 3 ) 2 O) and The above composition.

含矽氣體可與基板表面反應,例如與Si-OH懸鍵,以形成矽官能化基板表面。或者或此外,含矽氣體可於基板上形成共形矽層。相較於如未處理表面的 Si-OH懸鍵,矽官能化及/或矽沉積基板表面可減少相鄰支柱間的分子間作用力,例如氫鍵。含氮氣體和含氧氣體對未處理基板表面有類似效果。 The helium containing gas can react with the surface of the substrate, such as with Si-OH, to form a ruthenium functionalized substrate surface. Alternatively or additionally, the helium containing gas can form a conformal layer on the substrate. As compared to untreated surfaces The Si-OH dangling bonds, ruthenium functionalization and/or ruthenium deposition on the substrate surface reduce the intermolecular forces between adjacent pillars, such as hydrogen bonds. The nitrogen-containing gas and the oxygen-containing gas have similar effects on the surface of the untreated substrate.

一或更多製程氣體可包含鈍氣,例如氬。自由基源204產生的自由基(例如氧、氮或矽自由基)經由自由基導管208進入處理腔室202。處理條件可最佳化,使氮、氧及/或矽基板表面含量達預定均勻度。 The one or more process gases may comprise an inert gas, such as argon. Free radicals (eg, oxygen, nitrogen, or helium radicals) generated by the free radical source 204 enter the processing chamber 202 via the free radical conduit 208. The processing conditions can be optimized to achieve a predetermined uniformity of the surface content of the nitrogen, oxygen and/or ruthenium substrate.

自由基導管208係蓋組件212的零件,蓋組件亦包括自由基腔210、頂板214、蓋緣216和雙通道噴淋頭218。自由基導管208可包含實質不與自由基反應的材料。例如,自由基導管208可包含AlN、SiO2、Y2O3、MgO、陽極化Al2O3、藍寶石、含一或更多Al2O3、藍寶石、AlN、Y2O3、MgO的陶瓷或塑膠。適合SiO2材料的代表性實例為石英。或者或此外,自由基導管208於操作時接觸自由基的表面可具有塗層。塗層亦可包含AlN、SiO2、Y2O3、MgO、陽極化Al2O3、藍寶石、含一或更多Al2O3、藍寶石、AlN、Y2O3、MgO的陶瓷或塑膠。若使用塗層,則塗層厚度可為約1微米(μm)至約1mm。塗層可利用噴塗製程施用。自由基導管208可設置於內或由自由基導管支撐構件220支撐。自由基導管支撐構件220可設在頂板214,頂板置於蓋緣216上。 The free radical conduit 208 is a component of the lid assembly 212, which also includes a free radical chamber 210, a top plate 214, a lid rim 216, and a dual channel showerhead 218. The free radical conduit 208 can comprise a material that does not substantially react with free radicals. For example, the radical conduit 208 may comprise AlN, SiO 2 , Y 2 O 3 , MgO, anodized Al 2 O 3 , sapphire, one or more Al 2 O 3 , sapphire, AlN, Y 2 O 3 , MgO Ceramic or plastic. A representative example of a suitable SiO 2 material is quartz. Alternatively or in addition, the surface of the free radical conduit 208 that contacts the free radicals during operation may have a coating. The coating may also comprise AlN, SiO 2 , Y 2 O 3 , MgO, anodized Al 2 O 3 , sapphire, ceramic or plastic containing one or more Al 2 O 3 , sapphire, AlN, Y 2 O 3 , MgO. . If a coating is used, the coating thickness can range from about 1 micrometer (μm) to about 1 mm. The coating can be applied using a spray coating process. The free radical conduit 208 can be disposed within or supported by the free radical conduit support member 220. The free radical conduit support member 220 can be disposed on the top plate 214 with the top plate disposed on the cover rim 216.

自由基腔210設在下方並耦接至自由基導管208,自由基源204產生的自由基經由自由基導管208 進入自由基腔210。在此所用方向性術語,例如「下方」、「上方」、「下」、「頂部」或「底部」等係相對腔室的基礎平面,而非絕對方向。自由基腔210由耦接蓋緣216的頂板214界定,蓋緣耦接雙通道噴淋頭218。視情況而定,自由基腔210可包括襯層222。襯層222可覆蓋在自由基腔210內的頂板214表面和蓋緣216。襯層222可包含實質不與自由基反應的材料。例如,襯層222可包含AlN、SiO2、Y2O3、MgO、陽極化Al2O3、藍寶石、含一或更多Al2O3、藍寶石、AlN、Y2O3、MgO的陶瓷或塑膠。或者或此外,自由基腔210接觸自由基的表面可由實質不與自由基反應的材料組成或塗覆該材料。例如,表面可由AlN、SiO2、Y2O3、MgO、陽極化Al2O3、藍寶石、含一或更多Al2O3、藍寶石、AlN、Y2O3、MgO的陶瓷或塑膠組成或塗覆該材料。若使用塗層,則塗層厚度可為約1μm至約1mm。藉由不消耗產生自由基,往置於處理腔室202的基板的自由基通量將增加。 The radical cavity 210 is disposed below and coupled to the free radical conduit 208, and free radicals generated by the radical source 204 enter the radical cavity 210 via the free radical conduit 208. Directional terms as used herein, such as "below", "above", "below", "top" or "bottom", are relative to the base plane of the chamber, not the absolute direction. The free radical chamber 210 is defined by a top plate 214 that is coupled to the cover rim 216, and the cover edge is coupled to the dual channel showerhead 218. The radical cavity 210 can include a liner 222, as appropriate. Liner 222 may cover the surface of top plate 214 and cover rim 216 within free radical cavity 210. Liner 222 can comprise a material that does not substantially react with free radicals. For example, the liner 222 may comprise AlN, SiO 2 , Y 2 O 3 , MgO, anodized Al 2 O 3 , sapphire, ceramics containing one or more Al 2 O 3 , sapphire, AlN, Y 2 O 3 , MgO. Or plastic. Alternatively or in addition, the surface of the free radical cavity 210 that contacts the free radical may be composed of or coated with a material that does not substantially react with the free radical. For example, the surface may be composed of AlN, SiO 2 , Y 2 O 3 , MgO, anodized Al 2 O 3 , sapphire, ceramic or plastic containing one or more Al 2 O 3 , sapphire, AlN, Y 2 O 3 , MgO. Or apply the material. If a coating is used, the coating thickness can range from about 1 [mu]m to about 1 mm. The free radical flux to the substrate placed in the processing chamber 202 will increase by not consuming free radicals.

通常,由如氣態分子能量激發產生的活化氣體係由帶電離子、自由基和電子的電漿組成。在期望有電漿自由基的一些製程中(比起離子或自由基與離子混合物,更期以電漿自由基方式與基板上的矽或多晶矽材料反應),自由基分配板223可當作離子濾器,例如靜電濾器、絲極或網狀濾器、或磁性濾器,用於頂板214與雙通道噴淋頭218之間,以消除主要或實質所有電漿 離子,使得僅電漿自由基流過雙通道噴淋頭218及與基板上的矽或多晶矽材料反應,使基板表面處理有更大的選擇性。在自由基源204的自由基流經雙通道噴淋頭218的情況下,可用少量功率開啟雙通道噴淋頭218,以促進自由基再生而補償因流動路徑造成的自由基損失,或利用不同RF頻率與其他參數來改變自由基組成。或者,可不供電給雙通道噴淋頭218的電極。如此,出自自由基源204的電漿自由基將繞過雙通道噴淋頭218,以避免或減少在處理區228內產生不當反應。 Typically, an activation gas system produced by excitation of energy such as gaseous molecules consists of a plasma of charged ions, free radicals, and electrons. In some processes where plasma free radicals are desired (reactive to the ruthenium or polycrystalline ruthenium material on the substrate by plasma radicals in comparison to ions or radicals and ionic mixtures), the free radical distribution plate 223 can be used as an ion. A filter, such as an electrostatic filter, a filament or mesh filter, or a magnetic filter, is used between the top plate 214 and the dual channel showerhead 218 to eliminate all or substantially all of the plasma The ions cause only plasma radicals to flow through the dual channel showerhead 218 and react with the tantalum or polysilicon material on the substrate to provide greater selectivity to the substrate surface treatment. In the case where the radicals of the radical source 204 flow through the dual channel showerhead 218, the dual channel showerhead 218 can be turned on with a small amount of power to promote free radical regeneration to compensate for free radical losses due to the flow path, or to utilize different RF frequency and other parameters to change the free radical composition. Alternatively, the electrodes of the dual channel showerhead 218 may not be powered. As such, plasma radicals from the free radical source 204 will bypass the dual channel showerhead 218 to avoid or reduce undesirable reactions in the processing zone 228.

自由基分配板223可由和襯層222一樣的材料製成,或可塗覆和襯層222一樣的材料。自由基分配板223可用於控制自由基流動輪廓。自由基分配板223在自由基腔210內的位置(即自由基分配板223與頂板214間的距離和自由基分配板223與雙通道噴淋頭218間的距離)亦可調整以影響自由基分布。 The radical distribution plate 223 may be made of the same material as the liner 222 or may be coated with the same material as the liner 222. Free radical distribution plate 223 can be used to control the free radical flow profile. The position of the radical distribution plate 223 in the radical chamber 210 (i.e., the distance between the radical distribution plate 223 and the top plate 214 and the distance between the radical distribution plate 223 and the dual channel showerhead 218) can also be adjusted to affect free radicals. distributed.

自由基分配板223包含複數個孔洞,孔洞配置以控制活化氣體(即離子、自由基及/或中性物種)通過自由基分配板223。例如,孔洞的深寬比(即孔洞直徑對長度)及/或孔洞的幾何形狀可控制以減少活化氣體中的離子帶電物種通過自由基分配板223。自由基分配板223的孔洞例如包括面對頂板214的圓柱部和面對雙通道噴淋頭218的錐形部。圓柱部可塑形及按尺寸製作以控制離子物種流至雙通道噴淋頭218。可調電偏壓亦可施加至自由基分配板223做為附加手段來控制離子物 種流過自由基分配板223。控制離子物種通過自由基分配板223的量可加強控制活化氣體,使之接觸底下基板,進而加強控制氣體混合物的表面處理特性。例如,調整氣體混合物的離子濃度可轉移沉積介電材料的共形至流動平衡。 The free radical distribution plate 223 includes a plurality of pores configured to control the activation gas (ie, ions, free radicals, and/or neutral species) through the free radical distribution plate 223. For example, the aspect ratio of the holes (ie, the diameter of the holes versus the length) and/or the geometry of the holes can be controlled to reduce the passage of ionically charged species in the activating gas through the free radical distribution plate 223. The holes of the radical distribution plate 223 include, for example, a cylindrical portion facing the top plate 214 and a tapered portion facing the two-channel shower head 218. The cylindrical portion can be shaped and sized to control the flow of ionic species to the dual channel showerhead 218. An adjustable electrical bias can also be applied to the free radical distribution plate 223 as an additional means to control the ionic species The species flows through the free radical distribution plate 223. Controlling the ionic species through the amount of free radical distribution plate 223 enhances the control of the activating gas to contact the underlying substrate, thereby enhancing the surface treatment characteristics of the control gas mixture. For example, adjusting the ion concentration of the gas mixture can transfer the conformal to flow balance of the deposited dielectric material.

自由基接著通過設於雙通道噴淋頭218的複數個孔洞224而進入處理區228。雙通道噴淋頭218進一步包括直徑小於複數個孔洞224的複數個開口226。 The free radicals then enter the processing zone 228 through a plurality of holes 224 provided in the dual channel showerhead 218. The dual channel showerhead 218 further includes a plurality of openings 226 having a diameter less than the plurality of holes 224.

複數個開口226連接至內部容積(未圖示),內部容積不流體連通複數個孔洞224。至少二氣體/自由基源219、221耦接至雙通道噴淋頭218。雙通道噴淋頭218可加熱或冷卻。在一實施例中,雙通道噴淋頭218加熱達約100℃至約600℃。在另一實施例中,雙通道噴淋頭218冷卻至約25℃至約75℃。一或更多加熱元件(未圖示)及/或冷卻元件(未圖示)可埋置於雙通道噴淋頭218。加熱元件和冷卻元件可用於控制操作期間雙通道噴淋頭218的溫度。加熱元件可為任何適合加熱元件,例如一或更多電阻式加熱元件。加熱元件可連接至一或更多電源(未圖示)。冷卻劑可流過通道,以冷卻雙通道噴淋頭218。雙通道噴淋頭218將詳述於後(第3圖)。 A plurality of openings 226 are connected to an internal volume (not shown) that is not in fluid communication with a plurality of holes 224. At least two gas/free radical sources 219, 221 are coupled to the dual channel showerhead 218. The dual channel showerhead 218 can be heated or cooled. In one embodiment, the dual channel showerhead 218 is heated to between about 100 ° C and about 600 ° C. In another embodiment, the dual channel showerhead 218 is cooled to between about 25 ° C and about 75 ° C. One or more heating elements (not shown) and/or cooling elements (not shown) may be embedded in the dual channel showerhead 218. The heating element and the cooling element can be used to control the temperature of the dual channel showerhead 218 during operation. The heating element can be any suitable heating element, such as one or more resistive heating elements. The heating element can be connected to one or more power sources (not shown). Coolant can flow through the passage to cool the dual channel showerhead 218. The dual channel showerhead 218 will be described in detail later (Fig. 3).

處理腔室202包括蓋組件212、主體230和支撐組件232。支撐組件232至少部分置於主體230內。主體230包括狹閥開口235,以供進出處理腔室202 內部。主體230包括襯層234,襯層覆蓋主體230的內面。襯層234包括一或更多口孔236和泵送通道238形成於內,泵送通道流體連通真空系統240。口孔236提供氣體流入泵送通道238的路徑,泵送通道提供處理腔室202內的氣體排出。或者,口孔和泵送通道可設在主體230底部,氣體可從主體230底部抽出處理腔室202。在此所用方向性術語,例如「下方」、「上方」、「下」、「頂部」或「底部」等係相對腔室的基礎平面,而非絕對方向。 Processing chamber 202 includes a lid assembly 212, a body 230, and a support assembly 232. The support assembly 232 is at least partially disposed within the body 230. The body 230 includes a narrow valve opening 235 for access to the processing chamber 202 internal. The body 230 includes a liner 234 that covers the inner face of the body 230. The liner 234 includes one or more apertures 236 formed therein and a pumping passage 238 that is in fluid communication with the vacuum system 240. The orifice 236 provides a path for gas to flow into the pumping passage 238, which provides gas discharge within the processing chamber 202. Alternatively, a port and pumping channel may be provided at the bottom of the body 230 from which gas may be withdrawn from the bottom of the body 230. Directional terms as used herein, such as "below", "above", "below", "top" or "bottom", are relative to the base plane of the chamber, not the absolute direction.

真空系統240包括真空埠242、閥244和真空泵246。真空泵246經由真空埠242流體連通泵送通道238。口孔236容許泵送通道238流體連通主體230內的處理區228。處理區228由雙通道噴淋頭218的下表面248與支撐組件232的上表面250界定。襯層234圍繞處理區228。在此所用方向性術語,例如「下方」、「上方」、「下」、「頂部」或「底部」等係相對腔室的基礎平面,而非絕對方向。 Vacuum system 240 includes a vacuum port 242, a valve 244, and a vacuum pump 246. Vacuum pump 246 is in fluid communication with pumping passage 238 via vacuum port 242. The aperture 236 allows the pumping channel 238 to be in fluid communication with the processing zone 228 within the body 230. Treatment zone 228 is defined by lower surface 248 of dual channel showerhead 218 and upper surface 250 of support assembly 232. Liner 234 surrounds processing zone 228. Directional terms as used herein, such as "below", "above", "below", "top" or "bottom", are relative to the base plane of the chamber, not the absolute direction.

支撐組件232包括支撐構件252,用以支撐基板(未圖示)供在主體230內處理。基板可為任何標準尺寸,例如300mm。或者,基板可大於300mm,例如450mm或更大。視操作溫度而定,支撐構件252可包含AlN或鋁。支撐構件252可配置以夾持基板,支撐構件252可為靜電夾盤或真空夾盤。 The support assembly 232 includes a support member 252 for supporting a substrate (not shown) for processing within the body 230. The substrate can be of any standard size, such as 300 mm. Alternatively, the substrate can be greater than 300 mm, such as 450 mm or greater. The support member 252 may comprise AlN or aluminum depending on the operating temperature. The support member 252 can be configured to grip the substrate, and the support member 252 can be an electrostatic chuck or a vacuum chuck.

支撐構件252可經由軸桿256耦接至升降機構254,軸桿穿過形成於主體230底表面的置中開口258。升降機構254可由伸縮管260彈性密封至主體230,以防軸桿256周圍真空洩漏。升降機構254容許支撐構件252在主體230內的處理位置與較低傳送位置間垂直移動。傳送位置略低於狹閥開口235。操作期間,基板與雙通道噴淋頭218的間隔可最小化,使基板表面的自由基通量最大化。例如,間隔可為約100mm至約5000mm。升降機構254可配置以利用耦接至支撐構件252的轉子(未圖示)轉動軸桿256,進而轉動支撐構件252,致使置於支撐構件252上的基板於操作時旋轉。基板旋轉有助於改善表面處理均勻度。 The support member 252 can be coupled to the lift mechanism 254 via a shaft 256 that passes through a centering opening 258 formed in a bottom surface of the body 230. The lifting mechanism 254 can be elastically sealed to the body 230 by the telescoping tube 260 to prevent vacuum leakage around the shaft 256. The lift mechanism 254 allows the support member 252 to move vertically between the processing position within the body 230 and the lower transfer position. The transfer position is slightly lower than the narrow valve opening 235. During operation, the spacing of the substrate from the dual channel showerhead 218 can be minimized to maximize the free radical flux on the substrate surface. For example, the spacing can be from about 100 mm to about 5000 mm. The lift mechanism 254 can be configured to rotate the shaft 256 with a rotor (not shown) coupled to the support member 252, thereby rotating the support member 252, causing the substrate placed on the support member 252 to rotate during operation. Substrate rotation helps to improve surface treatment uniformity.

一或更多加熱元件262和冷卻通道264可埋置於支撐構件252。加熱元件262和冷卻通道264可用於控制操作期間的基板溫度。加熱元件262可為任何適合加熱元件,例如一或更多電阻式加熱元件。加熱元件262可連接至一或更多電源(未圖示)。加熱元件262可個別控制,以個別加熱及/或冷卻控制多區加熱或冷卻。具備個別控制多區加熱及冷卻的能力,可在不同處理條件下增強基板溫度輪廓。冷卻劑可流過通道264,以冷卻基板。支撐構件252可進一步包括氣體通道穿過上表面250,以讓冷卻氣體流至基板背側。 One or more heating elements 262 and cooling passages 264 may be embedded in the support member 252. Heating element 262 and cooling passage 264 can be used to control the substrate temperature during operation. Heating element 262 can be any suitable heating element, such as one or more resistive heating elements. Heating element 262 can be coupled to one or more power sources (not shown). Heating elements 262 can be individually controlled to control multiple zones of heating or cooling with individual heating and/or cooling. Individually controlled multi-zone heating and cooling capabilities to enhance substrate temperature profile under different processing conditions. Coolant can flow through the passage 264 to cool the substrate. The support member 252 can further include a gas passage through the upper surface 250 to allow cooling gas to flow to the back side of the substrate.

腔室202包含RF源。RF源可耦接至雙通道噴淋頭218或支撐構件252。RF源可為低頻、高頻或超 高頻。在一實施例中,如第2圖所示,雙通道噴淋頭218耦接至RF源,支撐構件252則接地。在另一實施例中,雙通道噴淋頭218為接地,支撐構件252則耦接至RF源。在任一實施例中,操作期間,電容耦合電漿可在雙通道噴淋頭218與支撐構件252間的處理區228形成。當自由基源為遠端電漿源時,除了於自由基源形成電漿,還可於處理區228形成電容耦合電漿。支撐構件252可利用DC源偏壓,以增加活化氣體轟擊。故處理腔室202可為PECVD/FCVD腔室,設備200能進行循環製程(交替自由基應用PECVD/FCVD)。 The chamber 202 contains an RF source. The RF source can be coupled to the dual channel showerhead 218 or support member 252. RF source can be low frequency, high frequency or super high frequency. In one embodiment, as shown in FIG. 2, the dual channel showerhead 218 is coupled to the RF source and the support member 252 is coupled to ground. In another embodiment, the dual channel showerhead 218 is grounded and the support member 252 is coupled to the RF source. In either embodiment, capacitive coupling plasma may be formed in the processing region 228 between the dual channel showerhead 218 and the support member 252 during operation. When the source of free radicals is a remote source of plasma, a capacitively coupled plasma can be formed in the processing zone 228 in addition to forming a plasma from the source of free radicals. Support member 252 can utilize a DC source bias to increase activation gas bombardment. Therefore, the processing chamber 202 can be a PECVD/FCVD chamber, and the apparatus 200 can perform a cyclic process (alternating free radical application PECVD/FCVD).

或者或除自由基源204供應的自由基外,一或更多含氮、含氧和含矽氣體可由雙通道噴淋頭218供應至基板供表面處理。第3圖係根據所述實施例,雙通道噴淋頭218的截面圖。雙通道噴淋頭218具有面對自由基腔210的第一表面302和相對第一表面302的第二表面304。第二表面304面對支撐組件232。第一表面302與第二表面304間隔開以提供內部容積306。第一和第二表面302、304可由實質不與自由基反應的材料組成或塗覆該材料。例如,表面302、304可由AlN、SiO2、Y2O3、MgO、陽極化Al2O3、藍寶石、含一或更多Al2O3、藍寶石、AlN、Y2O3、MgO的陶瓷或塑膠組成或塗覆該材料。若使用塗層,則塗層厚度可為約1μm至約1mm。複數個孔洞224形成於雙通道噴淋頭218。孔洞224可從第一表面302延伸到第二表面304,自由 基源204產生的自由基可通過孔洞224而抵置於支撐組件232上的基板。內部容積306圍繞複數個孔洞224,一或更多環狀通道308、310圍繞內部容積306和複數個孔洞224。 Alternatively or in addition to the free radicals supplied by the free radical source 204, one or more nitrogen, oxygen and helium containing gases may be supplied to the substrate by a dual channel showerhead 218 for surface treatment. Figure 3 is a cross-sectional view of a dual channel showerhead 218 in accordance with the described embodiment. The dual channel showerhead 218 has a first surface 302 facing the radical cavity 210 and a second surface 304 opposite the first surface 302. The second surface 304 faces the support assembly 232. The first surface 302 is spaced apart from the second surface 304 to provide an interior volume 306. The first and second surfaces 302, 304 may be composed of or coated with a material that is substantially non-reactive with free radicals. For example, the surfaces 302, 304 may be AlN, SiO 2 , Y 2 O 3 , MgO, anodized Al 2 O 3 , sapphire, ceramics containing one or more Al 2 O 3 , sapphire, AlN, Y 2 O 3 , MgO. Or plastic to make or coat the material. If a coating is used, the coating thickness can range from about 1 [mu]m to about 1 mm. A plurality of holes 224 are formed in the dual channel showerhead 218. The holes 224 may extend from the first surface 302 to the second surface 304, and free radicals generated by the radical source 204 may abut the substrate on the support assembly 232 through the holes 224. The inner volume 306 surrounds a plurality of holes 224, and the one or more annular channels 308, 310 surround the inner volume 306 and the plurality of holes 224.

內部容積306流體連通一或更多環狀通道308、310。複數個開口226從內部容積306延伸到第二表面304。一或更多環狀通道308、310連接至入口312,入口耦接至氣源221。氣源221可提供前驅氣體至雙通道噴淋頭218,例如含矽氣體、含氧氣體及/或含氮氣體,前驅氣體流過一或更多環狀通道308、310而至內部容積306,及經由複數個開口226往處理區228。 Internal volume 306 is in fluid communication with one or more annular passages 308, 310. A plurality of openings 226 extend from the interior volume 306 to the second surface 304. One or more annular passages 308, 310 are coupled to the inlet 312 and the inlet is coupled to the gas source 221. The gas source 221 can provide a precursor gas to the dual channel showerhead 218, such as a helium containing gas, an oxygen containing gas, and/or a nitrogen containing gas, the precursor gas flowing through the one or more annular passages 308, 310 to the interior volume 306, And through a plurality of openings 226 to the processing zone 228.

由於複數個孔洞224的開口不流體連通內部容積306,通過複數個孔洞224的自由基不會與雙通道噴淋頭218中的前驅氣體混合。因噴淋頭218含有一或更多彼此不流體連通的通道,故噴淋頭218係雙通道噴淋頭218。然噴淋頭218可含有兩個以上的通道,此亦稱作雙通道噴淋頭。複數個孔洞224各自的內徑為約0.10吋至約0.35吋。複數個開口226各自的直徑為約0.01吋至約0.04吋。 Since the openings of the plurality of holes 224 are not in fluid communication with the interior volume 306, free radicals passing through the plurality of holes 224 are not mixed with the precursor gases in the dual channel showerhead 218. The showerhead 218 is a dual channel showerhead 218 because the showerhead 218 contains one or more channels that are not in fluid communication with one another. The showerhead 218 can contain more than two channels, also referred to as dual channel showerheads. Each of the plurality of holes 224 has an inner diameter of from about 0.10 Torr to about 0.35 Torr. The plurality of openings 226 each have a diameter of from about 0.01 吋 to about 0.04 吋.

一或更多環狀通道308、310可由一或更多連接通道316連接,連接通道316的截面小於環狀通道308、310。此構造有助於使前驅氣體均勻分配至內部容積306及離開開口226。然若自由基將進入入口312,則當從大環狀通道308流向小連接通道316時, 自由基可復合。為提供不同於形成於自由基源204的自由基的自由基路徑,第二入口314形成於雙通道噴淋頭218,第二入口314連接至內部容積306並繞過一或更多環狀通道308、310。第二入口314不同於第一入口312,且可配置以將自由基從自由基源219引導到內部容積306,而不通過一或更多環狀通道308、310。 One or more of the annular passages 308, 310 may be connected by one or more connecting passages 316 having a smaller cross section than the annular passages 308, 310. This configuration helps to distribute the precursor gas evenly to the interior volume 306 and away from the opening 226. However, if free radicals will enter the inlet 312, when flowing from the large annular passage 308 to the small connecting passage 316, Free radicals can be compounded. To provide a free radical path different from the free radicals formed in the free radical source 204, a second inlet 314 is formed in the dual channel showerhead 218, and the second inlet 314 is coupled to the interior volume 306 and bypasses one or more annular channels 308, 310. The second inlet 314 is different than the first inlet 312 and is configurable to direct free radicals from the radical source 219 to the interior volume 306 without passing through one or more of the annular passages 308, 310.

利用設備200表面處理期間的處理條件如下。處理腔室202的溫度可維持在約50℃至800℃,例如約100℃至約600℃。處理腔室202的壓力可維持為約10毫托耳至約20托耳,例如約0.5托耳至約8托耳。就300mm基板而言,至少一含矽、含氮及/或含氧前驅氣體可按約0.1sccm至約10000sccm的流率引入處理區228。或者或此外,就300mm基板而言,該等自由基形成氣體可按約1sccm至約50000sccm的流率引入自由基源204。若有使用,則就300mm基板而言,載氣流率可為約1sccm至約50000sccm。或者或除雙通道噴淋頭218外,自由基可由自由基源204產生。例如,若自由基源204係電容耦合遠端電漿源,則就300mm基板而言,可以約50瓦(W)至約15000W的RF功率產生自由基,例如RF功率為約2000W至約10000W。 The processing conditions during the surface treatment using the apparatus 200 are as follows. The temperature of the processing chamber 202 can be maintained between about 50 ° C and 800 ° C, such as between about 100 ° C and about 600 ° C. The pressure of the processing chamber 202 can be maintained from about 10 mTorr to about 20 Torr, such as from about 0.5 Torr to about 8 Torr. For a 300 mm substrate, at least one cerium-, nitrogen-containing, and/or oxygen-containing precursor gas can be introduced into the processing zone 228 at a flow rate of from about 0.1 sccm to about 10,000 sccm. Alternatively or additionally, in the case of a 300 mm substrate, the radical forming gases may be introduced into the radical source 204 at a flow rate of from about 1 sccm to about 50,000 sccm. If used, the carrier gas flow rate can range from about 1 sccm to about 50,000 sccm for a 300 mm substrate. Alternatively or in addition to the dual channel showerhead 218, free radicals may be generated by the free radical source 204. For example, if the free radical source 204 is capacitively coupled to a remote plasma source, free radicals can be generated from about 50 watts (W) to about 15,000 W for a 300 mm substrate, such as an RF power of from about 2000 W to about 10000 W.

表面處理後,相較於處理前的支柱和整體基板表面,已處理基板表面的支柱越均勻,越能減小支柱間黏滯力和表面粗糙度。線條彎曲和表面粗糙度可利用 顯微鏡監測,例如掃描式電子顯微鏡和穿隧式電子顯微鏡。然其他顯微鏡技術亦可用於監測線條彎曲。利用此技術,可藉由計算鄰接支柱島部及/或凹部間距的標準差,以監測線條彎曲。例如,可計算基板各處二十個晶粒的平均與標準差。低標準差意味著線條彎曲比具高標準差值的基板晶粒少。在此,均勻度係指島部間距及/或支柱間空間的標準差。若基板表面各處的島部/凹部均勻度很高,則將有較少線條彎曲。 After the surface treatment, the more uniform the pillars on the surface of the treated substrate, the more the viscous force and the surface roughness between the pillars are reduced, compared to the pillars before the treatment and the surface of the entire substrate. Line bending and surface roughness available Microscope monitoring, such as scanning electron microscopy and tunneling electron microscopy. Other microscope techniques can also be used to monitor line bending. Using this technique, line bending can be monitored by calculating the standard deviation of the spacing of adjacent islands and/or recesses. For example, the average and standard deviation of twenty grains throughout the substrate can be calculated. A low standard deviation means that the line bends less than the substrate grain with a high standard deviation. Here, the uniformity refers to the inter-island spacing and/or the standard deviation of the space between the pillars. If the island/concave uniformity across the surface of the substrate is high, there will be less line bending.

根據本發明實施例,所述表面處理可調節FCVD填充半月輪廓,及在如後續FCVD製程後減小鄰接支柱間的毛細力。降低基板表面的表面反應性可減小基板鄰接支柱間的黏滯力,及容許後續FCVD製程填充鄰接支柱間的間隙,並減少FCVD製程之前與期間線條彎曲。故根據本發明實施例的表面處理可調節處理層的初始沉積粗糙度和沉積至處理層上的後續FCVD層。 According to an embodiment of the invention, the surface treatment can adjust the FCVD fill half moon profile and reduce the capillary forces between adjacent struts after a subsequent FCVD process. Reducing the surface reactivity of the substrate surface reduces the viscous force between the adjacent pillars of the substrate, and allows the subsequent FCVD process to fill the gap between adjacent pillars and reduce the bending of the lines before and during the FCVD process. Therefore, the surface treatment according to an embodiment of the present invention can adjust the initial deposition roughness of the treatment layer and the subsequent FCVD layer deposited onto the treatment layer.

或者或除上述表面處理外,流動層可利用流動或仿流動CVD製程形成在處理或未處理基板上面。流動層通常依毯覆式形成、填充圖案化基板的凹部及覆蓋圖案化基板的凸部。流動層可為氧化層。 Alternatively or in addition to the surface treatment described above, the fluidized layer can be formed on the treated or untreated substrate by a flow or flow-like CVD process. The fluidized layer is usually formed in a blanket manner, filling a recess of the patterned substrate and a convex portion covering the patterned substrate. The fluid layer can be an oxide layer.

沉積介電膜在低電漿功率下通常更具流動性,且隨電漿功率提高而從流動性轉移成共形性。例如,當電漿功率從約1000瓦降至約100瓦或以下時(例如約900、800、700、600或500瓦或以下),保持在處理區228中的含氬電漿可製造更具流動性的氧化矽層,當 電漿功率從約1000瓦或以上增加時(例如約約1000、1100、1200、1300、1400、1500、1600、1700瓦或以上),將製造更共形層。隨著電漿功率從低增加至高,可較平順且連續從流動性轉變成共形沉積膜,或利用較不連續閥值進行。電漿功率(單獨或除其他沉積參數外)可調整以選擇沉積膜的共形與流動性平衡。 The deposited dielectric film is generally more fluid at low plasma power and is transferred from fluidity to conformal as the power of the plasma increases. For example, when the plasma power is reduced from about 1000 watts to about 100 watts or less (e.g., about 900, 800, 700, 600, or 500 watts or less), the argon-containing plasma held in the processing zone 228 can be made more Fluidized yttria layer, when A more conformal layer will be produced when the plasma power is increased from about 1000 watts or more (e.g., about 1000, 1100, 1200, 1300, 1400, 1500, 1600, 1700 watts or more). As the plasma power is increased from low to high, it can be smoothed and continuously converted from fluidity to a conformal deposited film, or with a less continuous threshold. The plasma power (alone or in addition to other deposition parameters) can be adjusted to select the conformal and fluidity balance of the deposited film.

在圖案化基板上面形成氧化層的示例性製程包括在約100℃或以下的溫度下,使有機矽前驅物和氧前驅物反應,以形成流動氧化層。適合的有機矽前驅物具有小於8的碳原子與矽原子比率。適合的有機矽化合物亦具有0至約6的氧與矽原子比率,且包括Si-O-Si交鏈,以助於SiOx膜形成及減少碳與羥基污染。 An exemplary process for forming an oxide layer over a patterned substrate includes reacting an organic germanium precursor with an oxygen precursor at a temperature of about 100 ° C or below to form a flowing oxide layer. Suitable organic germanium precursors have a carbon atom to germanium atom ratio of less than 8. Suitable organic germanium compounds also have an oxygen to germanium atomic ratio of from 0 to about 6, and include Si-O-Si crosslinks to aid in the formation of SiO x films and to reduce carbon and hydroxyl contamination.

適合的有機矽化合物可為矽氧烷化合物(例如三乙氧基矽氧烷、四甲氧基矽氧烷、三甲氧基矽氧烷、六甲氧基二矽氧烷、八甲氧基三矽氧烷及/或八甲氧基十二矽氧烷)、具一或更多氮基的矽氮氧烷(例如六甲氧基二矽氮氧烷、甲基六甲氧基二矽氮氧烷、氯六甲氧基二矽氮氧烷、六乙氧基二矽氮氧烷、九甲氧基三矽氮氧烷和八甲氧基環矽氮氧烷)、包括一或更多鹵素基元(例如氟化物、氯化物、溴化物或碘化物)的鹵化矽氧烷化合物(例如四氯矽烷、二氯二乙氧基矽氧烷、氯三乙氧基矽氧烷、六氯二矽氧烷及/或八氯三矽氧烷)和胺基矽烷(例如三矽烷胺、六甲基二矽氮烷、雜氮矽三環、肆(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、三(二甲基胺基) 氯矽烷和甲基雜氮矽三環)。適合的有機矽化合物亦可為二矽烷,例如烷氧基二矽烷、烷氧基烷基二矽烷和烷氧基乙醯氧基二矽烷,包括具以下一般結構的化合物: 其中R1-R6個別為C1-3烷氧基、C1-3烷基或乙醯氧基,其中R1-R6的至少一者為烷氧基或乙醯氧基。適合的有機矽化合物亦包括具烷基與烷氧基基元的環狀二矽烷,例如具至少一烷基與烷氧基的丁矽烷、戊矽烷、己矽烷、庚矽烷、辛矽烷等。實例包括八甲基-1,4-二氧雜-2,3,5,6-四矽雜環己烷、1,4-二氧雜-2,3,5,6-四矽環己烷、1,2,3,4,5,6-六甲氧基-1,2,3,4,5,6-六甲基環己矽烷等其他烷氧基烷基環矽烷。適合的有機矽化合物亦包括有機環矽烷,例如環丁矽烷、環戊矽烷、環己矽烷、環庚矽烷、環辛矽烷和其他類似化合物。 Suitable organic hydrazine compounds may be oxoxane compounds (e.g., triethoxy methoxy oxane, tetramethoxy methoxy oxane, trimethoxy methoxy oxane, hexamethoxydioxane, octamethoxy triterpene). Oxane and/or octamethoxydodecyloxane, a nonazepine having one or more nitrogen groups (for example, hexamethoxydiazepine, methylhexamethoxydioxane, Chlorhexamethoxydiazide, hexaethoxydiazide, pentylmethoxytrioxane and octamethoxycycloxetane, including one or more halogen moieties ( Halogenated oxane compounds such as fluoride, chloride, bromide or iodide (eg tetrachloromethane, dichlorodiethoxy decane, chlorotriethoxy decane, hexachlorodioxane) And/or octachlorotrioxane and amino decane (eg trioxane, hexamethyldioxane, azaindene tricyclic, decyl (dimethylamino) decane, bis(diethylamine) Base) decane, tris(dimethylamino)chlorodecane and methyl azaindene tricyclic). Suitable organic hydrazine compounds may also be dioxane, such as alkoxydioxane, alkoxyalkyldioxane and alkoxyethoxycarbonyldioxane, including compounds having the general structure: Wherein R 1 to R 6 are each independently a C 1-3 alkoxy group, a C 1-3 alkyl group or an ethoxylated group, wherein at least one of R 1 to R 6 is an alkoxy group or an ethoxy group. Suitable organic phosphonium compounds also include cyclic dioxanes having alkyl and alkoxy moieties such as butane, pentanane, hexancan, heptane, octane, and the like having at least one alkyl group and alkoxy group. Examples include octamethyl-1,4-dioxa-2,3,5,6-tetraoxacyclohexane, 1,4-dioxa-2,3,5,6-tetradecylcyclohexane Other alkoxyalkylcyclodecanes such as 1,2,3,4,5,6-hexamethoxy-1,2,3,4,5,6-hexamethylcyclohexane. Suitable organic hydrazine compounds also include organic cyclodecanes such as cyclobutane, cyclopentane, cyclohexane, cycloheptane, cyclooctane and the like.

氧前驅物可包括分子氧(O2)、臭氧(O3)、氮氧化合物(例如NO、NO2或N2O)、氫氧化合物(例如水或過氧化氫)、碳氧化合物(例如一氧化碳或二氧化碳)和其他含氧前驅物。氧前驅物亦可包括原子氧及/或氧自由基,此可遠端產生並偕同有機矽前驅物引入。諸如氦、氖、氬及/或氫等載氣可與有機矽前驅物、氧前驅物或二者混合。氧前驅物可在引入沉積腔室前活化,例如利用遠端電漿源,活化包括熱解離、紫外光解離、 射頻(RF)、直流(DC)及/或微波解離。在一實施例中,4-6千瓦(kW)的RF功率耦合至900-1800sccm的氬與600-1200sccm的分子氧流量。加熱溫度可為室溫至約1100℃。 The oxygen precursor may include molecular oxygen (O 2 ), ozone (O 3 ), nitrogen oxides (eg, NO, NO 2 or N 2 O), hydroxides (eg, water or hydrogen peroxide), carbon oxides (eg, Carbon monoxide or carbon dioxide) and other oxygenated precursors. The oxygen precursor may also include atomic oxygen and/or oxygen radicals, which may be generated remotely and with the introduction of an organic rhodium precursor. A carrier gas such as helium, neon, argon, and/or hydrogen may be mixed with the organic cerium precursor, the oxygen precursor, or both. The oxygen precursor can be activated prior to introduction into the deposition chamber, such as with a remote plasma source, including thermal dissociation, ultraviolet light dissociation, radio frequency (RF), direct current (DC), and/or microwave dissociation. In one embodiment, 4-6 kilowatts (kW) of RF power is coupled to 900-1800 sccm of argon and 600-1200 sccm of molecular oxygen flow. The heating temperature may range from room temperature to about 1100 °C.

有機矽前驅物和氧前驅物通常由不同路徑引入沉積腔室,以免在沉積腔室外面反應。有機矽前驅物可像氣體一樣按約800mgm至約1600mgm的液體當量流率引入沉積腔室。氦可按約600sccm至約2400sccm的流率納入做為載氣。活化氧前驅物可按約3sLm(標準升每分鐘)至約20sLm的流率引入沉積腔室。前驅物反應以沉積流動氧化層至具圖案化光阻材料的基板上。流動氧化物流動而填充圖案化基板的凹部。在一實施例中,流動氧化層可為氧化矽,沉積厚度為200-400埃()且覆蓋材料凸部。 The organic ruthenium precursor and the oxygen precursor are typically introduced into the deposition chamber by different paths to avoid reaction outside the deposition chamber. The organic germanium precursor can be introduced into the deposition chamber at a liquid equivalent flow rate of from about 800 mgm to about 1600 mgm like a gas. The crucible may be incorporated as a carrier gas at a flow rate of from about 600 sccm to about 2400 sccm. The activated oxygen precursor can be introduced into the deposition chamber at a flow rate of from about 3 sLm (standard liters per minute) to about 20 sLm. The precursor reacts to deposit a flowing oxide layer onto the substrate with the patterned photoresist material. The flowing oxide flows to fill the recess of the patterned substrate. In one embodiment, the flowing oxide layer may be yttrium oxide and deposited to a thickness of 200-400 angstroms ( And covering the material protrusions.

有機矽前驅物可用於在約-10℃至約150℃的處理溫度(例如約30℃至約100℃,例如約65℃)和約0.5托耳至約10托耳的壓力下形成流動層。有機矽前驅物可按約10sccm至約1800sccm的流率提供,例如約600sccm至約1600sccm,例如約1400sccm。氧前驅物可按約10mgm至約1500mgm的流率提供,例如約1000mgm。 The organic rhodium precursor can be used to form a fluidized layer at a processing temperature of from about -10 ° C to about 150 ° C (eg, from about 30 ° C to about 100 ° C, such as about 65 ° C) and a pressure of from about 0.5 Torr to about 10 Torr. The organic germanium precursor can be provided at a flow rate of from about 10 sccm to about 1800 sccm, such as from about 600 sccm to about 1600 sccm, such as about 1400 sccm. The oxygen precursor can be provided at a flow rate of from about 10 mgm to about 1500 mgm, for example about 1000 mgm.

氮基自由基前驅物亦可按約600sccm至約1250sccm的流率提供至自由基源,例如約800sccm。在流動SiO層形成期間引入氮基自由至如處理區 228可減小沉積時基板鄰接支柱的黏滯力、改善毛細力和沉積SiO膜的表面粗糙度。上述矽烷基氣體和自由基氣體範圍的較高流率值可改善膜的流動性、基板的線條彎曲和沉積FCVD膜的粗糙度與機械強度。在一些實施例中,有機矽前驅物流率與氮基自由基流率比為約1:1至約10:1,例如約2:1。氮基自由基氣體可衍生自如氨。 The nitrogen radical precursor may also be provided to a source of free radicals, for example about 800 sccm, at a flow rate of from about 600 sccm to about 1250 sccm. Introducing a nitrogen group into the treatment zone during formation of the flowing SiO layer 228 can reduce the viscous force of the substrate adjacent to the pillar during deposition, improve the capillary force and the surface roughness of the deposited SiO film. The higher flow rate values of the above-described cesium alkyl gas and radical gas range can improve the fluidity of the film, the line bending of the substrate, and the roughness and mechanical strength of the deposited FCVD film. In some embodiments, the ratio of organic ruthenium precursor flow to nitrogen radical flow rate is from about 1:1 to about 10:1, such as about 2:1. The nitrogen radical gas can be derived from, for example, ammonia.

如上所述,當流動SiO膜沉積至未經表面處理的基板上時,利用較小氮基自由基流率(例如600sccm)可獲得類似有益結果。 As described above, when a flowing SiO film is deposited onto a substrate that has not been surface-treated, similar beneficial results can be obtained with a smaller nitrogen radical flow rate (e.g., 600 sccm).

當矽基和氧基自由基取代或結合氮基自由基供應至處理區228時,可獲得類似有益結果。矽基和氧基自由基源可衍生自上述表面處理用矽基和氧基前驅物。如同氮基自由基FCVD製程的條件,類似處理條件可用於矽基和氧基自由基FCVD製程。當其他矽烷氣體用作沉積流動膜(即流動介電膜未必係SiO膜)的來源時,亦可獲得類似有益結果。 Similar beneficial results are obtained when a thiol and oxy radical are substituted or a nitrogen radical is supplied to the treatment zone 228. The sulfhydryl and oxy radical sources can be derived from the above-described surface treatment sulfhydryl and oxy precursors. Like the conditions of the nitrogen radical free FCVD process, similar processing conditions can be used for the sulfhydryl and oxy radical FCVD processes. Similar beneficial results are obtained when other decane gases are used as a source for depositing a flow film (i.e., the flow dielectric film is not necessarily an SiO film).

流動膜通常會在沉積後固化,以移除水氣和殘餘有機物、硬化及密化該層。固化通常係利用低溫製程進行,使磁性活化材料維持在約100℃或以下的溫度。製程包括接觸感應耦合電漿、紫外光、臭氧、電子束、酸性或鹼性蒸汽、含水環境(例如加熱去離子水),及結合或相繼此類處理。為助於固化,可利用表面加熱方法加熱流動氧化層達約150℃或以下。表面加熱方法 包括接觸紅外線或加熱燈及靠近熱腔室表面,例如噴淋頭。若基板置於能冷卻磁性活化材料的基板支撐件上,則可視基板支撐件的冷卻能力,加熱流動氧化層達更高溫度。 The flowing film typically cures after deposition to remove moisture and residual organics, harden and densify the layer. Curing is typically carried out using a low temperature process to maintain the magnetically activated material at a temperature of about 100 ° C or below. Processes include contact inductively coupled plasma, ultraviolet light, ozone, electron beam, acidic or basic vapors, aqueous environments (eg, heated deionized water), and combined or sequential such treatments. To aid in curing, the surface oxide method can be used to heat the flowing oxide layer to about 150 ° C or below. Surface heating method This includes touching infrared or heat lamps and proximity to the surface of the thermal chamber, such as a showerhead. If the substrate is placed on a substrate support capable of cooling the magnetically active material, the flowing oxide layer can be heated to a higher temperature depending on the cooling capacity of the substrate support.

在其他實施例中,使用熱源加熱基板,熱源施加至相對氧化層的基板表面,以固化氧化層。例如,基板可置於加熱基板支撐件上,為固化氧化層,可加熱基板支撐件,從而加熱基板達約100℃至約150℃。 In other embodiments, the substrate is heated using a heat source that is applied to the surface of the substrate opposite the oxide layer to cure the oxide layer. For example, the substrate can be placed on a heated substrate support to cure the oxide layer, and the substrate support can be heated to heat the substrate from about 100 ° C to about 150 ° C.

在此所用流動氧化層可依需求部分固化,以縮短固化時間或使固化氧化層達成一定預定性質。通常期流動氧化層固化達足以形成圖案且由固化氧化層維持而不流動的一定程度。若固化以固化後的水氣加上殘留層內的有機物除以原有水氣加上有機物的百分比表示,則0%係指未固化層,例如剛沉積之流動氧化層,100%係指固化程度為已移除所有水氣與有機物的層,在此所用流動氧化層通常經固化達至少約40%,例如約50%至約95%,例如約90%。 The flow oxide layer used herein may be partially cured as desired to reduce the cure time or to achieve a predetermined property of the cured oxide layer. The normally flowing oxide layer cures to a certain extent sufficient to form a pattern and be maintained by the cured oxide layer without flowing. If the curing is based on the moisture after curing plus the organic matter in the residual layer divided by the percentage of the original moisture plus the organic matter, 0% means the uncured layer, such as the newly deposited flowing oxide layer, 100% means curing To the extent that all moisture and organic layers have been removed, the flow oxide layer used herein is typically cured to at least about 40%, such as from about 50% to about 95%, such as about 90%.

在一些實施例中,可利用如FCVD形成膜至處理表面或未處理膜表面上。膜可包括含矽膜,但不以此為限。例如,膜可沉積及由SiC、SiO、SiCN、SiO2、SiOC、SiOCN、SiON及/或SiN組成。膜組成取決於前驅氣體組成。SiC膜可利用如(二甲基矽基)(三甲基矽基)甲烷、六甲基二矽烷及/或三甲基矽烷沉積。SiO/SiO2膜可利用如TEOS及/或二矽氧烷沉積。 SiCN膜可利用如三(二甲基胺基)矽烷、雙(二甲基胺基)甲基矽烷及/或(二甲基胺基)二甲基矽烷沉積。SiOC膜可利用如三(二甲基胺基)矽烷、雙(二甲基胺基)甲基矽烷、(二甲基胺基)二甲基矽烷、三(二甲基胺基)矽烷、雙(二甲基胺基)甲基矽烷及/或(二甲基胺基)二甲基矽烷沉積。SiOCN膜可利用如三(二甲基胺基)矽烷、雙(二甲基胺基)甲基矽烷及/或(二甲基胺基)二甲基矽烷形成。SiON膜可利用如二矽氧烷或三矽烷胺形成。SiN膜可利用如三矽烷胺(TSA)及/或矽烷沉積。 In some embodiments, the film may be formed onto the treated or untreated film surface using, for example, FCVD. The film may include a ruthenium-containing film, but is not limited thereto. For example, the film can be deposited and composed of SiC, SiO, SiCN, SiO 2 , SiOC, SiOCN, SiON, and/or SiN. The film composition depends on the composition of the precursor gas. The SiC film can be deposited using, for example, (dimethylmethyl) (trimethylindenyl)methane, hexamethyldioxane, and/or trimethyldecane. The SiO/SiO 2 film can be deposited using, for example, TEOS and/or dioxane. The SiCN film can be deposited using, for example, tris(dimethylamino)decane, bis(dimethylamino)methylnonane, and/or (dimethylamino)dimethyl decane. The SiOC film can utilize, for example, tris(dimethylamino)decane, bis(dimethylamino)methylnonane, (dimethylamino)dimethylsilane, tris(dimethylamino)decane, double (Dimethylamino)methyl decane and / or (dimethylamino) dimethyl decane deposition. The SiOCN film can be formed using, for example, tris(dimethylamino)decane, bis(dimethylamino)methylnonane, and/or (dimethylamino)dimethylsilane. The SiON film can be formed using, for example, dioxane or tridecylamine. The SiN film can be deposited using, for example, trioxane amine (TSA) and/or decane.

流動或仿流動層可利用高密度電漿CVD系統、電漿加強CVD系統及/或次大氣壓CVD系統等其他系統形成。能形成流動或仿流動氧化層的CVD系統實例包括ULTIMA HDP CVD®系統和PRODUCER®系統上的ETERNA CVD®,二者均取自美國加州聖克拉拉的應用材料公司(Applied Materials,Inc.)。取自其他製造商的其他類似構造CVD系統也可使用。 The flow or imitation flow layer can be formed using other systems such as high density plasma CVD systems, plasma enhanced CVD systems, and/or sub-atmospheric CVD systems. CVD system capable of forming an oxide layer to flow or simulated flow ETERNA CVD ® Examples include the ULTIMA HDP CVD ® systems and PRODUCER ® system, both from Applied Materials of Santa Clara, California company (Applied Materials, Inc.). Other similarly constructed CVD systems from other manufacturers may also be used.

第4A圖係製程流程圖,該圖圖示根據另一實施例的方法。如第4A圖所示,減少線條彎曲與具支柱之基板表面粗糙度的方法包括用自由基處理含支柱之基板,以形成處理表面(方塊402)。如上所述,用自由基處理含支柱之基板以形成處理表面可在約50℃至約800℃的溫度、約10毫托耳至約20托耳的壓力下進行。自由基可為矽基、氮基或氧基,自由基可按約0.1sccm至約10000sccm的流率引入處理區。方法包括使有機 矽前驅物和氧前驅物反應,以在處理表面上面形成介電膜(方塊404)。使有機矽前驅物和氧前驅物反應以在處理表面上面形成介電膜可在約100℃或以下的溫度、約0.5托耳至約10托耳的壓力下進行。有機矽前驅物可按約10sccm至約1800sccm的流率引入處理區,氧前驅物可按約10mgm至約1500mgm的流率引入處理區。方法包括在約150℃或以下的溫度下,固化介電膜(方塊406)。本發明的實施例可藉由改善毛細力和鄰接支柱間的黏滯力而改進基板表面粗糙度和基板支柱的整體線條彎曲。 Figure 4A is a process flow diagram that illustrates a method in accordance with another embodiment. As shown in FIG. 4A, a method of reducing the curvature of a line and the surface roughness of a substrate having a strut includes treating the substrate containing the strut with a radical to form a treated surface (block 402). As described above, treating the substrate containing the pillars with free radicals to form the treated surface can be carried out at a temperature of from about 50 ° C to about 800 ° C, at a pressure of from about 10 mTorr to about 20 Torr. The free radical can be a thiol, a nitrogen or an oxy group, and the free radical can be introduced into the treatment zone at a flow rate of from about 0.1 sccm to about 10,000 sccm. Method includes making organic The ruthenium precursor reacts with the oxygen precursor to form a dielectric film over the treated surface (block 404). The reaction of the organic cerium precursor with the oxygen precursor to form a dielectric film over the treated surface can be carried out at a temperature of about 100 ° C or below, at a pressure of from about 0.5 Torr to about 10 Torr. The organic hafnium precursor can be introduced into the treatment zone at a flow rate of from about 10 sccm to about 1800 sccm, and the oxygen precursor can be introduced into the treatment zone at a flow rate of from about 10 mgm to about 1500 mgm. The method includes curing the dielectric film at a temperature of about 150 ° C or below (block 406). Embodiments of the present invention can improve substrate surface roughness and overall line bending of the substrate struts by improving capillary forces and viscous forces between adjacent struts.

第4B圖係製程流程圖,該圖圖示根據又一實施例的方法。如第4B圖所示,減少線條彎曲與具支柱之基板表面粗糙度的方法包括使有機矽前驅物、氧前驅物和自由基前驅物反應,以在含支柱之基板上面形成介電膜(方塊408)。在含支柱之基板上面形成介電膜可在約100℃或以下的溫度、約0.5托耳至約10托耳的壓力下進行。有機矽前驅物可按約10sccm至約1800sccm的流率引入處理區。氧前驅物可按約10mgm至約1500mgm的流率引入處理區。自由基前驅物可按約600sccm至約1250sccm的流率引入自由基源。方法包括在約150℃或以下的溫度下,固化介電膜(方塊410)。自由基前驅物可選自由氮基自由基前驅物、氧基自由基前驅物和矽基自由基前驅物所組成的群組。 Figure 4B is a process flow diagram that illustrates a method in accordance with yet another embodiment. As shown in FIG. 4B, the method for reducing the surface roughness of the substrate and the surface roughness of the substrate having the pillar includes reacting the organic germanium precursor, the oxygen precursor, and the radical precursor to form a dielectric film on the substrate including the pillar (square) 408). Forming the dielectric film over the substrate containing the pillars can be carried out at a temperature of about 100 ° C or below, at a pressure of from about 0.5 Torr to about 10 Torr. The organic germanium precursor can be introduced into the treatment zone at a flow rate of from about 10 sccm to about 1800 sccm. The oxygen precursor can be introduced into the treatment zone at a flow rate of from about 10 mgm to about 1500 mgm. The free radical precursor can be introduced into the free radical source at a flow rate of from about 600 sccm to about 1250 sccm. The method includes curing the dielectric film at a temperature of about 150 ° C or below (block 410). The free radical precursor may be selected from the group consisting of a nitrogen radical precursor, an oxy radical precursor, and a sulfhydryl radical precursor.

本發明的實施例可改善毛細力和鄰接支柱間的黏滯力,藉以改進基板表面粗糙度和基板支柱的整體線條彎曲。本發明的實施例亦可改善沉積流動膜的流動性。 Embodiments of the present invention can improve capillary forces and viscous forces between adjacent struts, thereby improving substrate surface roughness and overall line bending of the substrate struts. Embodiments of the invention may also improve the fluidity of the deposited flow membrane.

雖然以上係針對本發明實施例說明,但在不脫離本發明基本範圍的情況下,當可策劃本發明的其他和進一步實施例,因此本發明範圍視後附申請專利範圍所界定者為準。 While the above is directed to the embodiments of the present invention, the scope of the present invention is defined by the scope of the appended claims.

Claims (20)

一種減少具支柱之基板的表面粗糙度與線條彎曲的方法,包含:在一遠端電漿系統中形成多個第一自由基與離子,該遠端電漿系統係一自由基源;在一噴淋頭中形成多個第二自由基;在約50℃至約800℃的一溫度、約10毫托耳至約20托耳的一壓力下,用至少該等第一自由基與第二自由基處理一含支柱之基板,以形成一處理表面,其中該等第一自由基與第二自由基係矽基(silicon-based)、氮基(nitrogen-based)或氧基(oxygen-based),且該等第一自由基與第二自由基按約0.1sccm至約10000sccm的一流率引入一處理區;在約100℃或以下的一溫度、約0.5托耳至約10托耳的一壓力下,使該等第一自由基與第二自由基的至少一者、一有機矽前驅物和一氧前驅物反應,以在該處理表面上面形成一介電膜,其中該有機矽前驅物按約10sccm至約1800sccm的一流率引入一處理區,該氧前驅物按約10mgm至約1500mgm的一流率引入該處理區;及在約150℃或以下的一溫度下,固化該介電膜。 A method for reducing surface roughness and line bending of a substrate having a pillar, comprising: forming a plurality of first radicals and ions in a distal plasma system, the remote plasma system being a radical source; Forming a plurality of second radicals in the showerhead; using at least the first radicals and the second at a temperature of from about 50 ° C to about 800 ° C, a pressure of from about 10 mTorr to about 20 Torr Free radical treatment of a substrate comprising pillars to form a treated surface, wherein the first radicals and the second radical are silicon-based, nitrogen-based or oxygen-based And the first radical and the second radical are introduced into a treatment zone at a first rate of from about 0.1 sccm to about 10,000 sccm; at a temperature of about 100 ° C or below, from about 0.5 Torr to about 10 Torr Reacting at least one of the first radicals and the second radical, an organic germanium precursor, and an oxygen precursor under pressure to form a dielectric film on the surface of the treatment, wherein the organic germanium precursor Introducing a treatment zone at a first rate of from about 10 sccm to about 1800 sccm, the oxygen precursor being about 10 mgm A first rate of about 1500 mgm is introduced into the treatment zone; and the dielectric film is cured at a temperature of about 150 ° C or below. 如請求項1所述之方法,其中在該處理表面上面形成該介電膜進一步包含按約600sccm至約1250sccm的一流率提供一自由基前驅物至該自由基源。 The method of claim 1, wherein forming the dielectric film over the processing surface further comprises providing a radical precursor to the radical source at a first rate of from about 600 sccm to about 1250 sccm. 如請求項1所述之方法,其中該等第一自由基與第二自由基的至少一者係氧基,且衍生自選自由氧、H2O和過氧化氫所組成群組的一或更多化合物。 The method of claim 1, wherein at least one of the first radical and the second radical is anoxy group and is derived from one or more selected from the group consisting of oxygen, H 2 O, and hydrogen peroxide. Multiple compounds. 如請求項1所述之方法,其中該等第一自由基與第二自由基的至少一者係矽基,且衍生自選自由(二甲基矽基)(三甲基矽基)甲烷((Me)3SiCH2SiH(Me)2)、六甲基二矽烷((Me)3SiSi(Me)3)、三甲基矽烷((Me)3SiH)、氯化三甲基矽烷((Me)3SiCl)、四甲基矽烷((Me)4Si)、四乙氧基矽烷((EtO)4Si)、四甲氧基矽烷((MeO)4Si)、肆(三甲基矽基)矽烷((Me3Si)4Si)、(二甲基胺基)二甲基矽烷((Me2N)SiHMe2)、二甲基二乙氧基矽烷((EtO)2Si(Me)2)、二甲基二甲氧基矽烷((MeO)2Si(Me)2)、甲基三甲氧基矽烷((MeO)3Si(Me))、二甲氧基四甲基二矽氧烷(((Me)2Si(OMe))2O)、三(二甲基胺基)矽烷((Me2N)3SiH)、雙(二甲基胺基)甲基矽烷 ((Me2N)2CH3SiH)和二矽氧烷((SiH3)2O)所組成群組的一或更多化合物。 The method of claim 1, wherein at least one of the first radical and the second radical is sulfhydryl, and is derived from a group selected from (dimethyl decyl) (trimethyl decyl) methane ( Me) 3 SiCH 2 SiH(Me) 2 ), hexamethyldioxane ((Me) 3 SiSi(Me) 3 ), trimethyldecane ((Me) 3 SiH), trimethyl decane chloride (Me 3 SiCl), tetramethyl decane ((Me) 4 Si), tetraethoxy decane ((EtO) 4 Si), tetramethoxy decane ((MeO) 4 Si), ruthenium (trimethyl fluorenyl) ) decane ((Me 3 Si) 4 Si), (dimethylamino) dimethyl decane ((Me 2 N)SiHMe 2 ), dimethyldiethoxy decane ((EtO) 2 Si(Me) 2 ), dimethyldimethoxydecane ((MeO) 2 Si(Me) 2 ), methyltrimethoxydecane ((MeO) 3 Si(Me)), dimethoxytetramethyldioxane Alkane ((Me) 2 Si(OMe)) 2 O), tris(dimethylamino)decane ((Me 2 N) 3 SiH), bis(dimethylamino)methyldecane (Me 2 One or more compounds of the group consisting of N) 2 CH 3 SiH) and dioxane ((SiH 3 ) 2 O). 如請求項1所述之方法,其中形成該處理表面進一步包含控制經由一自由基分配板朝該含支柱之基板的一離子流量。 The method of claim 1 wherein forming the processing surface further comprises controlling an ion flux through the free radical distribution plate toward the substrate comprising the pillars. 如請求項1所述之方法,其中該噴淋頭係一雙通道噴淋頭。 The method of claim 1 wherein the showerhead is a dual channel showerhead. 一種減少具支柱之基板的表面粗糙度與線條彎曲的方法,包含:在一遠端電漿系統中形成多個第一自由基與離子,該遠端電漿系統係一自由基源;在一噴淋頭中形成多個第二自由基;藉由以該等第一自由基與第二自由基處理一含支柱之基板,以形成一處理表面;在約100℃或以下的一溫度、約0.5托耳至約10托耳的一壓力下,使一有機矽前驅物、一氧前驅物和該等第一自由基與第二自由基的至少一者反應,以在該含支柱之基板上面形成一介電膜,其中該有機矽前驅物按約10sccm至約1800sccm的一流率引入一處理區,該氧前驅物按約10mgm至約1500mgm的一流率引入該處理區,一自由基前驅物按約600sccm至約1250sccm的一流率引入該 自由基源;及在約150℃或以下的一溫度下,固化該介電膜,其中該自由基前驅物選自由氮基自由基前驅物、氧基自由基前驅物和矽基自由基前驅物所組成的一群組。 A method for reducing surface roughness and line bending of a substrate having a pillar, comprising: forming a plurality of first radicals and ions in a distal plasma system, the remote plasma system being a radical source; Forming a plurality of second radicals in the showerhead; treating a substrate having pillars with the first radicals and the second radicals to form a treated surface; at a temperature of about 100 ° C or below, about An organic germanium precursor, an oxygen precursor, and at least one of the first radical and the second radical are reacted at a pressure of from 0.5 Torr to about 10 Torr to form a substrate on the pillar Forming a dielectric film, wherein the organic germanium precursor is introduced into a treatment zone at a first rate of about 10 sccm to about 1800 sccm, and the oxygen precursor is introduced into the treatment zone at a first rate of about 10 mgm to about 1500 mgm, a radical precursor is pressed Introduced at a rate of about 600 sccm to about 1250 sccm a source of free radicals; and curing the dielectric film at a temperature of about 150 ° C or below, wherein the radical precursor is selected from the group consisting of a nitrogen radical precursor, an oxy radical precursor, and a sulfhydryl radical precursor a group consisting of. 如請求項7所述之方法,其中該有機矽前驅物選自由三乙氧基矽氧烷、四甲氧基矽氧烷、三甲氧基矽氧烷、六甲氧基二矽氧烷、八甲氧基三矽氧烷和八甲氧基十二矽氧烷所組成的一群組。 The method of claim 7, wherein the organic ruthenium precursor is selected from the group consisting of triethoxy decane, tetramethoxy decane, trimethoxy decane, hexamethoxydioxane, and octadec. A group consisting of oxytrioxane and octamethoxydodecane. 如請求項7所述之方法,其中該有機矽前驅物係選自由六甲氧基二矽氮氧烷、甲基六甲氧基二矽氮氧烷、氯六甲氧基二矽氮氧烷、六乙氧基二矽氮氧烷、九甲氧基三矽氮氧烷和八甲氧基環矽氮氧烷所組成群組的一矽氮氧烷。 The method of claim 7, wherein the organic ruthenium precursor is selected from the group consisting of hexamethoxydiazide, methylhexamethoxydioxane, hexamethyloxydiazide, and hexaethylene. An azide alkane group consisting of oxydiazide azide, hexamethoxytrixaluminoxane and octamethoxycyclononanoxane. 如請求項7所述之方法,其中該有機矽前驅物係選自由四氯矽烷、二氯二乙氧基矽氧烷、氯三乙氧基矽氧烷、六氯二矽氧烷和八氯三矽氧烷所組成群組的一鹵化矽氧烷。 The method of claim 7, wherein the organic ruthenium precursor is selected from the group consisting of tetrachloromethane, dichlorodiethoxy decane, chlorotriethoxy siloxane, hexachlorodioxane, and octachlor A monohalogenated alkane of the group consisting of trioxane. 如請求項7所述之方法,其中該有機矽前驅物係選自由三矽烷胺、六甲基二矽氮烷、雜氮矽三環、肆(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、三(二甲基胺基)氯矽烷和甲基雜氮矽三環所組成群組 的一胺基矽烷。 The method of claim 7, wherein the organic ruthenium precursor is selected from the group consisting of tridecylamine, hexamethyldioxane, azaindene tricyclic, decyl (dimethylamino) decane, and bis (diethyl) Group consisting of decyl, decane, tris(dimethylamino)chlorodecane and methyl azaindene Monoamine decane. 如請求項7所述之方法,其中該有機矽前驅物係選自由烷氧基二矽烷、烷氧基烷基二矽烷和烷氧基乙醯氧基二矽烷所組成群組的一二矽烷,並由具以下一般結構的化合物組成, 其中R1-R6個別為C1-3烷氧基、C1-3烷基或乙醯氧基,其中R1-R6的至少一者為一烷氧基或一乙醯氧基。 The method of claim 7, wherein the organic ruthenium precursor is selected from the group consisting of alkane, alkoxydioxane, and alkoxyethoxy dioxane. And consists of a compound having the following general structure, Wherein R 1 to R 6 are each independently a C 1-3 alkoxy group, a C 1-3 alkyl group or an ethoxylated group, wherein at least one of R 1 to R 6 is a monoalkoxy group or an ethoxycarbonyl group. 如請求項7所述之方法,其中該有機矽前驅物係選自由八甲基-1,4-二氧雜-2,3,5,6-四矽雜環己烷、1,4-二氧雜-2,3,5,6-四矽環己烷、1,2,3,4,5,6-六甲氧基-1,2,3,4,5,6-六甲基環己矽烷、環丁矽烷、環戊矽烷、環己矽烷、環庚矽烷和環辛矽烷所組成群組的一環矽烷。 The method of claim 7, wherein the organic ruthenium precursor is selected from the group consisting of octamethyl-1,4-dioxa-2,3,5,6-tetraoxacyclohexane, 1,4-di Oxa-2,3,5,6-tetradecylcyclohexane, 1,2,3,4,5,6-hexamethoxy-1,2,3,4,5,6-hexamethylcyclohexane Monocyclic decane consisting of decane, cyclobutane, cyclopentane, cyclohexane, cycloheptane and cyclooctane. 如請求項7所述之方法,其中該氧前驅物選自由氧、臭氧、NO、NO2、N2O、水、過氧化物、一氧化碳和二氧化碳所組成的一群組。 The method of claim 7, wherein the oxygen precursor is selected from the group consisting of oxygen, ozone, NO, NO 2 , N 2 O, water, peroxide, carbon monoxide, and carbon dioxide. 如請求項7所述之方法,其中該有機矽前驅物、該氧前驅物與該等第一自由基和第二自由基之至少一者係在一約65℃的溫度下反應。 The method of claim 7, wherein the organic cerium precursor, the oxygen precursor, and at least one of the first radical and the second radical are reacted at a temperature of about 65 °C. 如請求項7所述之方法,其中該有機矽前驅物流率與該等第一自由基和第二自由基的至少一者之流率比為1:1與10:1之間。 The method of claim 7, wherein the ratio of the organic ruthenium precursor flow rate to the at least one of the first radicals and the second radicals is between 1:1 and 10:1. 如請求項7所述之方法,其中該自由基前驅物係一氮基自由基前驅物。 The method of claim 7, wherein the radical precursor is a nitrogen radical precursor. 如請求項7所述之方法,其中該自由基前驅物係一矽基自由基前驅物,且選自由(二甲基矽基)(三甲基矽基)甲烷、六甲基二矽烷、三甲基矽烷、氯化三甲基矽烷、四甲基矽烷、四乙氧基矽烷、四甲氧基矽烷、肆(三甲基矽基)矽烷、(二甲基胺基)二甲基矽烷、二甲基二乙氧基矽烷、二甲基二甲氧基矽烷、甲基三甲氧基矽烷、二甲氧基四甲基二矽氧烷、三(二甲基胺基)矽烷、雙(二甲基胺基)甲基矽烷和二矽氧烷所組成的一群組。 The method of claim 7, wherein the radical precursor is a fluorenyl radical precursor and is selected from the group consisting of (dimethyl decyl) (trimethyl decyl) methane, hexamethyldioxane, three Methyl decane, trimethyl decane chloride, tetramethyl decane, tetraethoxy decane, tetramethoxy decane, decyl (trimethyl decyl) decane, (dimethylamino) dimethyl decane, Dimethyldiethoxydecane, dimethyldimethoxydecane, methyltrimethoxydecane, dimethoxytetramethyldioxane, tris(dimethylamino)decane, double (two A group consisting of methylamino)methylnonane and dioxane. 如請求項7所述之方法,其中該自由基前驅物係一氧基自由基前驅物,且選自由氧、H2O和過氧化氫所組成的一群組。 The method of claim 7, wherein the radical precursor is a monooxy radical precursor and is selected from the group consisting of oxygen, H 2 O, and hydrogen peroxide. 如請求項7所述之方法,其中該自由基前驅物為氨。 The method of claim 7, wherein the radical precursor is ammonia.
TW104139962A 2014-12-22 2015-11-30 Fcvd line bending resolution by deposition modulation TWI670756B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462095518P 2014-12-22 2014-12-22
US62/095,518 2014-12-22

Publications (2)

Publication Number Publication Date
TW201635347A TW201635347A (en) 2016-10-01
TWI670756B true TWI670756B (en) 2019-09-01

Family

ID=56130273

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139962A TWI670756B (en) 2014-12-22 2015-11-30 Fcvd line bending resolution by deposition modulation

Country Status (5)

Country Link
US (1) US9896326B2 (en)
KR (1) KR102456510B1 (en)
CN (1) CN107406983B (en)
TW (1) TWI670756B (en)
WO (1) WO2016105881A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10487403B2 (en) * 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
US10707073B2 (en) * 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
US10734228B2 (en) 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
KR20200122521A (en) * 2019-04-18 2020-10-28 에스케이이노베이션 주식회사 Silicon compound
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070032092A1 (en) * 2005-08-02 2007-02-08 Denso Corporation Method for manufacturing semiconductor device having trench
CN101326629A (en) * 2006-05-30 2008-12-17 应用材料股份有限公司 Process chamber for dielectric gapfill
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US20120156890A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance
CN103003926A (en) * 2010-06-25 2013-03-27 应用材料公司 Pre-clean chamber with reduced ion current
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030018134A (en) * 2001-08-27 2003-03-06 한국전자통신연구원 Method of forming an insulation layer of a semiconductor device for controlling the composition and the doping concentration
JP2004193286A (en) 2002-12-10 2004-07-08 Semiconductor Leading Edge Technologies Inc Method of forming superfine carbon pillar
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
KR20100050788A (en) 2008-11-06 2010-05-14 삼성전자주식회사 Method of forming semiconductor device
GB2471271A (en) * 2009-06-19 2010-12-29 Univ Dublin City Method of coating the channels of a microfluidic device
CN105088191B (en) 2009-07-15 2018-07-13 应用材料公司 The fluid control features structure of CVD chambers
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
JP5490753B2 (en) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 Trench filling method and film forming system
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
KR20120089792A (en) * 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 Bottom up fill in high aspect ratio trenches
WO2013070436A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
CN103531522B (en) * 2013-10-30 2016-08-17 上海华力微电子有限公司 Fleet plough groove isolation structure preparation method
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070032092A1 (en) * 2005-08-02 2007-02-08 Denso Corporation Method for manufacturing semiconductor device having trench
CN101326629A (en) * 2006-05-30 2008-12-17 应用材料股份有限公司 Process chamber for dielectric gapfill
CN103003926A (en) * 2010-06-25 2013-03-27 应用材料公司 Pre-clean chamber with reduced ion current
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US20120156890A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films

Also Published As

Publication number Publication date
CN107406983B (en) 2021-03-16
CN107406983A (en) 2017-11-28
WO2016105881A1 (en) 2016-06-30
KR102456510B1 (en) 2022-10-18
US9896326B2 (en) 2018-02-20
TW201635347A (en) 2016-10-01
US20160181089A1 (en) 2016-06-23
KR20170097200A (en) 2017-08-25

Similar Documents

Publication Publication Date Title
TWI670756B (en) Fcvd line bending resolution by deposition modulation
US10480074B2 (en) Apparatus for radical-based deposition of dielectric films
US7989365B2 (en) Remote plasma source seasoning
US20190214228A1 (en) Radical assisted cure of dielectric films
KR101046967B1 (en) Process Chamber for Dielectric Gap Fill
KR102493324B1 (en) Dual-channel showerhead for formation of film stacks
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US20130288485A1 (en) Densification for flowable films
JP2020036015A (en) Batch hardening chamber with gas distribution and individual pumping
TW201417179A (en) Low cost flowable dielectric films
JP2013515376A (en) PECVD (plasma chemical vapor deposition) multi-step process using continuous plasma
TW201324663A (en) Precursor distribution features for improved deposition uniformity
KR20080014059A (en) Process chamber for dielectric gapfill
US20150167160A1 (en) Enabling radical-based deposition of dielectric films
KR102667714B1 (en) Rps defect reduction by cyclic clean induced rps cooling
US20160017495A1 (en) Plasma-enhanced and radical-based cvd of porous carbon-doped oxide films assisted by radical curing
CN108292594A (en) The single predecessor ARC hard masks of low temperature for multi-layered patterned application
KR100689655B1 (en) Plasma-enhanced cvd depositing method using teos