JP2002256434A - 低誘電率層間絶縁膜の形成方法 - Google Patents

低誘電率層間絶縁膜の形成方法

Info

Publication number
JP2002256434A
JP2002256434A JP2002008476A JP2002008476A JP2002256434A JP 2002256434 A JP2002256434 A JP 2002256434A JP 2002008476 A JP2002008476 A JP 2002008476A JP 2002008476 A JP2002008476 A JP 2002008476A JP 2002256434 A JP2002256434 A JP 2002256434A
Authority
JP
Japan
Prior art keywords
group
film
dielectric constant
vapor deposition
chemical vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002008476A
Other languages
English (en)
Other versions
JP3762304B2 (ja
JP2002256434A5 (ja
Inventor
Jean Louise Vincent
ルイーズ ビンセント ジーン
Mark Leonard O'neill
レオナルド オニール マーク
Howard Paul Withers Jr
ポール ウィザース,ジュニア ハワード
Scott E Beck
エドワード ベック スコット
Raymond Nicholas Vrtis
ニコラス ビルティス レイモンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27116954&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2002256434(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US09/944,042 external-priority patent/US6583048B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2002256434A publication Critical patent/JP2002256434A/ja
Publication of JP2002256434A5 publication Critical patent/JP2002256434A5/ja
Application granted granted Critical
Publication of JP3762304B2 publication Critical patent/JP3762304B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

(57)【要約】 【課題】 半導体装置の層間絶縁膜などとして有用な低
誘電率の膜を形成する方法と、この方法により形成され
る膜を提供すること。 【解決手段】 基材上に膜を成長させるのに十分な化学
気相成長条件下で、シリルエーテル、シリルエーテルオ
リゴマー又は1以上の反応性基を有する有機ケイ素化合
物を含む、有機ケイ素前駆物質を反応させて、約3.5
以下の誘電率を有する層間絶縁膜を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、基材上に低誘電率
の膜を形成する方法と、この方法により形成した膜に関
する。
【0002】
【従来の技術及び発明が解決しようとする課題】電子産
業は,回路と、集積回路(IC)や関連電子デバイスの
構成部品との間の絶縁層として、誘電材料を使用してい
る。配線寸法は、微小電子デバイス(例えばコンピュー
タチップ)の速度と記憶容量を増加させるために、低下
している。マイクロチップ寸法は、過去10年間で、以
前は約1μmであった配線幅が0.18μmに低下する
といったようにかなり低下しており、次の5〜10年で
0.10〜0.05μmほどに細くなると予測されてい
る。配線寸法が低下するにつれ、チップの構成部品間の
信号のクロスオーバー(クロストーク)を防ぐ必要性が
ずっとはるかに厳しくなる。これらの必要性は、RCの
表現でまとめて表すことができ、ここでRは導電性配線
の抵抗であり、Cは絶縁用誘電体中間層の静電容量(キ
ャパシタンス)である。Cは、間隔に逆比例し、層間絶
縁膜(ILD)の誘電率(k)に比例する。このよう
に、間隔を縮めることは、許容可能なRCを維持するの
により小さな誘電率kを必要とする。
【0003】歴史的には、層間絶縁膜としては誘電率が
4.2〜4.5のシリカ(SiO2)が使用されてき
た。ところが、0.18μm未満の配線寸法では、シリ
カはもはや許容可能ではなく、kが2.4〜3.3以下
の層間絶縁膜が必要である。
【0004】低誘電率の層間絶縁膜を作る二つの一般的
なアプローチは、スピンオンと化学気相成長(CVD)
である。両方の方法とも低誘電率の層間絶縁膜を生じさ
せることができるとは言え、CVD法には既存のツール
セットを利用できるという利点がある。CVDのもう一
つの利点は、CVDで生成される膜がいくつかのスピン
オン法で生成される有機高分子膜と比べてシリカ様の構
造であるために、組み込むのがより簡単なことである。
CVDはまた、スピンオン法よりもコンフォーマリティ
と絶縁膜埋め込み能力が良好であると思われる。
【0005】CVDチャンバー内の反応性ガスを解離又
は活性化させる現行のより抜かれた方法は、基板より上
方の反応帯域においてRF結合プラズマ(couple
dplasma)を使うことによるものであり、例えば
国際公開第99/41423号パンフレットに記載され
るようなものである。プラズマ化学気相成長(PECV
D)では、解離と堆積のために必要とされる温度は典型
的に100℃と400℃の間であり、それは熱CVDに
必要とされる温度よりも概して低い。
【0006】SiH4又はTEOS(Si(OCH2CH
34、テトラエチルオルトシリケート)とO2とから製
造される通常のシリカ(SiO2)CVD誘電体膜は、
誘電率kが4.0より高い。より低誘電率のシリカ系C
VD膜を製造するのに産業界で試みられている方法がい
くつかあり、最もうまくいっているのは、絶縁膜に炭素
原子、フッ素原子、又は炭素とフッ素とを含有している
有機基をドープすることである。炭素をドープしたシリ
カは、一般式がSiabcd(この式において、a+
b+c+dの原子%=100%、a=10〜35%、b
=1〜66%、c=1〜35%、d=0〜60%)であ
り、ここではオルガノシリケートガラスあるいはOSG
と称することにする。フッ素と炭素をドープしたシリカ
は、一般式がSiabcde(この式において、a
+b+c+d+eの原子%=100%、a=10〜35
%、b=1〜66%、c=1〜35%、d=0〜60
%、そしてe=0.1〜25%)であり、F−OSGと
称することにする。最終の層間絶縁膜における炭素、ケ
イ素、酸素、フッ素及び水素の比率と構造的配置は、選
ばれた前駆物質、酸化剤、そして例えばRFパワー、ガ
ス流量、滞留時間及び温度等のCVDプロセス条件に依
存する。
【0007】シリカに炭素原子又は有機基をドープする
と、得られた誘電体膜のkがいくつかの理由から低下す
る。メチル基などのような有機基は疎水性であり、従っ
て組成にメチル基又は他の有機基を加えると得られたC
VD成長膜を湿分での汚染から保護する働きをすること
ができる。メチル基あるいはフェニル基のような有機基
を取り入れるのも、シリカの構造を「広げる」のに役立
つことができ、ことによっては、かさばるCHx結合で
空間を満たすことを通じて低密度をもたらすことができ
る。有機基はまた、何らかの官能基をOSGへ取り込む
ことができることから、有用であり、このときには後に
「燃え尽き」又は酸化されて、本質的にkが小さいより
多孔質の物質を生成する。空隙又は細孔を低誘電率の材
料に取り入れると、多孔度の量に比例して誘電率が低下
することになる。これは有益ではあるが、膜に取り入れ
られた多孔度の量は細孔の導入が膜の機械的特性に及ぼ
す有害な影響とのバランスをとらなくてはならない。こ
うして、多孔度の最適な量は材料に依存するものとな
る。
【0008】層間絶縁膜にフッ素をドープすることは、
分極率を低くし、こうしてkを小さくする。フッ素含有
の有機基、例えばCF3といったものは、非常に疎水性
であり、そのためそれらの存在することもシリカを湿分
による汚染から保護するのに役立つ。
【0009】フッ素化したシリカ材料には非常に高い温
度(最高で500℃に至る)に耐えるのに必要な熱及び
機械的安定性があるとは言うものの、これらの材料の特
性(例えば水分吸着が少ないことや、機械的特性)は、
材料中に大量のフッ素を取り入れた場合折衷されること
になりやすい。フッ素化した有機材料、例えばポリ(テ
トラフルオロエチレン)などは、2.0以下に至るまで
の小さなk値を持つにも関わらず、集積回路の製造に伴
う後の処理工程の間に遭遇する温度に対して十分な安定
性を示してはいない。有機ポリマーは一般に、現行の条
件下での処理に十分な機械的強さを具備していない。ま
た、フルオロカーボンポリマーも、そのほかの欠点、例
えば密着性が不十分である、高温で金属と反応する可能
性がある、そして場合により高温で剛性が不十分であ
る、といった欠点を示すことがある。
【0010】層間絶縁膜に炭素を取り入れる一つの方法
は、PECVD反応におけるケイ素源としてメチルシラ
ン類(CH3xSiH4-xといったようなオルガノシラ
ンを使用することによるものである。国際公開第99/
41423号パンフレットと米国特許第6054379
号明細書には、メチル基とSi−H結合を含むケイ素化
合物と亜酸化窒素(N2O)酸化剤との反応で、炭素含
有量が1〜50原子%で低誘電率のSiOC膜の得られ
ることが記載されている。
【0011】米国特許第6159871号明細書には、
k値の低いOSGを与えるのに適したCVDオルガノシ
ラン前駆物質としてメチルシラン類(CH3xSiH
4-x(xは1〜4)が開示されている。
【0012】M.J.Lobodaらによる論文“De
position of Low−K Dielect
ric films using Trimethyl
silane”,Electrochemical S
oc.Proc.,Vol.98−6,pp145−1
52には、PECVDプロセスでトリメチルシランを使
ってkが2.6〜3.0の膜を得ることが記載されてい
る。
【0013】その他の特許文献に、誘電体膜(絶縁膜)
を製造するのにフェニル基又はビニル基含有のオルガノ
シラン前駆物質を用いることが記載されている。例え
ば、米国特許第5989998号明細書には、例えば
(C65xSiH4-x又は(CH =CH)xSiH4-x
(xは1、2又は3)と、酸化性ガスとから、PECV
Dでkの小さな膜を作製することが開示されている。国
際公開第99/38202号パンフレットには、フェニ
ル又はメチルシランと酸化剤としての過酸化水素とか
ら、当該ケイ素化合物と酸化剤との会合を促進するため
に酸素を加えて、誘電体膜を成長させることが開示され
ている。国際公開第99/41423号パンフレットと
ヨーロッパ特許出願公開第935283号明細書には、
PECVDで製造されるOSG膜の前駆物質として、例
えばH(CH32SiOSi(CH32H、(CH33
SiOSi(CH33、及び環式の(−OSiH(CH
3)−)4などのシラン類が開示されている。
【0014】シリルエーテル類(アルコキシシラン類)
も誘電体膜のための前駆物質として開示されている。ヨ
ーロッパ特許出願公開第935283号明細書には、
(CH 32Si(OCH32や(CH3)(C65)S
i(OCH32などの、メトキシシランやエトキシシラ
ンが開示されている。米国特許第6086952号明細
書には、反応性p−キシレンをケイ素−酸素結合と少な
くとも2つのペンダント炭素−炭素二重結合を持つ1種
以上のコモノマー、例えばテトラアリルオキシシシラン
などと混ぜることにより、薄いポリマー層を形成する方
法が開示されている。
【0015】米国特許第6171945号明細書には、
「レイビル」配位子、例えばホルミル又はグリオキシル
基、を備えたオルガノシランを、基材表面で過酸化物化
合物と反応させ、次にアニールすることにより取り外し
て、多孔質の層間絶縁膜を得ることが開示されている。
【0016】米国特許第6054206号明細書には、
オルガノシランと酸化剤を使って膜を成長し、続いて膜
中の有機成分をO2プラズマで除去して、多孔質のシリ
カ材料を作ることが開示されている。
【0017】F−OSGは、一般には、メチル又はフェ
ニルC−F結合を持つオルガノシラン前駆物質を使用す
るCVDにより作製される。例えば、国際公開第99/
41423号パンフレットには、sp3混成C−F結合
を持つ多数のオルガノシラン前駆物質、例えば(C
3)SiH3の如きもの、のためにPECVDを使用す
ることが開示されている。
【0018】特開平11−111712号公報には、
(CF3)Si(CH33の成長で膜を作り、それに続
くO2での熱処理でkが2.5〜2.6の絶縁膜を得る
ことが記載されている。
【0019】米国特許第6020458号明細書には、
sp3混成C−F結合、例えば(C65)SiH3中のそ
れの如きもの、を用いることが、より強いC−F結合強
度のために好ましく、得られた層間絶縁膜の熱的安定性
が高くなることが教示されている。
【0020】特開平10−88352号公報には、フッ
素含有酸化ケイ素膜の前駆物質として、(R1O)nSi
(OR24-n(R1はフッ素化されたアルキル鎖、R2
非フッ素化アルキル鎖)を用いる可能性が開示されてい
る。米国特許第5948928号明細書には、フルオロ
アセテート置換されたシランを絶縁膜前駆物質として使
用する可能性が開示されている。ところが、特開平10
−88352号公報も米国特許第5948928号明細
書も、フルオロシリケートガラス(FSG)の作製に関
するものであり、F−OSG膜に関するものではない。
【0021】現在知られているCVD前駆物質と対応す
る層間絶縁膜には不十分なところがある。一つの問題
は、膜で所望される全ての原子又は官能基を、同一の前
駆物質分子中に、Siabcd又はSiabcd
e膜(式中のa+b+c+d+eの合計の原子%=10
0%であり、a=10〜35%、b=1〜66%、c=
1〜35%、d=0〜60%、e=0〜25%である)
を製造するのに所望される比率で含ませることが困難な
ことである。前駆物質の不均質混合物を使用することが
できるが、これはプロセス上の理由から単一源の前駆物
質ほど望ましくはない。
【0022】成長(堆積)させた層間絶縁膜は、一部の
Si−H、Si−C、C−H又はC−F結合は高温で切
断されることがあるので、450℃に至るまでの温度に
耐えることができるべきである。Fイオン又はラジカル
の放出は膜又はほかの構成要素をエッチングしかねな
い。
【0023】低誘電率の層間絶縁膜はまた、機械的強度
が適当でなければならない。伝統的なSiO2に代えて
kの小さな新しい膜を使用すると、その後の集積処理工
程に影響が及ぶ。成長した膜は、化学的機械的平坦化
(CMP)、キャップ及びバリヤ層、そしてホトレジス
トの付着、ストリッピング、エッチング及びアッシング
を含めた後続のプロセスに持ちこたえる必要がある。
【0024】研究から、トリメチルシラン(3MS)又
はテトラメチルシラン(4MS)から製造される現在の
OSG候補物質は、誘電率を2.6〜2.9の範囲に制
限し、弾性率/硬さの値が4〜11/0.25〜1.4
GPaであることが示されている(Lee et a
l.,198th Meeting of The El
ectrochemical Society、Oc
t.2000,Section H−1,Abstra
ct No.531)。
【0025】取り扱うのに安全であり保存寿命の長い
(1年より長い)前駆物質も望ましいものである。シラ
ン(SiH4)は自然性のガスであり、メチル、ジメチ
ル又はトリメチルシランは全て非常に引火性のガスであ
る。
【0026】最後に、CVD有機ケイ素前駆物質はたや
すく入手できそして生産できる必要がある。
【0027】上述の開発にも関わらず、kの小さな誘電
材料を集積回路に取り入れるのに優れた、所望の機械的
及び電気的特性をうまく組み合わせた実例は、従来技術
には少しも見られない。従って、先に説明した前駆物質
のような既知の前駆物質につきまとう問題を解決する低
誘電率の層間絶縁膜の前駆物質が依然として必要とされ
ている。
【0028】
【課題を解決するための手段】本発明は、特定の有機ケ
イ素前駆物質を使用して、例えばプラズマCVD(PE
CVD)又は熱CVDといったような、化学気相成長
(CVD)により、誘電率kが3.5以下、好ましくは
3以下の層間絶縁膜を作製する方法に関する。本発明は
また、それから製造された膜と、この膜を使用する方法
にも関する。
【0029】低誘電率の層間絶縁膜は、OSG(Sia
bcd)又はF−OSG(Siabcde)膜
(式中のa+b+c+d+eの原子%=100%であ
り、a=10〜35%、b=1〜66%、c=1〜35
%、d=0〜60%、e=0〜25%である)のいずれ
かとして成長(堆積)させることができる。CVD反応
器ではN2O、O2、O3又はH22などの酸化剤を使用
してもよいが、前駆物質の多くは既にSi−O結合を取
り入れているので、全ての場合に必要とされるわけでは
ない。これらの特定の有機ケイ素前駆物質を使って新し
い多孔質層間絶縁膜を製造することもできる。
【0030】本発明の具体的な有機ケイ素前駆物質は、
シリルエーテル類、シリルエーテルオリゴマー類、及び
反応性基を含有している有機ケイ素化合物である。
【0031】本発明のシリルエーテル類は、下記の構造
式I〜VIIで示されるような構造を持つことができ、
式中のxは1〜3の整数、yは1又は2、そしてzは2
〜6の整数である。
【0032】
【化2】
【0033】R1は、H、フッ素、枝分かれした又は直
鎖のC1〜C6アルキル基、置換又は不置換のC3〜C8
クロアルキル基、置換又は不置換のC6〜C12芳香族
基、部分的又は完全にフッ素化されたC1〜C6アルキル
基、部分的又は完全にフッ素化されたC3〜C8シクロア
ルキル基、あるいは部分的又は完全にフッ素化されたC
6〜C12芳香族基のうちの1種以上でよい。R1の例は、
フッ素化されていない、部分的にフッ素化された、又は
完全にフッ素化された、メチル、エチル、プロピル、イ
ソプロピル、シクロペンチル、シクロヘキシル、メチル
シクロヘキシル、フェニル、及びトリル基である。
【0034】R2は、置換又は不置換のC6〜C12芳香族
基、例えばフェニル、トリル又はペンタメチルフェニル
基の如きもの、C815までのフッ素化された直鎖、分
岐鎖又は環式アルキル基、例えばトリフルオロメチル及
びペンタフルオロエチル基の如きもの、あるいは部分的
又は完全にフッ素化された芳香族基、例えばC63 2
又はC65の如きもの、のうちの1種以上でよい。
【0035】R3は、R2、C1〜C6の線状又は枝分かれ
アルキル基、あるいは置換又は不置換のC3〜C8シクロ
アルキル基のうちの1種以上でよく、例えばメチル、シ
クロヘキシル、フェニル又はtert−ブチル基等でよ
い。
【0036】R4は、C1〜C6の線状又は枝分かれアル
キル基、置換又は不置換のC3〜C8シクロアルキル基、
あるいは置換又は不置換のC6〜C12芳香族基のうちの
1種以上でよく、且つ部分的又は完全にフッ素化されて
いてもよい。R4の例は、フッ素化されていない、部分
的にフッ素化された又は完全にフッ素化された、メチレ
ン、エチレン及びフェニレン基である。
【0037】構造式VIIを有する化合物の例は、アル
コキシ配位子を有するジシラン又はトリシラン、例えば
H(CH3O)(CH3)Si−Si(CH3)(OC
3)Hの如きもの、である。
【0038】構造式IとIIは、一座アルコキシ基を有
し、OR2又はOR3基当たり1つのSi−O結合があ
る。構造式IIIでは、R4アルコキシ基は二座配位子
であることができ、R4基当たり1つのSi−C結合が
ある。構造式IVでは、R4は二座アルコキシ構造のも
のであることができ、R4基当たり2つのSi−O結合
がある。構造式VとVIでは、R4アルコキシ基はSi
−O結合が2個ある橋かけした構造を形成している。
【0039】層間絶縁膜へ有機基又は有機フッ素基をド
ープするのに、Si−C結合とSi−H結合のみを有す
るシランに代えて、Si−O−C結合を持つ本発明のシ
リルエーテルを用いることの主要な利点は、Si−O−
C結合はSi−C結合よりも形成するのに都合がよいこ
とである。その上、シリルエーテルのための出発物質は
容易に手に入り、且つ値段が高くなく、そしてシリルエ
ーテルはシランよりも取り扱うのに安全である。本発明
で説明するシリルエーテルのうちの大部分は引火性の液
体である一方、シラン(SiH4)は自然性のガスであ
り、メチル、ジメチル又はトリメチルシランは非常に引
火性のガスである。
【0040】反応性基を有する有機ケイ素前駆物質は、
一般構造式R1 4-xSiR5 xを有し、式中のxは1〜3の
整数であり、R1は構造式I〜VIIについて先に説明
したとおりであり、R5は反応性基である。反応性基
は、一般に、最小量のエネルギーで切断することがで
き、ひずんでいるか又は熱力学的に好ましい形状にな
く、そして他の化学種とともに新たな化学結合又は架橋
構造を形成する性質のある、2つ(又はそれより多く)
の原子間の化学的結合として定義される。反応性基は、
層間絶縁膜の熱的安定性と機械的強度とを高める成長し
た膜の架橋を助けることができる。反応性側基の例に
は、C2〜C10エポキシド、例えばエチレンオキシド又
は2−エチルオキシランの如きもの、C2〜C8カルボキ
シレート、例えばメチルアセテート又はエチルアセテー
トの如きもの、C2〜C8アルキン、例えばプロピン、エ
チン及びフェニルエチンの如きもの、C4〜C8ジエン、
例えば1,3−ブタジエン、1,4−オクタジエン又は
1,3−シクロペンタジエンの如きもの、C3〜C5のひ
ずみのある環、例えばシクロプロパン又は2−シクロブ
テンの如きもの、そして有機ケイ素前駆物質に立体障害
あるいはひずみをもたらすことができる、C4〜C10
機基、例えばtert−ブチル、tert−ブチルオキ
シド又はアダマンタンの如きもの、が含まれる。反応性
基を含有する有機ケイ素化合物の例は、トリメチルシリ
ルアセチレン、1−(トリメチルシリル)−1,3−ブ
タジエン、トリメチルシリルシクロペンタジエン、トリ
メチルシリルアセテート、及びジ−tert−ブチオキ
シジアセトキシシランである。
【0041】
【発明の実施の形態】本発明は、特定の有機ケイ素前駆
物質を使用して、化学気相成長(CVD)により、誘電
率kが3.5以下、好ましくは3以下の層間絶縁膜を作
製する方法に関する。本発明の特定の有機ケイ素前駆物
質は、シリルエーテル類、シリルエーテルオリゴマー
類、及び反応性基を含有している有機ケイ素化合物であ
る。
【0042】表IとIIに、構造式I〜VIIを有する
本発明のシリルエーテル類の例を提示し、それにはシリ
ルエーテルがオリゴマーであることができるものが含ま
れている。
【0043】
【表1】
【0044】
【表2】
【0045】
【表3】
【0046】表IIIに、反応性側基を持つ有機ケイ素
化合物の例を提示する。
【0047】
【表4】
【0048】
【表5】
【0049】本発明の有機ケイ素前駆物質は商業的に入
手可能であるか、あるいは周知の方法により調製するこ
とができる。商業的に入手できる前駆物質の例は、フェ
ノキシトリメチルシラン、ジメトキシメチルシラン、3
−グリシドキシプロピルトリメトキシシラン、ビス(ト
リメチルシリル)アセチレン、1−(トリメチルシロキ
シ)−1,3−ブタジエン、トリメチルシリルシクロペ
ンタジエン、及びジ−tert−ブトキシジアセトキシ
シランである。
【0050】一般に、Si−OR結合を持つ化合物、例
えば構造式タイプI〜VIIにおけるものの如きもの
は、Si−Xと所望のR基を有するアルコールROHと
の交換反応から手に入れることができる(Eabor
n,OrganosiliconCompounds,
Academic Press Inc.,1960,
p288)。原子団Xは、通常は、Clなどのハロゲ
ン、CH3Oなどのアルコキシド、又はRNHなどの別
のトランスファー基である。例えば、CF3CH2OSi
(CH33は、CF3CH2OHと((CH33Si)2
NHから90%より高い収率で調製することができ、N
3が唯一の副生物である(N.R.Patel et
al.,Inorganic Chemistry,
1994,vol.33,pp5465−5470)。
同様に、環式化合物の(CH2)(CH2O)2Si(C
32は、(CH32Si(OCH32と1,3−プロ
パンジオールとの反応から調製することができ、副生物
はメタノールとして取り除かれる(R.H.Krieb
le et al.,J.chem.Soc.,194
7,vol.69,pp2689−2692)。橋かけ
した錯体も、ジオールから、例えばヒドロキノンと(C
33SiClとが反応して1,4−((CH33Si
O)2(C64)とHClを生成する反応などで、調製
される。
【0051】構造式タイプI〜VIIのシリルエーテル
を用いるのは、いろいろな熱又はプラズマCVD法で成
長後に成長した膜中のSi−O−Rのうちの有機部分を
取り除き、空隙(ボイド)のある膜を得ることができる
ので、多孔質の膜を形成するときに有利なこともある。
【0052】理論にとらわれるわけでなく、二座配位シ
リルエーテル(構造式IV)を前駆物質として用いると
反応工程において、最終的に成長膜中に細孔を取り込む
ことになって低密度をもたらし、従って低誘電率をもた
らす種の成長を促進する種が生成することになるものと
思われる。二座配位シリルエーテルの反応は、より大き
な環、例えば次に示す二量体のようなものが生成するこ
とにより、行うことができる。
【0053】
【化3】
【0054】この種の環拡張は、ケイ素、炭素及び酸素
原子を開放構造中に本質的に持ち込むことにより細孔を
取り入れるのを助けることができる。
【0055】構造式タイプIII又はIVの環式二座配
位シリルエーテルのもう一つの魅力的な側面は、7未満
の大きさの環は高温において下式で例示される開環重合
を招く環のひずみを有することである。
【0056】
【化4】
【0057】この開環は、成長工程の際に架橋を促進す
ることができ、こうして高い機械的強度と熱安定性を持
った膜を形成することができる。開環は、膜成長の際の
RFプラズマのエネルギー又は反応温度により制御する
ことができる。
【0058】構造式タイプVとVIの橋かけしたシリル
エーテルを用いるのも、いろいろな熱又はプラズマ法で
の成長後に成長膜中のSi−O−R−O−Siのうちの
有機部分を取り除き、空隙を持った膜を得ることができ
るので、有利である。
【0059】構造式タイプVIIのシリルエーテルオリ
ゴマーを用いるのは、前駆物質中のSi−Si結合が成
長中にシリレン又はシリル基といったような非常に反応
性の種を生成する可能性があるので、層間絶縁膜前駆物
質として有利である。これらの非常に反応性の種は、最
終の膜での重合と架橋を助けることができる。
【0060】反応性基を含有する有機ケイ素前駆物質、
例えばエポキシド類、ジエン類、アルキン類、及びカル
ボキシレート類といったものを用いるのは、基材上での
膜の重合を助けることができる。例えば、不飽和の炭素
−炭素結合は架橋し、そしてエポキシドはSi−O結合
の形成に関与することができる。これらの前駆物質から
得られる膜は、膜の安定性と強度を高める架橋した又は
重合した置換基を持つOSGである。
【0061】上で説明した有機ケイ素前駆物質を使用す
るPECVDによるOSG又はF−OSG絶縁膜の作製
では、有機ケイ素化合物は好ましくは液体、又は大気圧
での沸点が約250℃未満のガスである。有機ケイ素化
合物は、テトラエチルオルトシリケート(TEOS)を
導入するのに一般に使用されるのと同様の装置の反応室
へ、気相でもって導入される(米国再発行特許第366
23号明細書)。層間絶縁膜中のSiabcde
成成分の比率は、ケイ素、酸素、水素、炭素及びフッ素
の特定の比率を持ったシリルエーテル前駆物質を選定す
ることにより調整することができる。(C65O)Si
3やCF3CH2OSi(CH33といったような前駆
物質では、OSG又はF−OSG膜のために必要な原子
の全てが1つの分子中に存在している。膜の組成は、オ
ルガノシラン材料の成長速度によっても規定される。
【0062】不活性のキャリヤガスが、有機ケイ素前駆
物質を導入するのに随意に使用される。キャリヤガスの
例は、ヘリウム、アルゴン、クリプトン、ネオン、及び
窒素である。
【0063】酸化剤、例えば酸素(O2)、オゾン
(O3)、亜酸化窒素(N2O)、酸化窒素(NO)、二
酸化窒素(NO2)、四酸化二窒素(N24)及び/又
は過酸化水素(H22)などを、随意に加えることがで
きるが、有機ケイ素前駆物質が酸素とSi−O結合を有
する場合には必要ないこともある。
【0064】1種以上のフッ素供給ガスを、反応時の又
は後の処理時の添加剤として使用してもよい。フッ素供
給ガスの例は、CF4、C26、C46、及びC66
ある。
【0065】単一種の分子が2以上の前駆物質ガスとし
て、例えば酸素供給ガス及びフッ素供給ガスとして機能
するのは、本発明の範囲内である。すなわち、前駆物質
ガス、酸素供給ガス及びフッ素供給ガスは、必ずしも3
つの別々のガスではない。例えば、ジメトキシメチルフ
ルオロシラン又はトリフルオロエトキシジメチルシラン
を使ってケイ素、炭素、酸素及びフッ素を供給すること
が可能である。単一のガスを使って前駆物質且つ酸素供
給ガスとして働くようにすること(例えば、おのおのが
炭素、酸素及びケイ素を供給するジメトキシメチルシラ
ン、ジエトキシメチルシラン)、また、単一のガスを使
って前駆物質且つフッ素供給ガスとして働くようにする
こと(例えば、炭素、フッ素及びケイ素を供給するトリ
メチルフルオロシラン)も可能である。
【0066】ここでは時として「気体の(あるいはガス
の)」という用語が化学物質を説明するのに用いられ
が、この用語は、反応器へガスとして直接供給される、
気化した液体として供給される、昇華した固体として供
給される、及び/又は反応器へ不活性キャリヤガスによ
り移送される化学物質を包含しようとするものである。
【0067】一定の態様においては、異なる有機ケイ素
前駆物質の混合物が組み合わせて用いられる。異なる有
機ケイ素前駆物質の組み合わせとともにあるいはそれと
は別個に、異なるフッ素供給ガスの組み合わせ及び/又
は異なる酸素供給ガスの組み合わせを使用するのも、本
発明の範囲内である。更に、フッ素化された有機ケイ素
前駆物質(フッ素及び/又は炭素を供給するためのも
の)を非フッ素化有機ケイ素前駆物質(炭素を供給すた
めのもの)とともに使用するのも、やはり本発明の範囲
内である。
【0068】有機ケイ素前駆物質、そして随意に酸素供
給ガス、のほかに、成長反応の前、その間及び/又はそ
の後で真空室へ追加の物質を導入することができる。そ
のような物質には、反応性物質、例えば気体の又は液体
の有機物質、NH3、H2、CO2、CO、あるいはフル
オロカーボン類が含まれる。有機物質の例は、CH4
26、C24、C22、C38、ベンゼン、ナフタレ
ン、トルエン、そしてスチレンである。
【0069】単一の処理工程が好ましいとは言え、多く
の場合、成長後に膜を後処理することもやはり本発明の
範囲内である。そのような後処理には、膜特性の一つ以
上を向上させるための熱処理、プラズマ処理、及び化学
的処理のうちの少なくとも1つが含まれる。例えば、熱
による後処理は、何らかの有機物質を除去することによ
ってより小さな誘電率をもたらすことができる。
【0070】気体の化学物質には、気体を反応させそし
て基材上に膜を形成させるために、エネルギーが適用さ
れる。そのようなエネルギーは、例えばプラズマ、パル
スプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導
結合プラズマ、及びリモートプラズマ法により、供給す
ることができる。副次的な高周波(RF)源を使用して
基材表面でのプラズマ特性を変更することができる。
【0071】気体化学物質のおのおのの流量は、単一の
200mmウエハー当たり、好ましくは10〜5000
sccm、より好ましくは200〜2000sccmの
範囲にある。液体の化学物質の流量は、0.1〜10g
/min、好ましくは0.5〜3g/minの範囲内に
ある。個々の流量は、膜中のケイ素、炭素、酸素、水素
等の所望の量と比率を提供するように選ばれる。必要と
される実際の流量はウエハーの大きさと真空室(チャン
バー)の形状寸法に依存し、そして決して200mmウ
エハーあるいは単一ウエハーの真空室に限定されない。
【0072】膜は、少なくとも50nm/minの成長
速度で成長させるのが好ましい。成長の際の真空室の圧
力は好ましくは0.0013〜101kPa(0.01
〜760Torr)、より好ましくは0.13〜2.7
kPa(1〜20Torr)である。
【0073】膜は、好ましくは0.002〜10μmの
厚さに成長させるが、とは言え厚さは必要に応じ変える
ことができる。非パターン化表面に成長させたブランケ
ット膜は均一性が優れており、厚さの変動は、当然なが
らへり部分を除き、例えば基材の一番外側のへりの10
mmを均一性の統計計算に含めずに、基板のさしわたし
で1標準偏差で2%未満である。
【0074】膜の多孔度は、かさ密度を低下させるにつ
れ相応して上昇させることができ、材料の誘電率を更に
低下させてこの材料の応用可能性を将来の世代に向けて
更に広げることができる(例えば2.5未満の誘電率
k)。
【0075】例えばApplied Material
sのDxZチャンバー(200mm)で製造される、k
の小さな膜の典型的な成長では、流量は前駆物質(蒸気
又はガス状態)が10〜1000sccmの範囲に、酸
化剤が0〜6000sccmの範囲に、不活性ガスが0
〜5000sccmの範囲にあることができる。チャン
バー内での反応の促進は、一般に、典型的には100〜
2000ワットのRFパワーにより、又は熱エネルギー
によりなされる。膜の成長中の圧力は典型的には0.1
3〜2.7kPa(1〜20Torr)である。使用す
る材料の流量とパワーレベルは、所望される成長速度に
よって規定され、そしてまた最終の膜材料の組成にも影
響を及ぼす。
【0076】得られた層間絶縁膜は、3.5以下、好ま
しくは3以下の誘電率を持つことができる。膜中の最終
の炭素含有量は、OSG及びF−OSGについて0〜3
5%(原子%)であるべきであり、F−OSGにおいて
最終のフッ素含有量は0.1〜25%(原子%)である
べきである。これらの膜は、Si−O−C、Si−O−
Si、Si−C、Si−F、Si−H、C−O、C−H
及びC−Fの結合タイプのうちの1つ以上を含むことが
できる。
【0077】成長した膜中のSi−F結合は必ずしも有
機ケイ素前駆物質のSi−F結合に由来するとは限ら
ず、実際のところ成膜の際のプラズマ中でのC−F結合
の反応から形成されることがある、ということに注目す
ることが重要である。
【0078】膜の一定の態様は、シリカに比べてナノポ
ーラスである。TEOSのPECVDで作られたシリカ
は、陽電子消滅寿命分光分析法(PALS)での分析に
より測定される、相当球体直径で約0.6nmである固
有の自由容積細孔寸法を有する。小角ニュートロン散乱
(SANS)又はPALSにより測定された本発明の膜
の細孔寸法は、相当球体直径で好ましくは5nm以下、
より好ましくは相当球体直径で2.5nm以下である。
【0079】本発明の膜の密度は、好ましくは2g/c
3以下であり、あるいは1.5g/cm3以下である。
このような低密度は、気体の化学物質にポロゲン(po
rogen)を加えること及び/又は堆積した材料を後
処理することにより達成することができる。
【0080】本発明の膜は、トリメチルシランやジメチ
ルジメトキシシランといったほかの候補となる材料から
作られたOSG膜に比べて特性が向上している。一定の
態様では、膜の誘電率は2.5〜3.5の範囲にあっ
て、ヤング率が3GPaより大きく及び/又はナノイン
デンテーション硬度が0.5GPaより高い。
【0081】本発明の膜は熱的に安定であり、耐薬品性
が良好である。これらの膜は様々な用途に適している。
これらの膜は半導体基材上での成長に特に適しており、
また、例えば集積回路における絶縁層、層間絶縁層、金
属間誘電体層、キャッピング層、化学的機械的平坦化
(CMP)又はエッチング停止層、バリヤ層(例えば金
属、水、又は絶縁層において望ましくないことがあるそ
の他の物質の拡散に対する)、及び/又は密着層として
使用するのに特に適している。これらの膜は、コンフォ
ーマルコーティングを形成することができる。これらの
膜が示す機械的特性は、それらをAlサブトラクティブ
手法及びCuダマシン手法で使用するのに特に適したも
のにする。
【0082】本発明の膜は、化学的機械的平坦化及び異
方性エッチングに対する適合性があり、様々な材料、例
えばケイ素(シリコン)、SiO2、Si34、OS
G、FSG、炭化ケイ素、反射防止コーティング、ホト
レジスト、有機ポリマー、多孔質の有機及び無機材料、
銅やアルミニウム等の金属、そして金属バリヤ層といっ
たものに、密着することができる。
【0083】本発明は膜を提供するのに特に適し、そし
て本発明の製造品はここでは主として膜として説明され
てはいるが、本発明はそれらに限定はされない。本発明
の製造品は、CVDにより成長させることができる任意
の形態で、例えばコーティング、積層集成品、そして必
ずしも平坦であるとは又は薄いとは限らないその他のタ
イプの物品等の形で、また必ずしも集積回路で使用され
るとは限らない多数の物品の形で、提供することができ
る。
【0084】以下の例を検討することにより本発明を更
に説明するが、これらの例は純粋に、本発明の利用を例
示するものである。
【0085】
【実施例】全ての実験は、Applied Mater
ialsのPrecision−5000装置により、
未ドープのTEOSプロセスキットを使って、Adva
nce Energyの2000RF発生器を備えた2
00mmのDxZチャンバー内で行った。手順に含まれ
る基本工程は、ガス流量の初期設定及び安定化工程、R
Fエネルギーを印加してプラズマを発生させそして成長
を引き起こす工程、そしてパージと排気をしてからウエ
ハーを取り出す工程、であった。その後、各成長後にチ
ャンバーを、その場でのC26+O2でのクリーニング
により清浄にし、続いてチャンバーのシーズニング工程
を行った。
【0086】低抵抗率のp−型ウエハー(<0.02Ω
・cm)についてHgプローブ手法を使って誘電率を測
定した。高抵抗率ウエハーについて透過赤外分光分析を
行い、全データを膜厚を基に標準化した。厚さと屈折率
は、反射計により5点の平均値を使って測定した。密着
力はテープ引張り試験により測定した。機械的特性(例
えばヤング率、ナノインデンテーション硬度)は、製造
者により標準化されたMTS Nano Indent
erを使って測定した。組成データは、30秒間Arス
パッタ後のX線光電子分光分析(XPS)により得た。
表で報告される原子%の値は、ラザフォード後方散乱−
水素前方散乱(RBS−HFS)により測定した水素比
が含まれている。
【0087】下記の表IVに示される本発明の例は、構
造式IIの有機ケイ素前駆物質(R 3O)ySiHR1
(3-y)、具体的にはジエトキシメチルシランを基にして
いる。
【0088】比較例1、2は、米国特許第615987
1号明細書、同第6054379号明細書、及び国際公
開第99/41123号パンフレットの教示に従って作
ったものであり、下記の表Vに示される。比較例は、ジ
メチルジメトキシシラン(DM−DMOS)、すなわち
構造式IIの前駆物質と同様であるが、構造式IIの前
駆物質の種々の特徴、例えばSi−H官能性など、のな
い物質について表VIにも示されている。
【0089】
【表6】
【0090】
【表7】
【0091】
【表8】
【0092】表IV、V、VIに提示したデータは、ジ
エトキシメチルシラン(構造式IIの有機ケイ素前駆物
質)は思いも寄らぬことに、比較例の前駆物質(トリメ
チルシラン及びジメチルジメトキシシラン)と比べて、
ヤング率とナノインデンテーション硬度により示される
とおり優れた機械的特性を示したことを示している。例
えば、本発明の例2(k=2.90)を比較例1(k=
2.85)及び比較例4(k=2.88)と比べると、
本発明の例2はヤング率が16.5、ナノインデンテー
ション硬度が2.8であるのに対し、比較例1のヤング
率は8.76、硬度は1.44、比較例4のヤング率は
6.68、硬度は1.2である。本発明の例2の場合、
処理手順において酸化剤タイプのガスは何も取り入れて
おらず、必然の結果として最終の膜中の酸素(O)は全
て有機ケイ素前駆物質のアルコキシ官能基からのものの
ようであることに注目すべきである。同様に、本発明の
例1〜3を比較例2、3、4と比較すると、本発明の例
は思いも寄らぬことに、ジメチルジメトキシシランから
製造された比較例よりもずっと優れた機械的特性を示し
た。
【0093】誘電率kに関し最良の事例のおのおのを比
べると、例えば本発明の例2を比較例1及び4と比べる
と、本発明の例2は思いも寄らぬことに、本質的に同等
の誘電率値においてずっと優れた機械的特性を示した。
【0094】本発明の例2を比較例1と比べると、本発
明の材料はCの含有量が少なくOの含有量が多いことが
分かる。本発明の例2における増加したOの含有量は、
より良好な網状組織構造の形成に起因しているようであ
り、こうして向上した機械的特性のもとになっているの
であろう。本発明の例2についてH:C比を比較例1と
比べると、本発明の例2ではCに比べたHの量がかなり
上昇していることが示される。
【0095】透過赤外スペクトルを、本発明の例1〜3
と比較例1について図1に示す。これらのスペクトルか
ら集められたデータを表VIIに示す。これらのデータ
は、本発明の例における残留Si−H(≒2200cm
-1)及びO−H(≒3700cm-1)官能性は比較例の
残留Si−H及びO−H官能性と等しいか又はそれ未満
であったことを示している。
【0096】理論に縛られるのを意図するのでなく、発
明者らは、本発明の例の場合炭素は本質的に全てがメチ
ル官能基(すなわち−CH3)の形にあり、高いH:C
比の原因になっているに違いないと推論する。発明者ら
はまた、Si:C比(≒2)を基に、ほぼSiの1つお
きに−CH3がそれに結合しているものと推論する。比
較例について言うと、CH3、CH2、CH、及びSi−
C(直接結合したHのない無機炭素)を含めた、Hを置
換された全範囲のCの集団の量が様々になることができ
るので、そうであるとは限らない。これは、H:C比
が、Cが本質的に全て−CH3の形にあるための3とい
う最適H:C比よりはるかに小さいことの理由になって
いるのかもしれない。
【0097】
【表9】
【0098】オルガノシラン前駆物質としてジメトキシ
メチルシラン(DMOMS)を使用するのに基づく本発
明の期待される例を、200mmシリコンウエハー基板
について下記の表VIIIに示す。
【0099】
【表10】
【0100】予想されるk値は2.7〜3.0の範囲内
であり、ヤング率は約15GPa、ナノインデンテーシ
ョン硬度は約2GPaである。
【0101】オルガノシラン前駆物質としてフェノキシ
ジメチルシラン(PODMS)を使用するのに基づく本
発明の期待される例を、200mmシリコンウエハー基
板について下記の表IXに示す。
【0102】
【表11】
【0103】予想されるk値は2.7〜3.0の範囲内
であり、ヤング率は約15GPa、ナノインデンテーシ
ョン硬度は約2GPaである。
【0104】オルガノシラン前駆物質としてジ−ter
t−ブトキシメチルシラン(DTBMS)を使用するの
に基づく本発明の期待される例を、200mmシリコン
ウエハー基板について下記の表Xに示す。
【0105】
【表12】
【0106】予想されるk値は2.7〜3.0の範囲内
であり、ヤング率は約15GPa、ナノインデンテーシ
ョン硬度は約2GPaである。
【0107】オルガノシラン前駆物質としてジメチルジ
オキソシリルシクロヘキサン(DM−DOSH)を使用
するのに基づく本発明の期待される例を、200mmシ
リコンウエハー基板について下記の表XIに示す。
【0108】
【表13】
【0109】予想されるk値は2.7〜3.0の範囲内
であり、ヤング率は約15GPa、ナノインデンテーシ
ョン硬度は約2GPaである。
【0110】本発明を詳細に、且つ具体的な例を参照し
て説明したが、その精神と範囲とから逸脱することなく
様々な変更や改変を行うことができることは、当業者に
は明らかであろう。
【図面の簡単な説明】
【図1】明確にするため吸光度を膜厚とベースラインオ
フセットに対して標準化し比較1と本発明の例1、2、
3についてのIRスペクトルを示す図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジーン ルイーズ ビンセント アメリカ合衆国,ペンシルベニア 18020, ベスレヘム,サンクレスト レーン 3943 (72)発明者 マーク レオナルド オニール アメリカ合衆国,ペンシルベニア 18104, アレンタウン,ノース サーティース ス トリート 830 (72)発明者 ハワード ポール ウィザース,ジュニア アメリカ合衆国,ペンシルベニア 18031, ブレイニグスビル,クリネス ミル ロー ド 1441 (72)発明者 スコット エドワード ベック アメリカ合衆国,ペンシルベニア 19530, クッツタウン,グリム ロード 722 (72)発明者 レイモンド ニコラス ビルティス アメリカ合衆国,ペンシルベニア 18104, アレンタウン,ウエスト アレン ストリ ート 2729 Fターム(参考) 4K030 AA04 AA06 AA09 AA10 AA13 AA14 AA16 AA17 AA18 BA24 BA27 BA35 BA44 BB11 CA04 CA12 FA01 FA10 LA02 LA15 5F033 RR04 RR11 RR21 RR26 RR29 SS01 SS03 SS11 SS15 WW00 WW01 WW04 WW09 XX24 5F058 BA20 BC02 BF02 BH03 BJ02

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 低誘電率の層間絶縁膜を形成する方法で
    あって、基材上に膜を成長させるのに十分な化学気相成
    長条件下で有機ケイ素前駆物質を、随意に1種以上の追
    加の反応性物質と一緒に、反応させて、約3.5以下の
    誘電率を有する層間絶縁膜を形成することを含み、当該
    有機ケイ素前駆物質が、下記の構造式I〜VIのうちの
    1つ以上で表される1種以上のシリルエーテル、又は構
    造式VIIで表されるシリルエーテルオリゴマーを含
    む、低誘電率層間絶縁膜の形成方法。 【化1】 (式中、xは1〜3の整数、yは1又は2、zは2〜6
    の整数であり、 R1は、H、フッ素、枝分かれした又は直鎖のC1〜C6
    アルキル基、置換又は不置換のC3〜C8シクロアルキル
    基、置換又は不置換のC6〜C12芳香族基、部分的又は
    完全にフッ素化されたC1〜C6アルキル基、部分的又は
    完全にフッ素化されたC3〜C8シクロアルキル基、ある
    いは部分的又は完全にフッ素化されたC 6〜C12芳香族
    基のうちの1種以上であり、 R2は、置換又は不置換のC6〜C12芳香族基、部分的又
    は完全にフッ素化された直鎖又は枝分かれ鎖のC1〜C6
    アルキル基、部分的又は完全にフッ素化されたC3〜C8
    シクロアルキル基、あるいは部分的又は完全にフッ素化
    されたC6〜C1 2芳香族基のうちの1種以上であり、 R3は、R2、C1〜C6の線状又は枝分かれしたアルキル
    基、あるいは置換又は不置換のC3〜C8シクロアルキル
    基のうちの1種以上であり、 R4は、C1〜C6の直鎖又は枝分かれしたアルキル基、
    置換又は不置換のC3〜C8シクロアルキル基、置換又は
    不置換のC6〜C12芳香族基、部分的又は完全にフッ素
    化されたC1〜C6の直鎖又は枝分かれしたアルキル基、
    部分的又は完全にフッ素化されたC3〜C8シクロアルキ
    ル基、あるいは部分的又は完全にフッ素化されたC6
    12芳香族基である)
  2. 【請求項2】 低誘電率の層間絶縁膜を形成する方法で
    あって、基材上に膜を成長させるのに十分な化学気相成
    長条件下で有機ケイ素前駆物質を、随意に1種以上の追
    加の反応性物質と一緒に、反応させて、3.5以下の誘
    電率を有する層間絶縁膜を形成することを含み、当該有
    機ケイ素前駆物質が、C2〜C10エポキシド、C2〜C
    カルボキシレート、C2〜Cアルキン、C〜C
    エン、C〜Cのひずみのある環式基、及び当該有機
    ケイ素前駆物質に立体障害もしくはひずみをもたらすこ
    とができるC〜C10基からなる群より選ばれる1以
    上の反応性側基を有する1種以上の有機ケイ素化合物を
    含む、低誘電率層間絶縁膜の形成方法。
  3. 【請求項3】 前記有機ケイ素化合物を、フェノキシト
    リメチルシラン、2−tert−ブチル−フェノキシト
    リメチルシラン、ジメチルエトキシシラン、ジメトキシ
    メチルシラン、1,2−ビス(トリメチルシロキシ)エ
    タン、1,4−ビス(トリメチルシロキシ)ベンゼン、
    1,2−ビス(トリメチルシロキシ)シクロブテン、
    (1,2−フェニレンジオキシ)ジメチルシラン、1,
    1−ジメチル−1−シラ−2,6−ジオキサシクロヘキ
    サン、1,6−ビス(ジメチルシラ)−2,5,7,1
    0−テトラオキサシクロデカン、1,1−ジメチル−1
    −シラ−2−オキサシクロヘキサン、1,2−ジメトキ
    シテトラメチルジシラン、ペンタフルオロフェノキシト
    リメチルシラン、1,1,1−トリフルオロエトキシト
    リメチルシラン、1,1,1−トリフルオロエトキシジ
    メチルシラン、ジメチオキシメチルフルオロシラン、
    1,2−ビス(トリメチルシロキシ)テトラフルオロエ
    タン、1,4−(トリフルオロシロキシ)テトラフルオ
    ロベンゼン、1,1−ジメチル−1−シラ−2,6−ジ
    オキサヘキサフルオロシクロヘキサン、1,2−ジフル
    オロ−1,2−ジメトキシジメチルジシラン、及びそれ
    らの混合物からなる群より選ぶ、請求項1記載の方法。
  4. 【請求項4】 前記有機ケイ素前駆物質を、3−グリシ
    ドキシプロピルトリメトキシシラン、トリメチルシリル
    アセチレン、ビス(トリメチルシリル)アセチレン、ト
    リメチルシロキシアセチレン、1−(トリメチルシロキ
    シ)−1,3−ブタジエン、2−(トリメチルシリル)
    −1,3−ブタジエン、トリメチルシリルシクロペンタ
    ジエン、4−(tert−ブチルフェニル)シラン、
    1,2−(ジメチルシリル)ベンゼン、トリメチルシリ
    ルアセテート、ジ−tert−ブトキシジアセトキシシ
    ラン、及びそれらの混合物からなる群より選ぶ、請求項
    2記載の方法。
  5. 【請求項5】 前記シリルエーテルが構造式IVで表さ
    れる、請求項1記載の方法。
  6. 【請求項6】 前記層間絶縁膜が式Siabcde
    で表され、原子%基準で、a+b+c+d+e=100
    %となるように、a=10〜35%、b=1〜66%、
    c=1〜35%、d=0〜60%、そしてe=0〜25
    %である、請求項1又は2記載の方法。
  7. 【請求項7】 前記化学気相成長条件がプラズマ化学気
    相成長の条件である、請求項1又は2記載の方法。
  8. 【請求項8】 前記化学気相成長条件が熱化学気相成長
    の条件である、請求項1又は2記載の方法。
  9. 【請求項9】 前記基材がシリコンウエハーである、請
    求項1又は2記載の方法。
  10. 【請求項10】 前記層間絶縁膜が、Si−O−C、S
    i−O−Si、Si−C、Si−F、Si−H、C−
    O、C−H、及びC−Fから選ばれる結合タイプのうち
    の1つ以上を有する、請求項1又は2記載の方法。
  11. 【請求項11】 前記誘電率が3以下である、請求項1
    又は2記載の方法。
  12. 【請求項12】 前記層間絶縁膜が多孔質である、請求
    項1又は2記載の方法。
  13. 【請求項13】 前記化学気相成長条件が、O2、O3
    22、N2O、及びそれらの混合物からなる群より選
    ばれる酸化剤の使用を含む、請求項1又は2記載の方
    法。
  14. 【請求項14】 前記化学気相成長条件が酸化剤の使用
    を除外する、請求項1又は2記載の方法。
  15. 【請求項15】 前記化学気相成長条件が、ヘリウム、
    ネオン、アルゴン、クリプトン、キセノン、窒素からな
    る群より選ばれる1種以上の不活性ガスの使用を含む、
    請求項1又は2記載の方法。
  16. 【請求項16】 前記1種以上の反応性物質を、気体又
    は液体の有機物質、アンモニア、水素、二酸化炭素、一
    酸化炭素、フルオロカーボン、及びそれらの混合物から
    なる群より選ぶ、請求項1又は2記載の方法。
  17. 【請求項17】 前記気体又は液体の有機物質が、メタ
    ン、エタン、エテン、エチン、プロパン、プロペン、ブ
    テン、ベンゼン、ナフタレン、トルエン、スチレン、及
    びそれらの混合物からなる群より選ばれ、前記フルオロ
    カーボンが、CF4、C26、C46、C66、及びそ
    れらの混合物からなる群より選ばれる、請求項16記載
    の方法。
  18. 【請求項18】 前記膜を前記基材上に、集積回路の絶
    縁層、層間絶縁膜層、金属間誘電体層、キャッピング
    層、化学的機械的平坦化又はエッチング停止層、バリヤ
    層、又は密着層として成長させる、請求項1又は2記載
    の方法。
  19. 【請求項19】 前記化学気相成長条件がプラズマ化学
    気相成長の条件であり、且つ、前記1種以上のシリルエ
    ーテルが構造式IIで表される、請求項1記載の方法。
  20. 【請求項20】 前記1種以上のシリルエーテルを、ジ
    エトキシメチルシラン、ジメチルエトキシシラン、ジメ
    トキシメチルシラン、ジメチルメトキシシラン、フェノ
    キシジメチルシラン、ジフェノキシメチルシラン、ジメ
    トキシフェニルシラン、ジエトキシシクロヘキシルシラ
    ン、tert−ブトキシジメチルシラン、及びジ(te
    rt−ブトキシ)メチルシランからなる群より選ぶ、請
    求項19記載の方法。
  21. 【請求項21】 請求項1又は2記載の方法により形成
    された膜。
  22. 【請求項22】 請求項19記載の方法により形成され
    た膜。
  23. 【請求項23】 前記膜が多孔質である、請求項21記
    載の膜。
  24. 【請求項24】 小角ニュートロン散乱又は陽電子消滅
    寿命分光分析法で測定して、相当球体直径で5nm以下
    の細孔寸法を有する、請求項23記載の膜。
  25. 【請求項25】 小角ニュートロン散乱又は陽電子消滅
    寿命分光分析法で測定して、相当球体直径で2.5nm
    以下の細孔寸法を有する、請求項23記載の膜。
  26. 【請求項26】 2g/cm3以下の密度を有する、請
    求項21記載の膜。
  27. 【請求項27】 1.5g/cm3以下の密度を有す
    る、請求項21記載の膜。
  28. 【請求項28】 誘電率が2.5〜3.5であり、ヤン
    グ率が3GPaより高く及び/又はナノインデンテーシ
    ョン硬度が0.5GPaより高い、請求項21記載の
    膜。
JP2002008476A 2001-01-17 2002-01-17 低誘電率層間絶縁膜の形成方法 Expired - Lifetime JP3762304B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US76126901A 2001-01-17 2001-01-17
US09/761269 2001-01-17
US09/944,042 US6583048B2 (en) 2001-01-17 2001-08-31 Organosilicon precursors for interlayer dielectric films with low dielectric constants
US09/944042 2001-08-31

Publications (3)

Publication Number Publication Date
JP2002256434A true JP2002256434A (ja) 2002-09-11
JP2002256434A5 JP2002256434A5 (ja) 2004-08-05
JP3762304B2 JP3762304B2 (ja) 2006-04-05

Family

ID=27116954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002008476A Expired - Lifetime JP3762304B2 (ja) 2001-01-17 2002-01-17 低誘電率層間絶縁膜の形成方法

Country Status (8)

Country Link
EP (1) EP1225194B2 (ja)
JP (1) JP3762304B2 (ja)
KR (1) KR100447684B1 (ja)
CN (2) CN1240780C (ja)
DE (1) DE02001014T1 (ja)
IL (1) IL147609A (ja)
SG (1) SG98468A1 (ja)
TW (1) TWI235428B (ja)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004256479A (ja) * 2003-02-27 2004-09-16 Tosoh Corp 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
JP2006024641A (ja) * 2004-07-06 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
JP2006521019A (ja) * 2003-03-18 2006-09-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 超低k(ULK)SiCOH膜および方法
JP2007204850A (ja) * 2002-04-17 2007-08-16 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2007281516A (ja) * 2002-10-30 2007-10-25 Fujitsu Ltd 半導体装置の製造方法
CN100378990C (zh) * 2004-01-16 2008-04-02 国际商业机器公司 低k和超低k SiCOH介质膜及其制作方法
US7413775B2 (en) 2002-01-31 2008-08-19 Tosoh Corporation Insulating film material containing an organic silane compound, its production method and semiconductor device
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP2009084329A (ja) * 2007-09-28 2009-04-23 Sumitomo Bakelite Co Ltd 樹脂組成物、樹脂膜および半導体装置
JP2009117817A (ja) * 2007-10-12 2009-05-28 Air Products & Chemicals Inc 反射防止膜
US7579286B2 (en) 2004-07-07 2009-08-25 Sony Corporation Method of fabricating a semiconductor device using plasma to form an insulating film
JP2010034490A (ja) * 2008-06-27 2010-02-12 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2010515804A (ja) * 2007-01-12 2010-05-13 ウオーターズ・テクノロジーズ・コーポレイシヨン クロマトグラフ分離用多孔質炭素−ヘテロ原子−ケイ素ハイブリッド無機/有機材料およびその調製のための方法
US7718269B2 (en) 2005-03-14 2010-05-18 Renesas Technology Corp. Semiconductor manufacturing method for inter-layer insulating film
US7935425B2 (en) 2002-11-28 2011-05-03 Tosoh Corporation Insulating film material containing organic silane or organic siloxane compound, method for producing same, and semiconductor device
JP2011233925A (ja) * 2011-07-28 2011-11-17 Renesas Electronics Corp 半導体装置の製造方法
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP2012510726A (ja) * 2008-12-01 2012-05-10 エア プロダクツ アンド ケミカルズ インコーポレイテッド 酸素含有前駆体を用いる誘電体バリアの堆積
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8349722B2 (en) 2002-10-30 2013-01-08 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2018014503A (ja) * 2010-02-04 2018-01-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜を調製するための方法
JP2021025124A (ja) * 2019-08-06 2021-02-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6784123B2 (en) 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
EP1448807A4 (en) * 2001-10-30 2005-07-13 Massachusetts Inst Technology FLUORO CARBON ORGANOSILICIUM COPOLYMERS AND COATINGS MADE ACCORDING TO THE HFCVD PROCEDURE
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7488693B2 (en) * 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
EP2046893A2 (en) * 2006-08-04 2009-04-15 Dow Corning Corporation Silicone resin and silicone composition
US7718553B2 (en) 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
TWI400534B (zh) 2009-07-15 2013-07-01 Chunghwa Picture Tubes Ltd 薄膜電晶體光感測器以及製作氟矽氧碳氫化合物介電層之方法
CN101989631B (zh) * 2009-07-31 2013-01-16 华映视讯(吴江)有限公司 薄膜晶体光传感器、制作氟硅氧碳氢化合物介电层的方法
CN102350825B (zh) * 2011-05-30 2014-03-26 周涛 水热法制备含氟高聚物高频线路板材料的工艺方法
CN104650138A (zh) * 2015-03-06 2015-05-27 苏州阳桥化工科技有限公司 阻燃剂二甲基-1,3-丙二氧基环硅烷化合物的制备方法
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
CN107523809B (zh) * 2017-08-23 2019-06-25 江苏菲沃泰纳米科技有限公司 一种有机硅硬质纳米防护涂层的制备方法
US10910216B2 (en) * 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
CN109957788A (zh) * 2017-12-22 2019-07-02 西尔科特克公司 含氟热化学气相沉积方法和制品
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
WO2021050798A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
WO2022245742A1 (en) * 2021-05-19 2022-11-24 Versum Materials Us, Llc New precursors for depositing films with high elastic modulus
CN115400930A (zh) * 2021-05-26 2022-11-29 江苏菲沃泰纳米科技股份有限公司 一种等离子体聚合涂层、制备方法及器件
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4988573A (en) * 1988-07-14 1991-01-29 Tdk Corporation Medium related members
CA2048168A1 (en) * 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JP3293934B2 (ja) 1992-10-08 2002-06-17 株式会社村田製作所 チップ型圧電部品
US5532191A (en) 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
JPH1092810A (ja) 1996-09-10 1998-04-10 Mitsubishi Electric Corp 半導体装置
CN1125138C (zh) 1997-07-15 2003-10-22 旭化成株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7413775B2 (en) 2002-01-31 2008-08-19 Tosoh Corporation Insulating film material containing an organic silane compound, its production method and semiconductor device
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2007204850A (ja) * 2002-04-17 2007-08-16 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
US7943195B2 (en) 2002-04-17 2011-05-17 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8349722B2 (en) 2002-10-30 2013-01-08 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP2007281516A (ja) * 2002-10-30 2007-10-25 Fujitsu Ltd 半導体装置の製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US8778814B2 (en) 2002-10-30 2014-07-15 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
KR101156633B1 (ko) * 2002-11-28 2012-07-03 도소 가부시키가이샤 유기 실란, 유기 실록산 화합물을 포함해서 되는 절연막용 재료, 그 제조 방법 및 반도체 디바이스
US7935425B2 (en) 2002-11-28 2011-05-03 Tosoh Corporation Insulating film material containing organic silane or organic siloxane compound, method for producing same, and semiconductor device
JP2004256479A (ja) * 2003-02-27 2004-09-16 Tosoh Corp 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP4591651B2 (ja) * 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
JP2006521019A (ja) * 2003-03-18 2006-09-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 超低k(ULK)SiCOH膜および方法
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
CN100378990C (zh) * 2004-01-16 2008-04-02 国际商业机器公司 低k和超低k SiCOH介质膜及其制作方法
JP2006024641A (ja) * 2004-07-06 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
US7579286B2 (en) 2004-07-07 2009-08-25 Sony Corporation Method of fabricating a semiconductor device using plasma to form an insulating film
US7718269B2 (en) 2005-03-14 2010-05-18 Renesas Technology Corp. Semiconductor manufacturing method for inter-layer insulating film
US8158266B2 (en) 2005-03-14 2012-04-17 Renesas Electronics Corporation Semiconductor manufacturing method for inter-layer insulating film
JP2010515804A (ja) * 2007-01-12 2010-05-13 ウオーターズ・テクノロジーズ・コーポレイシヨン クロマトグラフ分離用多孔質炭素−ヘテロ原子−ケイ素ハイブリッド無機/有機材料およびその調製のための方法
JP2009084329A (ja) * 2007-09-28 2009-04-23 Sumitomo Bakelite Co Ltd 樹脂組成物、樹脂膜および半導体装置
JP2009117817A (ja) * 2007-10-12 2009-05-28 Air Products & Chemicals Inc 反射防止膜
JP2010034490A (ja) * 2008-06-27 2010-02-12 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2012510726A (ja) * 2008-12-01 2012-05-10 エア プロダクツ アンド ケミカルズ インコーポレイテッド 酸素含有前駆体を用いる誘電体バリアの堆積
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
JP2018014503A (ja) * 2010-02-04 2018-01-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜を調製するための方法
JP2011233925A (ja) * 2011-07-28 2011-11-17 Renesas Electronics Corp 半導体装置の製造方法
JP2021025124A (ja) * 2019-08-06 2021-02-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法

Also Published As

Publication number Publication date
CN100410420C (zh) 2008-08-13
DE02001014T1 (de) 2007-09-20
CN1644753A (zh) 2005-07-27
CN1367205A (zh) 2002-09-04
TWI235428B (en) 2005-07-01
EP1225194A3 (en) 2002-09-18
EP1225194A2 (en) 2002-07-24
IL147609A0 (en) 2002-08-14
JP3762304B2 (ja) 2006-04-05
KR100447684B1 (ko) 2004-09-08
CN1240780C (zh) 2006-02-08
KR20020062192A (ko) 2002-07-25
SG98468A1 (en) 2003-09-19
IL147609A (en) 2006-12-10
EP1225194B1 (en) 2008-10-01
EP1225194B2 (en) 2013-09-18

Similar Documents

Publication Publication Date Title
JP3762304B2 (ja) 低誘電率層間絶縁膜の形成方法
US6583048B2 (en) Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
TW574410B (en) Low dielectric constant material and method of processing by CVD
US6068884A (en) Method of making low κ dielectric inorganic/organic hybrid films
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
US11158498B2 (en) Silicon compounds and methods for depositing films using same
EP1428906A1 (en) Low dielectric constant material and method of processing by CVD
JP2011014925A (ja) ポロゲン、ポロゲン化された前駆体及び低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
EP3476971B1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
KR20220061162A (ko) 모노알콕시실란 및 이로부터 제조된 고밀도 오가노실리카 필름
KR20220061161A (ko) 모노알콕시실란 및 디알콕시실란과 이로부터 제조된 고밀도 오가노실리카 필름
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
KR20230093286A (ko) 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060112

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3762304

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100120

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110120

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120120

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130120

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term