CN100378990C - 低k和超低k SiCOH介质膜及其制作方法 - Google Patents

低k和超低k SiCOH介质膜及其制作方法 Download PDF

Info

Publication number
CN100378990C
CN100378990C CNB2005100043046A CN200510004304A CN100378990C CN 100378990 C CN100378990 C CN 100378990C CN B2005100043046 A CNB2005100043046 A CN B2005100043046A CN 200510004304 A CN200510004304 A CN 200510004304A CN 100378990 C CN100378990 C CN 100378990C
Authority
CN
China
Prior art keywords
dielectric material
dielectric
hardness
modulus
elasticity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100043046A
Other languages
English (en)
Other versions
CN1645608A (zh
Inventor
斯蒂芬·M.·盖茨
克里斯托斯·D.·迪米特拉克浦斯
阿尔弗雷德·格里尔
桑·范·恩古叶恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1645608A publication Critical patent/CN1645608A/zh
Application granted granted Critical
Publication of CN100378990C publication Critical patent/CN100378990C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Insulating Materials (AREA)

Abstract

本申请公开了一种低k和超低kSiCOH介质膜及其制作方法,其中提供了包括元素Si、C、O、H的介质材料,它具有机械性质(张应力、弹性模量、硬度、粘合强度、以及水中的破裂速度)的具体数值,这些机械性质导致稳定的超低k膜,此膜不由于水蒸气或集成工艺而退化。这些介质材料的介电常数约为2.8或以下,张应力小于45MPa,弹性模量约为2-15GPa,且硬度约为0.2-2GPa。还提供了包含本发明的介质材料的一些电子结构以及制造介质材料的各种方法。

Description

低k和超低k SiCOH介质膜及其制作方法
相关申请
本申请涉及到共同受让的美国专利No.6147009、6312793、6441491、6437443、6441491、6541398、6479110、6497963,这些专利申请的内容在此处被列为参考。本申请还涉及到共同在案和共同受让的美国专利申请2002年6月19日提交的No.10/174749、2003年1月23日提交的10/340000、以及2003年3月18日提交的10/390801,上述美国专利申请的整个内容在此处也被列为参考。
技术领域
本发明一般涉及到一种介质材料,这种介质材料包含Si、C、O、H原子(SiCOH),也称为掺C的氧化物(CDO)或有机硅化物玻璃(OSG),它们具有低的介电常数(k),本发明还涉及到制造这些材料的膜的方法以及包含这种膜的电子器件。更确切地说,本发明涉及到这些介质材料作为超大规模集成(ULSI)后端工艺(BEOL)布线结构中的层内或层间介质膜、介质帽、或硬掩模/抛光停止层的应用,涉及到包含这种膜的电子结构以及制造这种膜和结构的方法。
背景技术
用于ULSI电路中的电子器件的尺度近年的不断缩小,已经导致BEOL金属化电阻的增大以及层内和层间介质电容的增大。此组合效应增大了ULSI电子器件中的信号延迟。为了改善将来ULSI电路的开关性能,需要低介电常数(k)的绝缘体,特别是k显著地低于氧化硅的那些绝缘体来减小电容。具有低k值的介质材料(亦即介质)已经可从市场得到。例如,一种这样的材料是聚四氟乙烯(“PTFE”),其k值为2.0。但当暴露于300-350℃以上的温度时,这些介质材料不热稳定。这些介质在ULSI芯片中的集成要求至少400℃的热稳定性。结果使这些介质在集成过程中毫无用处。
已经被考虑用于ULSI器件的低k材料包括诸如甲基硅氧烷、甲基倍半硅氧烷之类的含元素Si、C、O、H的聚合物,以及其它的有机和无机聚合物。例如,论文(N.Hacker et al.,“Properties of new lowdielectric constant spin-on silicon oxide based dielectrics”,Mat.Res.Soc.Svmp.Proc.476(1997):25)描述了一些看来满足热稳定性要求的材料,但当用甩涂技术制备膜时,这些材料中的有一些当达到在互连结构中集成所需要的厚度时容易传播裂纹。而且,前体材料成本高,从而阻碍了在大规模生产中的应用。与此形成对照的是,甚大规模集成(“VLSI”)和ULSI芯片的大多数制造步骤是用等离子体增强化学或物理气相淀积技术进行的。利用等离子体增强化学气相淀积(“PECVD”)技术用可容易得到的加工设备来制造低k材料的能力,将简化制造工艺中材料的集成,降低制造成本,并产生较少的有害废弃物。
于是,利用等离子体增强化学气相淀积(“PECVD”)技术用先前安装的可得到的加工设备来制造低k材料的能力,将简化其制造工艺中的集成,降低制造成本,并产生较少的有害废弃物。其整个内容在此处被列为参考的受让于本发明共同受让人的美国专利No.6147009和6497963,描述了一种由元素Si、C、O、H原子组成的低介电常数材料,其介电常数不大于3.6,且表现非常低的裂纹传播速度。
其整个内容在此处被列为参考的受让于本发明共同受让人的美国专利No.6312793、6441491、以及6479110 B2,描述了一种由元素Si、C、O、H原子构成的矩阵组成的多相低k介质材料,一个相主要由C和H组成,其介电常数不大于3.2。
介电常数小于2.7(最好小于2.3)的超低k膜在本技术领域中也是熟知的。现有技术超低k膜的一个主要问题在于,当在ULSI器件中集成这种膜时,集成的膜表现出很差的机械性质(张应力、弹性模量、硬度、粘合强度、以及水中的破裂速度)。
由于现有技术低k和超低k膜的上述缺点,故对于开发一种介电常数值约为2.8或以下的具有特别机械性质的能够将这种介质的膜用于ULSI器件中的稳定的SiCOH介质,存在着需求。
发明内容
在各种SiCOH材料中,本申请人已经确定了导致不由于水蒸气或集成工艺而退化的稳定介质膜以及导致经受得住切片和封装过程中的机械应力和热应力的完整的集成电路芯片的机械性质(张应力、弹性模量、硬度、粘合强度、以及水中的破裂速度)的具体数值。与此相对照,同样的机械性质的其它不可取的数值导致由于环境湿度和集成加工而退化的不稳定的SiCOH膜。另一些其它的不可取的机械性质导致在切片和封装过程中形成裂纹的芯片。此外,本申请的申请人已经确认了其它一些膜性质(疏水性和孔尺寸),这些性质也是制作采用本申请的SiCOH介质作为BEOL互连介质的成功可靠的半导体集成电路(IC)所要求的。
本发明的一个目的是提供一种包含元素Si、C、O、H(以下称为“SiCOH”)的低k或超低k材料,此材料的介电常数不大于2.8,并具有具体希望的机械性质的组合。
本发明的另一目的是提供一种具有共价键合的三维网络结构的SiCOH介质材料,它包含下列共价键:Si-O、Si-C、Si-H、C-H、以及C-C键。
本发明的另一目的是提供一种介电常数不大于2.8的SiCOH介质材料,它对暴露于水蒸气(湿度)非常稳定,包括抗裂纹在水中形成。
本发明的另一目的是提供一种将本发明稳定的SiCOH材料组合成BEOL布线结构中的层内或层间介质的电子结构。
本发明的另一目的是提供一种用来制造具有本发明的机械性质和稳定性组合的SiCOH介质材料的方法。
广义地说,本发明提供一种包含元素Si、C、O、H的稳定的低k或超低k介质材料,其介电常数约为2.8或以下,张应力小于45MPa,弹性模量约为2-15GPa,且硬度约为0.2-2GPa。本发明的稳定的低k或超低k介质材料的进一步特征是粘合强度约为1.7-4.5J/m2,且对于约为1.1-2.8微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明的第一实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.7,张应力小于45MPa,弹性模量约为9-15GPa,且硬度约为0.5-2GPa。而且,本发明第一实施方案的SiCOH介质材料的粘合强度约为4.0-4.5J/m2,且对于2.8微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明的第二实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.6,张应力小于45MPa,弹性模量约为8-13GPa,且硬度约为0.4-1.9GPa。本发明第二实施方案的SiCOH介质材料的粘合强度也约为4.0-4.5J/m2,且对于2.7微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明的第三实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.5,张应力小于45MPa,弹性模量约为7-12GPa,且硬度约为0.35-1.8GPa。本发明第三实施方案的介质材料的进一步特征可以是粘合强度约为2.5-3.9J/m2,且对于2.5微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明的第四实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.4,张应力小于40MPa,弹性模量约为6-11GPa,且硬度约为0.3-1.7GPa。本发明第四实施方案的介质材料提供了约为2.4-3.8J/m2的粘合强度,以及对于2.3微米的膜厚度不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第五实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.3,张应力小于40MPa,弹性模量约为5-10GPa,且硬度约为0.25-1.6GPa。本发明第五实施方案中的介质材料具有约为2.2-3.7J/m2的粘合强度,且对于1.9微米的膜厚度提供了不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第六实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.2,张应力小于40MPa,弹性模量约为4-9GPa,且硬度约为0.2-1.5GPa。本发明第六实施方案的SiCOH介质材料的粘合强度约为2.0-3.5J/m2,且对于1.5微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明的第七实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.1,张应力约为20-35MPa,弹性模量约为3-8GPa,且硬度约为0.2-1.4GPa。在本发明的这一实施方案中,SiCOH介质材料的粘合强度约为1.8-3.4J/m2,且对于1.3微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明的第八实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.0,张应力约为20-35MPa,弹性模量约为2-7GPa,且硬度为0.2GPa。在本发明第八实施方案的SiCOH介质材料中,观察到了约为1.7-3.3J/m2的粘合强度以及对于1.1微米的膜厚度的不大于1×10-10m/sec的水中裂纹发展速度。
同时本发明还提供一种制造SiCOH介质材料的方法,它包含:
将至少一种包含Si、C、O、H原子的第一前体和惰性载体提供到反应器中;以及
将衬底温度设定为300-425℃;将高频射频功率密度设定为每平方厘米0.1-1.5W;将第一前体的流速设定为每分钟100-5000毫克;将反应器压力设定为1000-7000毫乇;以及将高频射频功率设定为75-1000W,从而将从所述第一前体获得的膜淀积到衬底上。
除了上述性质之外,本发明的SiCOH介质材料是疏水性的,具有大于70度更优选是大于80度的水接触角。
本发明还涉及到电子结构,它包括至少一种包含元素Si、C、O、H的稳定的低k或超低k介质材料作为互连结构的介质,此介质的介电常数约为2.8或以下,张应力小于45MPa,弹性模量约为2-15GPa,且硬度约为0.2-2GPa。
本发明的介质材料可以被用作电子结构中的层间或层内介质、帽层、和/或硬掩模/抛光停止层。
具体地说,本发明的电子结构包括预处理过的半导体衬底,此衬底具有埋置在第一绝缘材料层中的第一金属区、埋置在第二绝缘材料层中的第一导体区、第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连接,且第二导体区与第一导体区电连接并被埋置在第三绝缘材料层中,第三绝缘材料层与第二绝缘材料层紧密接触。
在上述结构中,各个绝缘层可以包含本发明的低k或超低kSiCOH介质材料。
此电子结构还可以包括位于第一绝缘材料层与第二绝缘材料层之间的介质帽层,且还可以包括位于第二绝缘材料层与第三绝缘材料层之间的介质帽层。此电子结构还可以包括第二绝缘材料层与第三绝缘材料层之间的第一介质帽层以及第三绝缘材料层顶部上的第二介质帽层。
介质帽层材料可以选自氧化硅、氮化硅、氮氧化硅、氮碳化硅(SiCN)、难熔金属为Ta、Zr、Hf、或W的难熔金属氮硅化物、碳化硅、氧碳化硅、掺碳的氧化物及其氢化或氮化化合物。在某些实施方案中,介质帽本身可以包含本发明的低k或超低k SiCOH介质材料。第一和第二介质帽层可以选自相同的介质材料组。第一绝缘材料层可以是氧化硅或氮化硅或诸如PSG或BPSG之类的这些材料的掺杂变种。
此电子结构还可以包括排列在至少第二和第三绝缘材料层之一上的扩散势垒层。此电子结构还可以包括第二绝缘材料层顶部上用作RIE硬掩模/抛光停止层的介质层以及介质RIE硬掩模/抛光停止层顶部上的介质扩散势垒层。此电子结构还可以包括第二绝缘材料层顶部上的第一介质RIE硬掩模/抛光停止层、第一介质抛光停止层顶部上的第一介质RIE扩散势垒层、第三绝缘材料层顶部上的第二介质RIE硬掩模/抛光停止层、以及第二介质抛光停止层顶部上的第二介质扩散势垒层。介质RIE硬掩模/抛光停止层也可以由本发明的SiCOH介质材料组成。
本发明还涉及到制造包含元素Si、C、O、H的稳定的低k或超低k介质材料的各种方法,此介质材料的介电常数约为2.8或以下,张应力小于45MPa,弹性模量约为2-15GPa,且硬度约为0.2-2GPa。
附图说明
图1A-1B是本发明的稳定的低k SiCOH介质材料的FTIR谱的Si-O拉伸区,此介质材料的介电常数为2.8,张应力小于40MPa,弹性模量约为9-15GPa,且硬度约为0.5-2GPa。
图2A是根据第三实施例的本发明的稳定的超低k SiCOH介质材料的FTIR谱的Si-O拉伸区;而图2B是同一个材料的弹性模量对电子束剂量的关系。
图3是根据第三实施例的本发明的稳定的超低k SiCOH介质材料的FTIR谱的Si-O拉伸区,示出了采用不同UV处理时间对FTIR谱的影响。
图4是本发明电子器件的放大剖面图,此电子器件具有由本发明的稳定的低k或超低k SiCOH介质材料形成的层内介质层和层间介质层。
图5是具有淀积在本发明的低k或超低k SiCOH介质材料顶部上的额外的扩散势垒介质帽层的图4的本发明电子结构的放大剖面图。
图6是具有额外的RIE硬掩模/抛光停止介质帽层以及淀积在抛光停止层顶部上的介质帽扩散势垒层的图5的本发明电子结构的放大剖面图。
图7是具有淀积在本发明的稳定的低k或超低k SiCOH介质材料顶部上的额外的RIE硬掩模/抛光停止介质层的图6的本发明电子结构的放大剖面图。
具体实施方式
如上所述,本发明提供了一种新的介质材料(多孔或无孔),它包含氢化氧化的碳化硅材料(SiCOH),此材料包含共价键合的三维网络中的元素Si、C、O、H,且其介电常数约为2.8或以下。术语“三维网络”被通篇应用于本申请中来表示包括沿x、y、z方向被互连和相关的硅、碳、氧、氢的SiCOH介质材料。要指出的是,本发明的介质材料不是聚合的,而是包含随机的三维结构,此三维结构包含共价键合的网络。此共价键合的网络可以包含Si-O、Si-C、Si-H、C-H、或C-C键。
本发明的SiCOH介质材料包含大约5-40原子百分比,更优选是大约10-20原子百分比的Si;大约5-45原子百分比,更优选是大约10-30原子百分比的C;大约0-50原子百分比,更优选是大约10-35原子百分比的O;以及大约10-55原子百分比,更优选是大约20-45原子百分比的H。
在某些实施方案中,本发明的SiCOH介质材料还可以包含F和N。在本发明的另一实施方案中,本发明的SiCOH介质材料的Si原子可以可选地被Ge原子置换。可以存在于本发明的介质材料中的这些可选的元素的量,依赖于包含淀积过程中使用的可选元素的前体的量。
本发明的SiCOH介质材料优选包含直径约为0.3-50nm的分子尺寸空洞(亦即纳米尺寸的孔),最优选是直径约为0.4-5nm,这进一步减小了SiCOH介质材料的介电常数。此纳米尺寸的孔占据材料体积的大约0.5-50%。
本发明的SiCOH介质材料是一种包含至少元素Si、C、O、H的介质材料,它具有一组具体的特性(张应力、弹性模量、硬度、粘合强度、以及水中的裂纹速度),这些特征导致不由于水蒸气或集成加工而退化的稳定的低k或超低k膜。确切地说,本发明的SiCOH介质材料在至少350℃的温度下是热稳定的。
广义地说,本发明的SiCOH介质材料的介电常数约为2.8或以下,张应力小于45MPa或压应力,弹性模量约为2-15GPa,且硬度约为0.2-2GPa。本发明的介质材料的特征还可以是粘合强度约为1.7-4.5J/m2,且对于约为1.1-2.8微米的膜厚度,水中的裂纹发展速度不大于1×10-10m/sec。
在本发明中,借助于用电容测量方法测量整个硅晶片的曲率(利用Flexus设备或本技术领域所知的其它设备)或测量小硅条的曲率以观察硅条的挠曲,来测量应力。如本技术领域所知,常规的张应力具有正的符号(>0),而压应力具有负的符号,致使当指定张应力小于45MPa时,也包括了压应力(<0)。于是,要指出的是,短语“张应力小于45MPa”也包括了压应力。如本技术领域所知,利用毫微米压痕来测量弹性模量和硬度。利用四点弯曲装置和论文M.W.Lane,“Interface Fracture”,Annu.Rev.Mater.Res.2003,33,pp.29-54所述的公开文献的工序,来测量粘合强度。用论文R.F.Cook and E.G.Liniger,Mat.Res.Soc.Symp.Proc.Vol.511,1998,171和论文R.F.Cook andE.G.Liniger,E.C.S.Proc.Vol.98-3,1998,129所述的方法,来确定裂纹速度。
本发明的SiCOH介质材料的进一步特征是具有诸如图1-3所示FTIR谱(下面将提供图1-3所示FTIR谱的详细解释)。在本发明中,本发明的SiCOH介质材料的共价键合的三维网络结构具有能够产生FTIR吸收谱的Si-O键,其中,利用淀积之后的处理,减小了网格Si-O峰强度对网络Si-O峰强度的比率,此处“减小”意味着相对于其它的SiCOH材料(例如热固化的SiCOH)。
在本发明的第一实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.7,张应力小于45MPa,弹性模量约为9-15GPa,且硬度约为0.5-2GPa。本发明第一实施方案的SiCOH介质材料的粘合强度约为4.0-4.5J/m2,且对于2.8微米的膜厚度,提供了不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第二实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.6,张应力小于45MPa,弹性模量约为8-13GPa,且硬度约为0.4-1.9GPa。在本实施方案中,提供了约为4.0-4.5J/m2的粘合强度,以及对于2.7微米的膜厚度不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第三实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.5,张应力小于45MPa,弹性模量约为7-12GPa,且硬度约为0.35-1.8GPa。第三实施方案的介质材料的粘合强度约为2.5-3.9J/m2,且提供了对于2.5微米的膜厚度不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第四实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.4,张应力小于40MPa,弹性模量约为6-11GPa,且硬度约为0.3-1.7GPa。利用本发明此实施方案的SiCOH,提供了约为2.4-3.8J/m2的粘合强度,以及对于2.3微米的膜厚度不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第五实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.3,张应力小于40MPa,弹性模量约为5-10GPa,且硬度约为0.25-1.6GPa。本实施方案的介质材料具有约为2.2-3.7J/m2的粘合强度,且对于1.9微米的膜厚度提供了不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第六实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.2,张应力小于40MPa,弹性模量约为4-9GPa,且硬度约为0.2-1.5GPa。本实施方案的介质材料的粘合强度约为2.0-3.5J/m2,且对于1.5微米的膜厚度提供了不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第七实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.1,张应力约为20-35MPa,弹性模量约为3-8GPa,且硬度约为0.2-1.4GPa。具有上述性质的这些膜提供了约为1.8-3.4J/m2的粘合强度以及对于1.3微米的膜厚度不大于1×10-10m/sec的水中裂纹发展速度。
在本发明的第八实施方案中,提供了稳定的超低k SiCOH介质材料,其介电常数为2.0,张应力约为20-35MPa,弹性模量约为2-7GPa,且硬度为0.2GPa。对于本发明的这一实施方案,提供了约为1.7-3.3J/m2的粘合强度以及对于1.1微米的膜厚度不大于1×10-10m/sec的水中裂纹发展速度。
下面的表1列出了本发明的各个实施方案:
表1
  SiCOH的k   应力MPa   模量GPa   硬度GPa   对于小于1×10<sup>-10</sup>m/sec的水中裂纹速度的最大膜厚度(微米)   粘合强度J/m<sup>2</sup>
  2.7   <45   9-15   0.5-2   2.8   4.0-4.5
  2.6   <45   8-13   0.4-1.9   2.7   4.0-4.5
  2.5   <45   7-12   0.35-1.8   2.5   2.5-3.9
  2.4   <40   6-11   0.3-1.7   2.3   2.4-3.8
  2.3   <40   5-10   0.25-1.6   1.9   2.2-3.7
  2.2   <40   4-9   0.2-1.5   1.5   2.0-3.5
  2.1   20-35   3-8   0.2-1.4   1.3   1.8-3.4
  2.0   20-35   2-7   0.2   1.1   1.7-3.3
除了上述性质之外,本发明的SiCOH介质材料是疏水性的,具有大于70度,更优选是大于80度的水接触角。
通常用等离子体增强的化学气相淀积(PECVD)来淀积本发明稳定的SiCOH介质材料。除了PECVD之外,也可以尝试用化学气相淀积(CVD)、高密度等离子体(HDP)、脉冲PECVD、甩涂涂敷、或其它相关的方法来形成稳定的SiCOH介质材料。
在淀积工艺中,借助于至少将包含原子Si、C、O、H的第一前体(液体、气体、或蒸汽)以及诸如He或Ar之类的惰性载体提供到反应器中,来形成SiCOH介质材料,此反应器最好是PECVD反应器,然后利用有效形成本发明的SiCOH介质材料的条件,将衍生于所述第一前体的膜淀积到适当的衬底上。本发明还提供了第一前体与诸如O2、CO2的氧化剂或其组合的混合,从而稳定反应器中的各个反应剂并改善淀积在衬底上的介质膜的均匀性。
除了第一前体之外,还可以使用第二前体(气体、液体、或蒸汽),此第二前体包含原子C、H、以及可选的O、F、N原子。还可以可选地使用包含Ge的第三前体(气体、液体、或蒸汽)。
第一前体最好选自包含SiCOH组分的具有环状结构的有机分子,例如1,3,5,7-四甲基环四硅氧烷(“TMCTS”即“C4H16O4Si4”)、八甲基环四硅氧烷(OMCTS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基甲氧基硅烷(DEDMOS)、以及有关的环状和非环状硅烷、硅氧烷等。
可以使用的第二前体是一种碳氢化合物分子。虽然可以使用任何一种碳氢化合物,但第二前体最好选自具有环状结构的碳氢化合物分子,分子中最好存在一个以上的环或具有附着到环的支链。特别有用的是包含稠环的物质,其中至少一个稠环包含异质原子,优先是氧。在这些物质中,最稳定的是包括其尺寸提供显著环应变的环,亦即3或4个原子和/或7个或更多原子的环的那些物质。特别有吸引力的是诸如环戊烯氧化物(“CPO”即“C5H8O”)之类的所谓氧杂二环物。也有用的是包含附着到碳氢化合物环的支链叔丁基(t丁基)和异丙基(i丙基)组的分子;此碳氢化合物环可以被饱和或不被饱和(包含C=C双键)。第三前体可以从锗的氢化物或包含锗源的任何其它反应剂形成。
本发明的方法还可以包含提供平行板反应器的步骤,此反应器具有大约85-750平方厘米的衬底夹盘导电面积和大约1-12厘米的衬底与顶部电极之间的间隙。高频RF功率以大约0.45-200MHz的频率被施加到一个电极。额外的低频功率可以可选地施加到一个电极。
用于淀积步骤的条件可以依赖于本发明SiCOH介质材料所希望的最终介电常数而变化。广义地说,用来提供介电常数约为2.8或以下,张应力小于45MPa,弹性模量约为2-15GPa,且硬度约为0.2-2Gpa的包含元素Si、C、O、H的稳定介质材料的条件包括:将衬底温度设定为大约300-425℃;将高频RF功率密度设定为大约每平方厘米0.1-1.5W;将第一液体前体的流速设定为大约每分钟100-5000毫克,可选地将第二液体前体的流速设定为大约每分钟50-10000毫克;可选地将第三液体前体的流速设定为大约每分钟25-4000毫克;可选地将诸如氦气(和/或氩气)的流速设定为大约50-5000sccm;将反应器压力设定为大约1000-7000毫乇;以及将高频RF功率设定为大约75-1000W。大约30-400W的超低频率的功率可以被可选地施加到等离子体。当衬底夹盘的导电面积被改变X倍时,施加到衬底夹盘的RF功率也被改变X倍。
当氧化剂被用于本发明时,它以大约10-1000sccm的流速被送入PECVD反应器中。
虽然在上述例子中使用了液体前体,但本技术领域知道,有机气相前体(例如三甲基硅烷)也能够被用于淀积。
从上述工艺得到的膜在此处被称为“刚淀积的膜”。下面将在小标题工艺实施方案下更详细地描述表1的用来提供SiCOH介质的条件。
在淀积之后,用能源可选地对刚淀积的膜进行处理,以便稳定膜并改善其性质(电学性质、机械性质、粘合性质)以得到最终最佳的膜。适当的能源包括热能、化学能、紫外线(UV)光能、电子束(e束)能、微波能、以及等离子体能。上述各种能源的组合也能够被用于本发明。用于本发明的能源被用来修正刚淀积的SiCOH介质的Si-O键合网络,修正材料中的其它键,引起更多的Si-O交叉链接,并在某些情况下清除碳氢化合物相,使所有上述修正导致更高的弹性模量、更高的硬度、或更小的内部应力,或所述各种性质的组合。更高的模量或更小的应力导致更低的裂纹传播速度,而更高的模量和更小的应力的组合是能量处理的优选结果。
热能源包括诸如加热元件或灯之类的能够将淀积的介质材料加热到大约300-500℃的温度的任何热能源。更优选的是,热能源能够将淀积的介质材料加热到大约350-430℃的温度。这一热处理工艺能够以各种时间周期进行,典型时间周期约为1-300分钟。典型地在存在诸如He和Ar之类的惰性气体的情况下执行此热处理步骤。此热处理步骤可以被称为退火步骤,其中采用了快速热退火、炉子退火、激光退火或脉冲退火条件。
利用能够产生波长约为500-150nm的光源来执行UV光处理步骤,以便在晶片温度保持在25-500℃优选为300-450℃的情况下照射衬底。大于370nm的辐射能量不足以分裂即激发重要的键,故波长范围150-370nm是优选范围。利用文献数据和刚淀积的膜上测得的吸收谱,本发明人已经发现小于170nm的辐射由于SiCOH膜的退化而不利。而且,由于来自310-370nm的单位光子的能量比较低,故能量范围310-370nm不如150-310范围有用。在150-310nm范围内,与刚淀积的膜的吸收谱的最佳重叠和膜性质最小退化(例如疏水性),可以被可选地用来选择用以改变SiCOH性质的UV谱的最有效区域。
利用能量为0.5-25keV且电流密度为每平方厘米0.1-100微安(最好是每平方厘米1-5微安)的能够在晶片上产生均匀电子流的源,在晶片温度保持在25-500℃优选为300-450℃的情况下,来执行电子束处理步骤。用于电子束处理步骤的电子优选剂量约为每平方厘米50-500微库仑,以每平方厘米100-300微库仑为好。
利用能够产生原子氢(H)以及可选地产生CH3或其它碳氢化合物原子团的源,来执行等离子体处理步骤。下游等离子体源比直接等离子体照射更优选。在等离子体处理过程中,晶片温度被保持在25-500℃下,以300-450℃为好。
借助于将气体引入到能够产生等离子体的反应器中,然后气体被转化成等离子体,来执行等离子体处理。能够被用于等离子体处理的气体包括诸如Ar、N、He、Xe、Kr之类的惰性气体,以He为好;氢或相关的原子氢源、甲烷、甲基硅烷、相关的CH3原子团源、以及它们的混合物。等离子体处理气体的流速可以依赖于被使用的反应器系统而变化。工作室压力可以为0.05-20乇,但优选的压力范围为1-10乇。等离子体处理步骤进行一定的时间周期,此周期典型地约为0.5-10分钟,但在本发明中也可以采用更长的时间。
RF或微波功率源被典型地用来产生上述等离子体。RF功率源可以工作于高频范围(约为100W量级或以上);也可以采用低频范围(小于250W)或它们的组合。高频功率密度可以是每平方厘米0.1-2.0W,但优选范围是每平方厘米0.2-1.0W。低频功率密度可以是每平方厘米0.1-1.0W,但优选范围是每平方厘米0.2-0.5W。选择的功率水平必须足够低,以便避免暴露的介质表面的显著溅射腐蚀(清除要少于5nm)。
根据本发明,本发明的稳定的SiCOH介质材料的制造可能要求组合下列几个步骤:
-利用此处给定的具体数值范围内的淀积设备参数,在第一步骤中,材料被淀积在衬底上,形成刚淀积的膜;
-用热、UV光、电子束辐照、或其中一个以上的组合,对材料进行固化或处理,形成具有此处所述的所希望的机械性质和其它性质的最终膜。
如本技术领域所知,在本发明中将在二个分离的工艺工作室中进行二个工艺步骤,此二个分离的工艺工作室可以被集结在单个工艺设备上,或二个工作室可以位于分离的工艺设备(“不集结的”)中。对于多孔SiCOH膜,固化步骤可以涉及到清除与介质材料共同淀积的牺牲碳氢化合物(多孔源)组分。能够被用于本发明的适当牺牲碳氢化合物组分包括但不局限于上述的第二前体,在第三实施例中列举了所述的第二前体。
工艺实施方案
第一实施例,k=2.7
在一个优选工艺实施方案中,300mm的衬底被置于PECVD设备中被加热到300-425℃,优选为350℃的晶片夹盘上。任何PECVD淀积反应器都可以用于本发明。然后使气体和液体前体的流速稳定,以便达到6乇的压力,但也可以采用1-10乇的压力。
气体组分由He或Ar、SiCOH前体、以及可选的O2或CO2组成。SiCOH前体包含元素Si、C、O、H,且优选的前体包括四甲基环四硅氧烷(TMCTS)或八甲基环四硅氧烷(OMCTS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基二甲氧基硅烷(DEDMOS)、以及有关的环状和非环状硅烷、硅氧烷等。优选的工艺采用每分钟2000-3500毫克(优选为每分钟2800±300毫克)液体流的八甲基环四硅氧烷(OMCTS)以及流速为100-200sccm的O2,但也可以采用流速50-3000sccm的O2。优选的He流速为500-2000sccm。
在优选工艺中,射频能量以13.6MHz的频率和大约350W的功率(但也可以使用200-450W的功率),被施加到二个气体引入板(“莲蓬头”),还以13.6MHz的频率和大约100W的功率(但也可以使用50-200W的功率),被施加到晶片夹盘。如本技术领域所知,不同的RF频率(0.26、0.35、0.45MHz)也可以被用于本发明。
在淀积之后,可选地执行SiCOH膜的处理(用热能和第二能源二者),以便稳定膜从而得到表1所列举的性质。第二能源可以是辐射激活的(UV或电子束),或可以是化学的(利用形成在等离子体中的氢原子或其它活性气体)。
采用热能和第二能源的处理,改变了SiCOH膜的FTIR谱中网络Si-O吸收对网格Si-O吸收的比率,且具体降低了网格Si-O吸收对网络Si-O吸收的比率。图1示出了结果。在图1A中,曲线1是350℃处理之后的,曲线2是410℃处理之后的。在图1B中,所有曲线都是410℃处理之后的,而曲线5、6、7显示出网格Si-O强度对网络Si-O强度的比率随着处理时间的增加而被降低。
在一个优选处理中,衬底(包含根据上述工艺淀积的膜)被置于具有受控环境(真空或具有浓度小于100ppm,优选小于10ppm的O2和H2O的超纯惰性气体)的紫外线(UV)处理设备中。
在本发明中,UV处理设备可以被连接到淀积设备(“集结的”),或可以是分离的设备。样品被置于UV处理设备的温度为300-450℃,优选为350-400℃的热夹盘上。对样品进行30-1000秒钟,优选为100-600秒钟的热退火和UV辐照的组合处理。在本发明的UV处理中,可以使用150-370nm的波长范围,但290-370的能量范围由于单位光子的能量比较低而不如150-290nm范围有用。在150-370nm范围内,与刚淀积的膜的吸收谱的最佳重叠以及膜性质(例如疏水性)的最小退化可以可选地用来选择用以改变和改善SiCOH性质的UV谱的最有效区域。功率典型地为1-10kW,优选为2-5kW。
在变通实施方案中,衬底被灯加热到上述的温度。同样在变通实施方案中,第二能源可以包括但不局限于化学能、电子束能、微波能、或等离子体能。
这一处理的结果是具有上述表1第一行所示性质的SiCOH材料。
第二实施例,k=2.5-2.6
为了使本发明的SiCOH材料具有k=2.5-2.6,采用了相似于第一实施例的工艺,但进行了小的改变。具体地说,压力被增大到6乇以上,SiCOH的OMCTS前体的流量被降低到大约每分钟1500-3000毫克,莲蓬头RF功率被稍许降低(降低10-20%)。重要的是要降低大约20-50%的晶片夹盘RF功率。
第三实施例,k=2.4-2.2
在一个优选工艺实施方案中,300mm的衬底被置于PECVD设备中的加热到100-400℃,优选为200-350℃的晶片夹盘上。诸如Applied Materials制造的Producer和Novellus Systems制造的Vector之类的设备被普遍使用,但在本发明中可以使用任何PECVD淀积反应器。
气体和液体前体流动,然后被稳定,以便达到1-6乇的压力,但也可以采用1-10乇的压力。气体组分由SiCOH前体、第二碳氢化合物基前体、以及He或Ar组成。还可以可选地使用O2或CO2。SiCOH前体包含元素Si、C、O、H,且优选的前体包括四甲基环四硅氧烷(TMCTS)或八甲基环四硅氧烷(OMCTS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基二甲氧基硅烷(DEDMOS)、以及有关的环状和非环状硅烷、硅氧烷等。
可以采用其内容在此处被列为参考的美国专利No.6147009、6312793、6441491、6437443、6441491、6541398、6479110 B2、以及6497963所述的工艺。
第二碳氢化合物前体可以是有机分子,优选选自具有环状结构的分子。一类优选的前体是包含稠环的物质,其中至少一个稠环包含异质原子,优先是氧。在这些物质中,最稳定的是包括其尺寸提供显著环应变的环,亦即3或4个原子和/或7个或更多个原子的环的那些物质。特别有吸引力的是诸如环戊烯氧化物(“CPO”即“C5H8O”)之类的所谓氧杂二环物。
优选的前体可以包含可存在于分子中的诸如叔丁基和异丙基之类的环或原子团。第三类优选前体包含C=C双键,至少一个C=C双键。第四类高度优选的前体包含至少一个环以及至少一个C=C双键。
优选的工艺使用液体流量为每分钟50-3000毫克(优选为每分钟2800±300毫克)的OMCTS、TMCTS、或DEMS以及流量为每分钟10-10000毫克的第二碳氢化合物前体。第二碳氢化合物前体对SiCOH前体的比率约为1-100。优选的He流量为100-1000sccm,还可以使用流量为5-1000sccm的O2或CO2
在优选工艺中,射频能量以13.6MHz的频率和大约300W的功率(但也可以使用200-450W的功率),被施加到二个气体引入板(“莲蓬头”),还以13.6MHz或以下的频率和大约50W的功率(但也可以使用0-200W的功率),被施加到晶片夹盘。本发明的SiCOH膜以每分钟400-4000埃,优选约为每分钟600-1000埃的速率被淀积。调节时间来淀积所希望厚度的膜。此膜至少包含第一SiCOH相和第二碳氢化合物相。
第二碳氢化合物相主要由C和H组成,但可以包含O或Si,且典型地具有不同的分子范围即分子片段或有机链(而不是单个物质)。此相可以处于碳氢化合物分子或类似聚合物的小有机链的形式,且具体地说,某些物质可以是分别包含2个或3个上述第二碳氢化合物前体分子的“二聚物或三聚物”。这些分子或链可以被共价键合到SiCOH框架,或不被键合。
在淀积之后,可选地执行SiCOH膜的处理(用热能和第二能源二者),以便稳定膜,清除大部分或全部第二碳氢化合物相,产生由具有非常小的特征尺度的开放空间组成的第三相,从而改善表1所列举的性质。第三相的尺度约为0.1-5nm,优选为1-2nm。
第二能源可以是辐射激活的(UV或电子束),或可以是化学的(利用形成在等离子体中的氢原子或其它活性气体)。
在一个优选处理中,衬底(包含根据上述工艺淀积的膜)被置于具有受控环境(真空或具有浓度小于100ppm,优选小于10ppm的O2和H2O的超纯惰性气体)的紫外线(UV)处理设备中。
在本发明中,UV处理设备可以被连接到淀积设备(“集结的”),或可以是分离的设备。样品被置于UV处理设备的温度为300-450℃,优选为350-430℃,最优选为370-420℃的热夹盘上。
对样品进行30-1000秒钟,优选为100-600秒钟的热退火和UV辐照的组合处理。
可以使用具有370-150nm波长范围的发射的UV辐射源,190-370nm的波长范围是优选范围。190-290nm是高度优选的,且在190-290nm范围内,与刚淀积的膜的吸收谱的最佳重叠可以被可选地用来选择用以改变SiCOH性质的UV谱的最有效区域。
由于光源与衬底之间的石英元件的吸收,为了方便,可以选择波长为290-190或180nm的光谱范围。功率典型地为1-10kW,优选为2-5kW。
在优选的变通实施方案中,用来激活SiCOH框架的较高能量的UV(210-150nm)与用来激活和清除第二碳氢化合物相的较低能量(300-200nm)的组合可能是优选的。
用来激活SiCOH框架的较高能量的UV(210-150nm)可以被用于第一UV步骤,而用来激活和清除第二碳氢化合物相的较低能量(300-200nm)可以被用于第二UV步骤。
在变通实施方案中,用来激活和清除第二碳氢化合物相的较低能量(300-200nm)可以被用于第一UV步骤,而用来激活SiCOH框架的较高能量的UV(210-150nm)可以被用于第二UV步骤。
同样,在变通实施方案中,衬底被灯加热到上述的温度。同样,在变通实施方案中,第二能源可以包括但不局限于化学能、电子束能、微波能、或等离子体能。
采用热能和第二能源的处理二者,改变了SiCOH膜的FTIR谱中网络Si-O吸收对网格Si-O吸收的比率,且具体提高了网络Si-O吸收对网格Si-O吸收的比率。图2A示出了结果,其中,曲线11是热(退火)处理之后多孔SiCOH膜的FTIR吸收,曲线12是优选电子束处理之后的FTIR吸收,而曲线13是优选UV处理之后的FTIR吸收。与曲线11相比,电子束处理(12)和UV处理(13)二者都表现出更大的网络Si-O峰对网格Si-O峰的比率,这与更大的模量有关。如图2A所示,C-H拉伸模式的FTIR吸收由于处理而被改变。现在参照图2B,示出了在430℃下的优选电子束处理过程中,同一个多孔SiCOH膜的弹性模量对剂量的曲线。此模量随剂量单调增大。较高的模量是由上述图2A中所见的较高的网络/网格Si-O比率造成的。
图3示出了不同UV处理时间的影响。图3绘出了本发明形成的多孔SiCOH膜的FTIR吸收曲线,其中,曲线21是刚淀积的膜的FTIR吸收,曲线22是在430℃下热(退火)处理4小时之后多孔SiCOH膜的FTIR吸收,曲线23是在400℃下UV处理2分钟之后多孔SiCOH膜的FTIR吸收,而曲线24是在400℃下UV处理5分钟之后多孔SiCOH膜的FTIR吸收。可以看到,网络对网格Si-O峰的比率随UV处理时间增加而增大。
第四实施例,k=2.2-2.5
为了使本发明的SiCOH材料具有k=2.2-2.5,采用了相似于第三实施例的工艺,但进行了小的改变。具体地说,第二碳氢化合物前体对第一SiCOH前体的比率被降低到了较低的数值。
第五实施例,k=2.0-2.1
为了使本发明的SiCOH材料具有k=2.0-2.1,采用了相似于第三实施例的工艺。k小于2.1的材料的多孔性大于30%,且采用了较高的多孔源/SiCOH比率。
图4-7示出了用本发明的新颖方法制作的电子器件。应该指出的是,图4-7所示器件仅仅是本发明的示例性例子,用本发明的新颖方法还可以制作各种各样的其它器件。
在图4中,示出了制作在硅衬底32上的电子器件30。绝缘材料层34被首先形成在硅衬底32的顶部上,第一金属区36被埋置在绝缘材料层34中。在对第一金属区36进行CMP工艺之后,本发明的SiCOH介质膜38被淀积在第一绝缘材料层34和第一金属区36的顶部上。第一绝缘材料层34可以由氧化硅、氮化硅、这些材料的掺杂变种、或任何其它适当的绝缘材料适当地形成。然后在光刻工艺中对SiCOH介质膜38进行图形化,随之以腐蚀,并在其上淀积导体层40。在对第一导体层40进行CMP工艺之后,用等离子体增强化学气相淀积工艺淀积第二层本发明SiCOH膜44,覆盖第一SiCOH介质膜38和第一导体层40。导体层40可以由金属材料或非金属导电材料来淀积。例如,金属材料铝或铜,或非金属材料氮化物或多晶硅。第一导体40与第一金属区36电连接。
然后在对SiCOH介质膜44进行光刻工艺随之以腐蚀和第二导体材料的淀积工艺之后,形成第二导体区50。与淀积第一导体区40中所使用的相似,第二导体区50也可以由金属材料或非金属导电材料来淀积。第二导体区50与第一导体区40电连接,并被埋置在第二SiCOH介质膜层44中。第二SiCOH介质膜层与第一SiCOH介质材料层38紧密接触。在本实施例中,第一SiCOH介质材料层38是一种层内介质材料,而第二SiCOH介质膜层44是层内介质又是层间介质。由于本发明的SiCOH介质膜的介电常数低,故利用第一绝缘层38和第二绝缘层44,能够得到优异的绝缘性质。
图5示出了本发明电子器件60,它相似于图4所示的电子器件30,但具有淀积在第一绝缘材料层38与第二绝缘材料层44之间的额外的介质帽层62。介质帽层62可以由诸如氧化硅、氮化硅、氮氧化硅、难熔金属为Ta、Zr、Hf、或W的难熔金属氮硅化物、碳化硅、氮碳化硅(SiCN)、氧碳化硅(SiCO)、以及它们的氢化化合物之类的材料适当地组成。此额外的介质帽层62用作扩散势垒层,以便防止第一导体层40扩散进入第二绝缘材料层44或进入下方各层,特别是进入层34和32。
图6示出了本发明电子器件70的另一变通实施方案。在电子器件70中,采用了二个用作RIE掩模和CMP(化学机械抛光)抛光停止层的额外的介质帽层72和74。第一介质帽层72被淀积在第一超低k绝缘材料层38的顶部上,并用作RIE掩模和CMP停止层,故第一导体层40和层72在CMP之后大致共平面。第二介质层74的功能相似于层72,但层74被用于整平第二导体层50。抛光停止层74可以由诸如氧化硅、氮化硅、氮氧化硅、难熔金属为Ta、Zr、Hf、或W的难熔金属氮硅化物、碳化硅、氧碳化硅(SiCO)、以及它们的氢化化合物之类的适当介质材料组成。对于层72或74,优选的抛光停止层组分是SiCH或SiCOH。为了同一个目的,第二介质层74可以被添加在第二SiCOH介质膜的顶部上。
图7示出了本发明电子器件80的另一变通实施方案。在此变通实施方案中,淀积了额外的介质材料层82,从而将第二绝缘材料层44分成二个分离的层84和86。图4所示的由本发明超低k材料组成的层内和层间介质层44因而在通道92与互连94之间的边界处被分成层间介质层84和层内介质层86。额外的扩散势垒层96进一步被淀积在上部介质层74的顶部上。此变通实施方案电子器件80提供的额外好处是,介质层82用作RIE腐蚀停止层,提供了优异的互连深度控制。于是,层82的组分被选择来提供对层86的腐蚀选择性。
另一变通实施方案可以包括具有作为布线结构中的层内或层间介质的绝缘材料层的电子结构,此电子结构包括预处理过的半导体衬底,此衬底具有埋置在第一绝缘材料层中的第一金属区;埋置在第二绝缘材料层中的第一导体区,其中,第二绝缘材料层与第一绝缘材料层紧密接触,且第一导体区与第一金属区电连接,第二导体区与第一导体区电连接并被埋置在第三绝缘材料层中,其中第三绝缘材料层与第二绝缘材料层紧密接触;第二绝缘材料层与第三绝缘材料层之间的第一介质帽层以及第三绝缘材料层顶部上的第二介质帽层,其中,第一和第二介质帽层由包括原子Si、C、O、H的材料,或优选由本发明的SiCOH介质膜组成。
本发明的另一变通实施方案包括具有作为布线结构中的层内或层间介质的绝缘材料层的电子结构,此电子结构包括预处理过的半导体衬底,此衬底具有埋置在第一绝缘材料层中的第一金属区;埋置在第二绝缘材料层中的第一导体区,此第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连接,第二导体区与第一导体区电连接并被埋置在第三绝缘材料层中,此第三绝缘材料层与第二绝缘材料层紧密接触;以及由淀积在第二绝缘材料层和第三绝缘材料层中至少之一上的本发明的多相超低k膜组成的扩散势垒层。
另一变通实施方案包括具有作为布线结构中的层内或层间介质的绝缘材料层的电子结构,此电子结构包括预处理过的半导体衬底,此衬底具有埋置在第一绝缘材料层中的第一金属区;埋置在第二绝缘材料层中的第一导体区,此第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连接,第二导体区与第一导体区电连接并被埋置在第三绝缘材料层中,此第三绝缘材料层与第二绝缘材料层紧密接触;第二绝缘材料层顶部上的反应离子刻蚀(RIE)硬掩模/抛光停止层;以及RIE硬掩模/抛光停止层顶部上的扩散势垒层,其中,RIE硬掩模/抛光停止层以及扩散势垒层由本发明的SiCOH介质膜组成。
另一变通实施方案包括具有作为布线结构中的层内或层间介质的绝缘材料层的电子结构,此电子结构包括预处理过的半导体衬底,此衬底具有埋置在第一绝缘材料层中的第一金属区;埋置在第二绝缘材料层中的第一导体区,此第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连接,第二导体区与第一导体区电连接并被埋置在第三绝缘材料层中,此第三绝缘材料层与第二绝缘材料层紧密接触;第二绝缘材料层顶部上的第一RIE硬掩模/抛光停止层;第一RIE硬掩模/抛光停止层顶部上的第一扩散势垒层;第三绝缘材料层顶部上的第二RIE硬掩模/抛光停止层;以及第二RIE硬掩模/抛光停止层顶部上的第二扩散势垒层;其中,RIE硬掩模/抛光停止层以及扩散势垒层由本发明的SiCOH介质膜组成。
本发明另一变通实施方案包括具有作为布线结构中的层内或层间介质的绝缘材料层的电子结构,与上面刚刚描述的相似,但还包括位于层间介质层与层内介质层之间的由本发明的SiCOH介质材料组成的介质帽层。
虽然以示例性方式已经描述了本发明,但应该理解的是,所用的术语要理解成描述字面意义而不是为了限制。而且,虽然就优选实施方案和变通实施方案而言已经描述了本发明,但要理解的是,本技术领域的熟练人员可以容易地将这些论述应用到本发明的其它可能的变种。

Claims (57)

1.一种包含元素Si、C、O、H的介质材料,其介电常数为2.8或以下,张应力小于45MPa,弹性模量为2-15GPa,且硬度为0.2-2GPa。
2.权利要求1的介质材料,其中,所述材料的粘合强度为1.7-4.5J/m2
3.权利要求1的介质材料,其中,对于为1.1-2.8微米的膜厚度,所述材料的水中裂纹发展速度不大于1×10-10m/sec。
4.权利要求1的介质材料,其中,介电常数为2.7,张应力小于45MPa,弹性模量为9-15GPa,且硬度为0.5-2GPa。
5.权利要求4的介质材料,其中,所述材料的粘合强度为4.0-4.5J/m2
6.权利要求4的介质材料,其中,对于2.8微米的膜厚度,所述材料的水中裂纹发展速度不大于1×10-10m/sec。
7.权利要求1的介质材料,其中,介电常数为2.6,张应力小于45MPa,弹性模量为8-13GPa,且硬度为0.4-1.9GPa。
8.权利要求7的介质材料,其中,材料的粘合强度为4.0-4.5J/m2
9.权利要求7的介质材料,其中,对于2.7微米的膜厚度,所述材料的水中裂纹发展速度不大于1×10-10m/sec。
10.权利要求1的介质材料,其中,介电常数为2.5,张应力小于45MPa,弹性模量为7-12GPa,且硬度为0.35-1.8GPa。
11.权利要求10的介质材料,其中,材料的粘合强度为2.5-3.9J/m2
12.权利要求10的介质材料,其中,对于2.5微米的膜厚度,材料的水中裂纹发展速度不大于1×10-10m/sec。
13.权利要求1的介质材料,其中,介电常数为2.4,张应力小于40MPa,弹性模量为6-11GPa,且硬度为0.3-1.7GPa。
14.权利要求13的介质材料,其中,材料的粘合强度为2.4-3.8J/m2
15.权利要求13的介质材料,其中,对于2.3微米的膜厚度,所述材料的水中裂纹发展速度不大于1×10-10m/sec。
16.权利要求1的介质材料,其中,介电常数为2.3,张应力小于40MPa,弹性模量为5-10GPa,且硬度为0.25-1.6GPa。
17.权利要求16的介质材料,其中,材料的粘合强度为2.2-3.7J/m2
18.权利要求16的介质材料,其中,对于1.9微米的膜厚度,所述材料的水中裂纹发展速度不大于1×10-10m/sec。
19.权利要求1的介质材料,其中,介电常数为2.2,张应力小于40MPa,弹性模量为4-9GPa,且硬度为0.2-1.5GPa。
20.权利要求19的介质材料,其中,材料的粘合强度为2.0-3.5J/m2
21.权利要求19的介质材料,其中,对于1.5微米的膜厚度,材料的水中裂纹发展速度不大于1×10-10m/sec。
22.权利要求1的介质材料,其中,介电常数为2.1,张应力为20-35MPa,弹性模量为3-8GPa,且硬度为0.2-1.4GPa。
23.权利要求22的介质材料,其中,材料的粘合强度为1.8-3.4J/m2
24.权利要求22的介质材料,其中,对于1.3微米的膜厚度,材料的水中裂纹发展速度不大于1×10-10m/sec。
25.权利要求1的介质材料,其中,介电常数为2.0,张应力为20-35MPa,弹性模量为2-7GPa,且硬度为0.2GPa。
26.权利要求25的介质材料,其中,材料的粘合强度为1.7-3.3J/m2
27.权利要求25的介质材料,其中,对于1.1微米的膜厚度,材料的水中裂纹发展速度不大于1×10-10m/sec。
28.权利要求1的介质材料,其中,介质材料具有共价键合的三维网络结构。
29.权利要求28的介质材料,其中,所述共价键合的三维网络结构还包含产生FTIR吸收谱的Si-O键,其中,淀积之后采用热能和第二能源的处理来减小网格Si-O强度对网络Si-O强度的比率,其中第二能源为辐射激活的或化学的能源。
30.权利要求29的介质材料,其中,所述处理使用选自热、化学、紫外光、电子束、微波、等离子体中的至少一种能源。
31.权利要求1的介质材料,其中,所述材料具有大于70度的水接触角。
32.权利要求1的介质材料,其中,所述介质材料还包含多重性纳米尺寸的孔。
33.一种互连结构,它包含至少一种介质材料和布线区,此介质材料包含元素Si、C、O、H,其介电常数为2.8或以下,张应力小于45MPa,弹性模量为2-15GPa,且硬度为0.2-2GPa。
34.权利要求33的电子结构,其中,介质材料的介电常数为2.7,介质材料的弹性模量为9-15GPa,且介质材料的硬度为0.5-2GPa。
35.权利要求33的电子结构,其中,介质材料的介电常数为2.6,介质材料的弹性模量为8-13GPa,且介质材料的硬度为0.4-1.9GPa。
36.权利要求33的电子结构,其中,介质材料的介电常数为2.5,介质材料的弹性模量为7-12GPa,且介质材料的硬度为0.35-1.8GPa。
37.权利要求33的电子结构,其中,介质材料的介电常数为2.4,介质材料的弹性模量为6-11GPa,且介质材料的硬度为0.3-1.7GPa。
38.权利要求33的电子结构,其中,介质材料的介电常数为2.3,介质材料的弹性模量为5-10GPa,且介质材料的硬度为0.25-1.6GPa。
39.权利要求33的电子结构,其中,介质材料的介电常数为2.2,介质材料的弹性模量为4-9GPa,且介质材料的硬度为0.2-1.5GPa。
40.权利要求33的电子结构,其中,介质材料的介电常数为2.1,介质材料的弹性模量为3-8GPa,且介质材料的硬度为0.2-1.4GPa。
41.权利要求33的电子结构,其中,介质材料的介电常数为2.0,介质材料的张应力为20-35MPa,介质材料的弹性模量为2-7GPa,且介质材料的硬度为0.2GPa。
42.权利要求33的电子结构,其中,所述共价键合的三维网络结构还包含产生FTIR吸收谱的Si-O键,其中,采用淀积之后的热能和第二能源的处理来减小网格Si-O强度对网络Si-O强度的比率,其中第二能源为辐射激活的或化学的能源。
43.权利要求42的电子结构,其中,介质材料具有共价键合的三维网络结构。
44.权利要求42的电子结构,其中,所述处理使用选自热、化学、紫外光、电子束能、微波、等离子体中的至少一种能源。
45.权利要求33的电子结构,其中,介质材料具有大于70度的水接触角。
46.权利要求33的电子结构,其中,所述介质材料还包含多重性纳米尺寸的孔。
47.一种制造SiCOH介质材料的方法,它包含:
将至少一种包含Si、C、O、H原子的第一前体和惰性载体提供到反应器中;以及
将衬底温度设定为300-425℃;将高频射频功率密度设定为每平方厘米0.1-1.5W;将第一前体的流速设定为每分钟100-5000毫克;将反应器压力设定为1000-7000毫乇;以及将高频射频功率设定为75-1000W,从而将从所述第一前体获得的膜淀积到衬底上。
48.权利要求47的方法,其中,所述第一前体包含1,3,5,7-四甲基环四硅氧烷、八甲基环四硅氧烷、二乙氧基甲基硅烷、二甲基二甲氧基硅烷、二乙基甲氧基硅烷、以及有关的环状和非环状硅烷、硅氧烷。
49.权利要求47的方法,还包含第二前体,所述第二前体包含碳氢化合物分子,所述碳氢化合物分子选自具有环结构的分子以及包含附着到碳氢化合物环的支链叔丁基或异丙基原子团的分子。
50.权利要求49的方法,其中,所述第二前体是包含氧的碳氢化合物分子。
51.权利要求49的方法,其中,所述第二前体是环戊烯氧化物。
52.权利要求47的方法,还包含第三前体,所述第三前体是锗的氢化物。
53.权利要求47的方法,还包含将氧化剂提供到所述反应器。
54.权利要求47的方法,还包含将介质材料受辐射于至少一种能源。
55.权利要求53的方法,其中,至少一种能源是热能、UV光、电子束、化学能、微波、或等离子体。
56.权利要求53的方法,其中,至少一种能源是UV光,且所述受辐射在300-450℃的衬底温度和150-370nm的波长下进行。
57.权利要求56的方法,其中的波长为190-290nm。
CNB2005100043046A 2004-01-16 2005-01-14 低k和超低k SiCOH介质膜及其制作方法 Active CN100378990C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/758,724 2004-01-16
US10/758,724 US7030468B2 (en) 2004-01-16 2004-01-16 Low k and ultra low k SiCOH dielectric films and methods to form the same

Publications (2)

Publication Number Publication Date
CN1645608A CN1645608A (zh) 2005-07-27
CN100378990C true CN100378990C (zh) 2008-04-02

Family

ID=34749564

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100043046A Active CN100378990C (zh) 2004-01-16 2005-01-14 低k和超低k SiCOH介质膜及其制作方法

Country Status (4)

Country Link
US (2) US7030468B2 (zh)
JP (2) JP4755831B2 (zh)
CN (1) CN100378990C (zh)
TW (1) TWI324381B (zh)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
TWI286814B (en) * 2003-04-28 2007-09-11 Fujitsu Ltd Fabrication process of a semiconductor device
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) * 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
JP4470627B2 (ja) * 2004-07-15 2010-06-02 日本電気株式会社 光学基板、発光素子および表示装置
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
WO2007032563A1 (ja) * 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
JPWO2007043205A1 (ja) * 2005-10-14 2009-04-16 塩谷 喜美 照射装置、照射方法及び半導体デバイス
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP4666308B2 (ja) * 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
US20070246830A1 (en) * 2006-04-21 2007-10-25 Toshiba America Electronic Components, Inc. Long-lifetime interconnect structure and method for making
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008021800A (ja) * 2006-07-12 2008-01-31 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
JP2008103586A (ja) * 2006-10-20 2008-05-01 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20080188074A1 (en) * 2007-02-06 2008-08-07 I-I Chen Peeling-free porous capping material
US7847402B2 (en) 2007-02-20 2010-12-07 International Business Machines Corporation BEOL interconnect structures with improved resistance to stress
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7851288B2 (en) * 2007-06-08 2010-12-14 International Business Machines Corporation Field effect transistor using carbon based stress liner
FR2918997B1 (fr) * 2007-07-20 2010-12-03 Commissariat Energie Atomique Procede de preparation de couches minces de materiaux dielectriques nanoporeux.
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
WO2010082250A1 (ja) * 2009-01-13 2010-07-22 パナソニック株式会社 半導体装置及びその製造方法
JP2010171081A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置及びその製造方法
SG174296A1 (en) * 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
WO2010125682A1 (ja) * 2009-04-30 2010-11-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
US8974870B2 (en) * 2009-07-08 2015-03-10 Imec Fabrication of porogen residues free low-k materials with improved mechanical and chemical resistance
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8314005B2 (en) 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8932674B2 (en) 2010-02-17 2015-01-13 American Air Liquide, Inc. Vapor deposition methods of SiCOH low-k films
US8569183B2 (en) * 2010-03-01 2013-10-29 Fairchild Semiconductor Corporation Low temperature dielectric flow using microwaves
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103165576B (zh) * 2011-12-13 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9326409B2 (en) * 2012-09-26 2016-04-26 Toyota Jidosha Kabushiki Kaisha Electric component
US8987859B2 (en) * 2012-12-04 2015-03-24 Intel Corporation Techniques for enhancing dielectric breakdown performance
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9281238B2 (en) * 2014-07-11 2016-03-08 United Microelectronics Corp. Method for fabricating interlayer dielectric layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP2016127080A (ja) * 2014-12-26 2016-07-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10262876B2 (en) 2015-02-16 2019-04-16 SCREEN Holdings Co., Ltd. Substrate processing apparatus
KR102624608B1 (ko) 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
TWI590350B (zh) * 2016-06-30 2017-07-01 欣興電子股份有限公司 線路重分佈結構的製造方法與線路重分佈結構單元
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1260908A (zh) * 1997-04-17 2000-07-19 联合讯号公司 渐变密度的纳米孔绝缘薄膜及其制法
JP2002256434A (ja) * 2001-01-17 2002-09-11 Air Products & Chemicals Inc 低誘電率層間絶縁膜の形成方法
US6472306B1 (en) * 2000-09-05 2002-10-29 Industrial Technology Research Institute Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
CN1430274A (zh) * 2002-01-02 2003-07-16 艾格瑞系统有限公司 用于隔离多孔低k介电薄膜的结构和方法
US20030224156A1 (en) * 2002-05-30 2003-12-04 Kirner John Francis Low dielectric materials and methods for making same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6764774B2 (en) * 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
US20040089470A1 (en) * 2002-11-12 2004-05-13 Nec Corporation Printed circuit board, semiconductor package, base insulating film, and manufacturing method for interconnect substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1260908A (zh) * 1997-04-17 2000-07-19 联合讯号公司 渐变密度的纳米孔绝缘薄膜及其制法
US6472306B1 (en) * 2000-09-05 2002-10-29 Industrial Technology Research Institute Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
JP2002256434A (ja) * 2001-01-17 2002-09-11 Air Products & Chemicals Inc 低誘電率層間絶縁膜の形成方法
CN1430274A (zh) * 2002-01-02 2003-07-16 艾格瑞系统有限公司 用于隔离多孔低k介电薄膜的结构和方法
US20030224156A1 (en) * 2002-05-30 2003-12-04 Kirner John Francis Low dielectric materials and methods for making same

Also Published As

Publication number Publication date
JP2005203794A (ja) 2005-07-28
CN1645608A (zh) 2005-07-27
JP4755831B2 (ja) 2011-08-24
US7030468B2 (en) 2006-04-18
JP2009044162A (ja) 2009-02-26
JP4833268B2 (ja) 2011-12-07
US20060055004A1 (en) 2006-03-16
US20050156285A1 (en) 2005-07-21
TW200531248A (en) 2005-09-16
TWI324381B (en) 2010-05-01
US7282458B2 (en) 2007-10-16

Similar Documents

Publication Publication Date Title
CN100378990C (zh) 低k和超低k SiCOH介质膜及其制作方法
JP5511781B2 (ja) 多相超低誘電膜の形成方法
CN100533681C (zh) 先进的低介电常数有机硅等离子体化学汽相沉积膜
CN100524648C (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
JP4410783B2 (ja) 低誘電率膜を作製する方法
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
EP1856735A2 (en) Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties
JP2011119770A (ja) 半導体デバイスの層内または層間誘電体としての超低誘電率材料
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
JP2008527757A5 (zh)
CN1257547C (zh) 多相低介电常数材料及其沉积方法与应用
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171117

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171117

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right