JP2009044162A - 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法 - Google Patents

低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法 Download PDF

Info

Publication number
JP2009044162A
JP2009044162A JP2008224431A JP2008224431A JP2009044162A JP 2009044162 A JP2009044162 A JP 2009044162A JP 2008224431 A JP2008224431 A JP 2008224431A JP 2008224431 A JP2008224431 A JP 2008224431A JP 2009044162 A JP2009044162 A JP 2009044162A
Authority
JP
Japan
Prior art keywords
dielectric
layer
sicoh
present
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008224431A
Other languages
English (en)
Other versions
JP2009044162A5 (ja
JP4833268B2 (ja
Inventor
Stephen M Gates
ステファン・エム・ゲイツ
Christos D Dimitrakopoulos
クリストス・ディー・ディミトラコポロス
Alfred Grill
アルフレッド・グリル
Son Van Nguyen
ソン・ヴァン・グエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009044162A publication Critical patent/JP2009044162A/ja
Publication of JP2009044162A5 publication Critical patent/JP2009044162A5/ja
Application granted granted Critical
Publication of JP4833268B2 publication Critical patent/JP4833268B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Insulating Materials (AREA)

Abstract

【課題】Si、C、OおよびH元素を含み、水蒸気または集積化処理によっても劣化しない安定した超低k膜を与える特定の機械特性(引張応力、弾性率、硬さ、凝集強度、水中亀裂速度)値を有する誘電体材料を提供する。
【解決手段】この誘電体材料34,38,44は、約2.8以下の誘電率、45Mpa未満の引張応力、約2から約15GPaの弾性率、約0.2から約2GPaの硬さを有する。加へてこの誘電体材料を含む電子デバイス構造、ならびにこの誘電体材料を製造する様々な方法も開示する。
【選択図】図6

Description

本発明は一般に、低い誘電率(dielectric constant)(k)を有する、Cドープ酸化
物(Cdoped oxide:CDO)または有機ケイ酸塩ガラス(organosilicate glass:OSG
)とも呼ばれるSi、C、OおよびH原子(SiCOH)を含む誘電体材料の種類(clas
s)、この材料から膜を製造する方法、およびこのような膜を含む電子デバイスに関する
。詳細には本発明は、超大規模集積(ULSI)の後工程(BEOL:back-end-of-the-
line)配線構造における層内(intralevel)または層間(interlevel)誘電体膜、誘電体
キャップあるいはハード・マスク/研磨止めとしてこのような誘電体材料を使用すること
、このような膜を含む電子デバイス構造、ならびにこのような膜および構造の製造方法に
関する。
ULSI回路内で利用される電子デバイスの寸法の近年の絶え間ない縮小の結果、BE
OLメタライゼーションの抵抗ならびに層内および層間絶縁膜の静電容量は増大した。こ
の両方の効果によってULSI電子デバイス内の信号遅延は増大する。将来のULSI回
路のスイッチング性能を向上させるため、静電容量を低減させる低誘電率(低k)絶縁体
、特に酸化シリコンよりもかなり低いkを有する低k絶縁体が求められている。低いk値
を有する誘電体材料(すなわち誘電体)は市販されている。例えばそのような材料の1つ
が、k値2.0を有するポリテトラフルオロエチレン(「PTFE」)である。しかしこ
れらの誘電体材料は、300〜350℃を超える温度に暴露したときに熱的に不安定であ
る。これらの誘電体をULSIチップに組み込むためには少なくとも400℃での熱安定
性が必要である。したがって、組込みの間にこれらの誘電体は役に立たないものになる。
ULSIデバイスへの応用が検討された低k材料には、メチルシロキサン、メチルシル
セスキオキサン、他の有機および無機ポリマー(polymer)などのSi、C、OおよびH
元素を含むポリマー(重合体)が含まれる。例えば、論文(エヌ・ハッカー(N. Hacker
)他「酸化シリコン・ベースの新しい低誘電率スピンオン誘電体の特性(Propertiesof n
ew low dielectric constant spin-on silicon oxide based dielectrics)」、Mat.Res.
Soc. Symp. Proc. 476 (1997): 25)には、この熱安定性の要件を満たすように見える材
料が記載されている。しかしこれらの材料の一部は、スピンオン法によって膜を準備する
と、相互接続構造に組み込むために必要な厚さに達したときに、亀裂を容易に伝播させる
。さらに、前駆(precursor)物質は高価であり、大量生産で使用するにはコストがかか
りすぎる。これとは対照的に、超大規模集積回路(「VLSI」)チップおよびULSI
チップの製造ステップの大部分は、プラズマ強化化学的気相堆積法または物理的気相堆積
法によって実施される。容易に使用可能な処理装置を使用したプラズマ強化化学的気相堆
積法(「PECVD」)によって低k材料を製造できることによって、製造プロセスへの
材料の組込みが単純化され、製造原価が低減し、有害廃棄物が減る。
したがって、設置済みの使用可能な処理装置を使用したプラズマ強化化学的気相堆積法
(「PECVD」)によって低k材料を製造できると、製造プロセスへの材料の組込みが
単純化され、製造原価が低減し、有害廃棄物が減る。米国特許第6,147,009号お
よび第6,497,963号には、Si、C、OおよびH元素からなり、3.6以下の誘
電率を有し、非常に小さい亀裂伝播速度を示す低誘電率材料が記載されている。
米国特許第6,312,793号、第6,441,491号および第6,479,11
0B2号には、Si、C、OおよびH元素から構成された基質(matrix)からなり、1つ
の相(phase)が主にCとHからなり、3.2以下の誘電率を有する多相低k誘電体材料
が記載されている。
当技術分野では、2.7未満(好ましくは2.3未満)の誘電率を有する超低k膜も知
られている。従来技術の超低k膜の大きな問題は、ULSIデバイスにこのような膜を組
み込んだときに、組み込まれた膜が、不良な機械特性(引張応力、弾性率、硬さ、凝集強
度(cohesive strength)および水中亀裂速度(crack velocity in water))を示すこと
である。
従来技術の低kおよび超低k膜の上記の欠点を考慮すれば、約2.8以下の誘電率の値
を有し、その誘電膜をULSIデバイス内で使用することができる特定の機械特性を有す
る、安定したSiCOH誘電体の種類を開発する必要がある。
米国特許第6,147,009号 米国特許第6,312,793号 米国特許第6,441,491号 米国特許第6,437,443号 米国特許第6,441,491号 米国特許第6,541,398号 米国特許第6,479,110B2号 米国特許第6,497,963号 米国特許第6,768,200号 米国特許第6,770,573号 米国特許出願第10/390,801号 エヌ・ハッカー(N. Hacker)他、Mat. Res. Soc.Symp. Proc. 476 (1997): 25 エム・ダブリュー・レーン(M. W. Lane)、Annu. Rev.Mater. Res. 2003、33、29〜54ページ アール・エフ・クック(R. F. Cook)およびイー・ジー・ライナイジャ(E.G. Liniger)、Mat. Res. Soc. Symp. Proc.、511巻、1998、171 アール・エフ・クック(R. F. Cook)およびイー・ジー・ライナイジャ(E.G. Liniger)、E.C.S. Proc.、98-3巻、1998、129
幅広いSiCOH材料類(クラス)中で、本発明の出願人は、水蒸気または集積化処理
によって劣化せず、ダイシングおよびパッケージングの機械および熱応力に耐えた完成集
積回路チップを与える安定した誘電体膜が得られる特定の機械特性(引張応力、弾性率、
硬さ、凝集強度および水中亀裂速度)値を求めた。対照的に、同じ機械特性の他の望まし
くない値は、周囲の湿気および集積化処理によって劣化する不安定なSiCOH膜を与え
る。望ましくない他の機械特性は、ダイシングおよびパッケージングの間に亀裂を形成す
るチップを与える。本出願の出願人はさらに、本出願のSiCOH誘電体をBEOL相互
接続誘電体として使用して信頼性の高い正常な半導体集積回路(IC)デバイスを作るの
に必要な他の膜特性(疎水性および細孔のサイズ)を確認した。
本発明の1つの目的は、Si、C、OおよびH元素(以下「SiCOH」)を含み、2
.8以下の誘電率を有し、特定の望ましい機械特性の組合せを有する、低kまたは超低k
誘電率の材料を提供することにある。
本発明の他の目的は、Si−O、Si−C、Si−H、C−HおよびC−C共有結合を
含む共有結合3次元(tri-dimensional)ネットワーク構造(network structure)を有す
るSiCOH誘電体材料を提供することにある。
本発明の他の目的は、2.8以下の誘電率を有し、水中での亀裂形成に対する耐性を含
めてHO蒸気(湿度)への暴露に対して非常に安定である、SiCOH誘電体材料を提
供することにある。
本発明の他の目的は、本発明の安定したSiCOH材料をBEOL配線構造中の層内ま
たは層間誘電体として含む、電子デバイス構造を提供することにある。
本発明の他の目的は、本発明の機械特性と安定性の組合せを有するSiCOH誘電体材
料を製造する方法を提供することにある。
広義には、本発明は、Si、C、O、H元素を含む、誘電率約2.8以下、引張応力4
5MPa未満、弾性率約2から約15GPa、硬さ約0.2から約2GPaの安定した低
kまたは超低k誘電体材料を提供する。本発明の安定した低kまたは超低k誘電体材料は
さらに、約1.7から約4.5J/mの凝集強度(cohesive strength)、および約1
.1から約2.8ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達速度
(crackdevelopment velocity)を有することを特徴とする。
本発明の第1の実施形態では、誘電率2.7、引張応力45MPa未満、弾性率約9か
ら約15GPa、硬さ約0.5から約2GPaの安定した超低kのSiCOH誘電体材料
が提供される。さらに、本発明の第1の実施形態のSiCOH誘電体材料は約4.0から
約4.5J/mの凝集強度、および2.8ミクロンの膜厚に対して1×10−10m/
秒以下の水中亀裂発達速度を有する。
本発明の第2の実施形態では、誘電率2.6、引張応力45MPa未満、弾性率約8か
ら約13GPa、硬さ約0.4から約1.9GPaの安定した超低kのSiCOH誘電体
材料が提供される。本発明の第2の実施形態のSiCOH誘電体材料はさらに、約4.0
から約4.5J/mの凝集強度、および2.7ミクロンの膜厚に対して1×10−10
m/秒以下の水中亀裂発達速度を有する。
本発明の第3の実施形態では、誘電率2.5、引張応力45MPa未満、弾性率約7か
ら約12GPa、硬さ約0.35から約1.8GPaの安定した超低kのSiCOH誘電
体材料が提供される。本発明の第3の実施形態の誘電体材料はさらに、約2.5から約3
.9J/mの凝集強度、および2.5ミクロンの膜厚に対して1×10−10m/秒以
下の水中亀裂発達速度を有することを特徴とすることができる。
本発明の第4の実施形態では、誘電率2.4、引張応力40MPa未満、弾性率約6か
ら約11GPa、硬さ約0.3から約1.7GPaの安定した超低kのSiCOH誘電体
材料が提供される。本発明の第4の実施形態の誘電体材料によって、約2.4から約3.
8J/mの凝集強度、および2.3ミクロンの膜厚に対して1×10−10m/秒以下
の水中亀裂発達速度が提供される。
本発明の第5の実施形態では、誘電率2.3、引張応力40MPa未満、弾性率約5か
ら約10GPa、硬さ約0.25から約1.6GPaの安定した超低kのSiCOH誘電
体材料が提供される。本発明の第5の実施形態の誘電体材料は、約2.2から約3.7J
/mの凝集強度を有し、1.9ミクロンの膜厚に対して1×10−10m/秒以下の水
中亀裂発達速度が提供される。
本発明の第6の実施形態では、誘電率2.2、引張応力40MPa未満、弾性率約4か
ら約9GPa、硬さ約0.2から約1.5GPaの安定した超低kのSiCOH誘電体材
料が提供される。第6の実施形態のSiCOH誘電体材料は、この実施形態の誘電体材料
は約2.0から約3.5J/mの凝集強度、および1.5ミクロンの膜厚に対して1×
10−10m/秒以下の水中亀裂発達速度を有する。
本発明の第7の実施形態では、誘電率2.1、引張応力約20から約35MPa、弾性
率約3から約8GPa、硬さ約0.2から約1.4GPaの安定した超低kのSiCOH
誘電体材料が提供される。本発明のこの実施形態ではSiCOH誘電体材料が、約1.8
から約3.4J/mの凝集強度、および1.3ミクロンの膜厚に対して1×10−10
m/秒以下の水中亀裂発達速度を有する。
本発明の第8の実施形態では、誘電率2.0、引張応力約20から約35MPa、弾性
率約2から約7GPa、硬さ0.2GPaの安定した超低kのSiCOH誘電体材料が提
供される。本発明の第8の実施形態のSiCOH誘電体材料では、約1.7から約3.3
J/mの凝集強度、および1.1ミクロンの膜厚に対して1×10−10m/秒以下の
水中亀裂発達速度が観察される。
以上の特性に加えて、本発明のSiCOH誘電体材料は疎水性であり、70°超、より
好ましくは80°超の水との接触角を有する。
本発明はさらに、Si、C、O、H元素を含む、誘電率約2.8以下、引張応力45M
Pa未満、弾性率約2から約15GPa、硬さ約0.2から約2GPaの少なくとも1つ
の安定した低kまたは超低k誘電体材料を相互接続構造の誘電体として含む電子デバイス
構造に関する。
本発明の誘電体材料は、電子デバイス構造中の層間または層内誘電体、キャップ層、お
よび/またはハード・マスク/研磨ストップ層として使用することができる。
具体的には、本発明の電子デバイス構造は前処理された半導体基板を含み、この基板が
、a)第1の絶縁材料層の中に埋め込まれた第1の金属領域と、b)第2の絶縁材料層の
中に埋め込まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と密に
接触し、第1の導体領域が第1の金属領域と電気的に連結する第1の導電領域と、c)第
1の導体領域と電気的に連結し第3の絶縁材料層の中に埋め込まれた第2の導体領域とを
有し、第3の絶縁材料層が第2の絶縁材料層と密に接触している。
上記構造では、それぞれの絶縁層が、本発明の低kまたは超低kのSiCOH誘電体材
料を含むことができる。
この電子デバイス構造はさらに、第1の絶縁材料層と第2の絶縁材料層の間に位置する
誘電体キャップ層を含むことができ、さらに、第2の絶縁材料層と第3の絶縁材料層の間
に位置する誘電体キャップ層を含むことができる。この電子デバイス構造はさらに、第2
の絶縁材料層と第3の絶縁材料層の間の第1の誘電体キャップ層、および第3の絶縁材料
層の上の第2の誘電体キャップ層を含むことができる。
誘電体キャップ材料は、酸化シリコン、窒化シリコン、酸窒化シリコン、炭窒化シリコ
ン(SiCN)、高融点金属窒化シリコン(高融点金属はTa、Zr、HfまたはW)、
炭化シリコン、炭化酸化シリコン(silicon carbo-oxide)、炭素ドープ酸化物、および
これらの水素化物または窒化物の中から選択することができる。いくつかの実施形態では
、誘電体キャップ自体、本発明の低kまたは超低kのSiCOH誘電体材料を含み得る。
第1および第2の誘電体キャップ層は、同じグループの誘電体材料から選択することがで
きる。第1の絶縁材料層は、酸化シリコンまたは窒化シリコン、あるいはPSG、BPS
Gなどのドープされた酸化シリコンまたはドープされた窒化シリコンとすることができる
この電子デバイス構造はさらに、第2の絶縁材料層と第3の絶縁材料層のうちの少なく
とも一方の上に付着させた誘電体材料からなる拡散バリア(diffusion barrier)層を含
むことができる。この電子デバイス構造はさらに、RIEハード・マスク/研磨ストップ
層として使用する第2の絶縁材料層の上の誘電体層、および誘電体RIEハード・マスク
/研磨ストップ層の上の誘電体拡散バリア層を含むことができる。この電子デバイス構造
はさらに、第2の絶縁材料層の上の第1の誘電体RIEハード・マスク/研磨ストップ層
と、第1の誘電体研磨ストップ層の上の第1の誘電体RIE拡散バリア層と、第3の絶縁
材料層の上の第2の誘電体RIEハード・マスク/研磨ストップ層と、第2の誘電体研磨
ストップ層の上の第2の誘電体拡散バリア層を含むことができる。誘電体RIEハード・
マスク/研磨ストップ層を、本発明のSiCOH誘電体材料で構成することもできる。
本発明はさらに、Si、C、O、H元素を含む、誘電率約2.8以下、引張応力45M
Pa未満、弾性率約2から約15GPa、硬さ約0.2から約2GPaの安定した低kま
たは超低k誘電体材料を製造する様々な方法に関する。
先に述べたとおり、本発明は、共有結合3次元ネットワーク中にSi、C、OおよびH
元素を含む水素化酸化シリコン炭素材料(hydrogenated oxidized silicon carbon)(S
iCOH)のマトリックス(matrix:基質)を含み、約2.8以下の誘電率を有する(多
孔質または非多孔質の)新しい誘電体材料の種類(class:類)を提供する。用語「3次
元ネットワーク」は本出願の全体を通じて、x、yおよびz方向に相互接続しかつ相互に
関係づけられたシリコン、炭素、酸素および水素を含むSiCOH誘電体材料を示す目的
に使用される。本発明の誘電体材料は重合体(ポリマー)材料ではなく、その代わりに、
共有結合したネットワークを含むランダムな3次元構造を備えていることに留意されたい
。この共有結合ネットワークはSi−O、Si−C、Si−H、C−HまたはC−C結合
を含むことができる。
本発明のSiCOH誘電体材料は、約5から約40原子百分率、より好ましくは約10
から約20原子百分率のSi、約5から約45原子百分率、より好ましくは約10から約
30原子百分率のC、約0から約50原子百分率、より好ましくは約10から約35原子
百分率のO、および約10から約55原子百分率、より好ましくは約20から約45原子
百分率のHを含む。
いくつかの実施形態では、本発明のSiCOH誘電体材料がさらにFおよびNを含むこ
とができる。本発明の他の実施形態では、SiCOH誘電体材料が任意選択で、Ge原子
によって部分的に置換されたSi原子を有することができる。本発明の誘電体材料中に存
在してもよいこれらの任意選択の元素の量は、これらの任意選択の元素を含み、付着時に
使用される前駆物質の量によって決まる。
本発明のSiCOH誘電体材料は、直径約0.3から約50ナノメートル、最も好まし
くは直径約0.4から約5ナノメートルの分子スケールの空隙(すなわちナノメータ・サ
イズの細孔)を含むことが好ましい。これらの空隙はSiCOH誘電体材料の誘電率をさ
らに低下させる。このナノメータ・サイズの細孔(pore)の体積は材料の体積の約0.5
%から約50%を占める。
本発明のSiCOH誘電体材料は、少なくともSi、C、O、H元素を含み、水蒸気ま
たは集積化処理によっても劣化しない安定した低kまたは超低k膜を与える特定の特性群
(引張応力、弾性率、硬さ、凝集強度および水中亀裂速度)を有する、誘電体材料である
。具体的には、本発明のSiCOH誘電体材料は少なくとも350℃の温度に対して安定
である。
広義には、本発明のSiCOH誘電体材料は、約2.8以下の誘電率、45Mpa未満
の引張応力または圧縮応力、約2から約15GPaの弾性率、約0.2から約2GPaの
硬さを有する。本発明の誘電体材料はさらに、約1.7から約4.5J/mの凝集強度
を有し、約1.1から約2.8ミクロンの膜厚に対して1×10−10m/秒以下の水中
亀裂発達速度を有することを特徴とすることができる。
本発明では、引張応力を、Siウェハ全体の曲率(curvature)を測定することによっ
て(Flexusツールまたは当技術分野で周知の他のツールを使用)、または静電容量
測定を使用して小さなSiストリップ(strip)の曲率を測定してSiストリップの偏向
(deflection)を観察することによって測定する。当技術分野で知られているとおり、従
来の引張応力は正の符号(>0)を、圧縮応力は負の符号を有し、そのため、45MPa
未満の引張応力と指定されたときには圧縮応力(<0)も含まれる。したがって「45M
Pa未満の引張応力」という表現には圧縮応力も含まれることに留意されたい。弾性率お
よび硬さは、当技術分野で知られているナノインデンテーション(nanoindentation)に
よって測定する。凝集強度は、4点ベンド装置(4point bend apparatus)およびエム・
ダブリュー・レーン(M. W. Lane)、「界面破損(Interface Fracture)」、Annu.Rev.
Mater. Res. 2003、33、29〜54ページに記載されている発表された文献の手順を使用して
測定する。亀裂速度は、アール・エフ・クック(R.F. Cook)およびイー・ジー・ライナ
イジャ(E. G. Liniger)、Mat. Res. Soc. Symp. Proc.、511巻、1998、171およびアー
ル・エフ・クック(R.F. Cook)およびイー・ジー・ライナイジャ(E. G. Liniger)、E.
C.S. Proc.、98-3巻、1998、129に記載の方法によって求める。
本発明のSiCOH誘電体材料はさらに、図1〜5に示すようなFTIRスペクトルを
有するという特徴を有する(図1〜5に示したFTIRスペクトルの詳細な説明は後記)
。本発明では、本発明のSiCOH誘電体材料の共有結合3次元ネットワーク構造が、付
着後に処理するとネットワークSi−Oピーク強度に対するケージ(cage)Si−Oピー
ク強度の比が、他のSiCOH材料(例えば熱によって硬化させたSiCOH)に比べて
低下するFTIR吸光度スペクトルを生み出すことができるSi−O結合を有する。
本発明の第1の実施形態では、誘電率2.7、引張応力45MPa未満、弾性率約9か
ら約15GPa、硬さ約0.5から約2GPaの安定した超低k SiCOH誘電体材料
が提供される。本発明の第1の実施形態のSiCOH誘電体は約4.0から約4.5J/
の凝集強度を有し、2.8ミクロンの膜厚に対して1×10−10m/秒以下の水中
亀裂発達速度が提供される。
本発明の第2の実施形態では、誘電率2.6、引張応力45MPa未満、弾性率約8か
ら約13GPa、硬さ約0.4から約1.9GPaの安定した超低k SiCOH誘電体
材料が提供される。この実施形態では、約4.0から約4.5J/mの凝集強度、およ
び2.7ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達速度が提供さ
れる。
本発明の第3の実施形態では、誘電率2.5、引張応力45MPa未満、弾性率約7か
ら約12GPa、硬さ約0.35から約1.8GPaの安定した超低k SiCOH誘電
体材料が提供される。第3の実施形態の誘電体材料は約2.5から約3.9J/mの凝
集強度を有し、2.5ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達
速度が提供される。
本発明の第4の実施形態では、誘電率2.4、引張応力40MPa未満、弾性率約6か
ら約11GPa、硬さ約0.3から約1.7GPaの安定した超低k SiCOH誘電体
材料が提供される。本発明のこの実施形態のSiCOHを使用すると、約2.4から約3
.8J/mの凝集強度、および2.3ミクロンの膜厚に対して1×10−10m/秒以
下の水中亀裂発達速度が提供される。
本発明の第5の実施形態では、誘電率2.3、引張応力40MPa未満、弾性率約5か
ら約10GPa、硬さ約0.25から約1.6GPaの安定した超低k SiCOH誘電
体材料が提供される。この実施形態の誘電体材料は約2.2から約3.7J/mの凝集
強度を有し、1.9ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達速
度が提供される。
本発明の第6の実施形態では、誘電率2.2、引張応力40MPa未満、弾性率約4か
ら約9GPa、硬さ約0.2から約1.5GPaの安定した超低k SiCOH誘電体材
料が提供される。この実施形態の誘電体材料は約2.0から約3.5J/mの凝集強度
を有し、1.5ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達速度が
提供される。
本発明の第7の実施形態では、誘電率2.1、引張応力約20から約35MPa、弾性
率約3から約8GPa、硬さ約0.2から約1.4GPaの安定した超低k SiCOH
誘電体材料が提供される。上記の特性を有する膜は、約1.8から約3.4J/mの凝
集強度、および1.3ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達
速度を提供する。
本発明の第8の実施形態では、誘電率2.0、引張応力約20から約35MPa、弾性
率約2から約7GPa、硬さ0.2GPaの安定した超低k SiCOH誘電体材料が提
供される。本発明のこの実施形態では、約1.7から約3.3J/mの凝集強度、およ
び1.1ミクロンの膜厚に対して1×10−10m/秒以下の水中亀裂発達速度が提供さ
れる。
下表1に、本発明の様々な実施形態の一覧を示す。
Figure 2009044162
上記の特性に加え、本発明のSiCOH誘電体材料は疎水性であり、水との接触角が7
0°超、より好ましくは80°超である。
本発明の安定したSiCOH誘電体材料は一般に、プラズマ強化化学的気相堆積法(P
ECVD)を使用して付着(堆積)させる。PECVDの他に本発明はさらに、化学的気
相堆積法(CVD)、高密度プラズマ(HDP)、パルスPECVD、スピンオン塗布ま
たは他の関連方法を利用して、この安定したSiCOH誘電体材料を形成できることを企
図する。
この付着プロセスではSiCOH誘電体材料を、少なくともSi、C、OおよびH原子
を含む第1の前駆物質(precursor)(液体、気体または蒸気)とHe、Arなどの不活
性キャリヤとを、反応装置(reactor)、好ましくはPECVD反応装置内に供給し、次
いで前記第1の前駆物質から生じる膜を適当な基板に、本発明のSiCOH誘電体材料の
形成に有効な条件を利用して付着させることによって形成する。本発明はさらに、第1の
前駆物質をO、COまたはこれらの組合せなどの酸化剤(oxidizingagent)と混合し
、それによって反応装置内の反応物を安定させ、基板に付着した誘電体膜の均一性を向上
させることを含む。
第1の前駆物質に加えて、CおよびH原子ならびに任意選択でO、FおよびN原子を含
む第2の前駆物質(気体、液体または蒸気)を使用することができる。任意選択で、Ge
を含む第3の前駆物質(気体、液体または気体)を使用することもできる。
第1の前駆物質は、1,3,5,7−テトラメチルシクロテトラシロキサン(「TMC
TS」または「C16Si」)、オクタメチルシクロテトラシロキサン(OM
CTS)、ジエトキシメチルシラン(DEMS)、ジメチルジメトキシシラン(DMDM
OS)、ジエチルメトキシシラン(DEDMOS)、ならびに関連環状(cyclic)および
非環状(non-cyclic)シラン、シロキサンなど、SiCOH成分を含む環構造(ring str
ucture)を有する有機分子の中から選択することが好ましい。
使用できる第2の前駆物質は炭化水素分子である。任意の炭化水素分子を使用すること
ができるが、第2の前駆物質は、環構造を有する炭化水素分子からなるグループ、好まし
くは分子中に2つ以上の環を有する炭化水素分子、または環に結合した枝分れ鎖(branch
ed chain)を有する炭化水素分子から選択することが好ましい。縮合環(fused ring)を
含み、そのうちの少なくとも1つの環がヘテロ原子、好ましくは酸素を含む種(species
)が特に有用である。これらの種のうち最も適当なのは、環にかなりのひずみを与えるサ
イズの環を含む種、すなわち3もしくは4員環、または7員環以上、あるいはその両方で
ある。特に興味深いのは、酸化シクロペンテン(「CPO」または「CO」)など
のオキサ二環式化合物(oxabicyclics)として知られている化合物類の化合物である。炭
化水素環に結合した枝分れ第三級ブチル(t−ブチル)基およびイソプロピル(i−プロ
ピル)基を含む分子も有用である。この環は飽和環でもまたは(C=C二重結合を含む)
不飽和環でもよい。第3の前駆物質は、ゲルマニウム水素化物(germanehydride)から、
またはGe源を含む他の任意の反応物から構成することができる。
本発明の方法はさらに、約85cmから約750cmの基板チャックの導電性領域
、および基板と上部電極の間の約1cmから約12cmの間隔を有する平行平板型反応装
置を準備するステップを含むことができる。一方の電極に、周波数約0.45Mzから約
200Mzの高周波RF電力を印加する。任意選択で、一方の電極に追加の低周波電力を
印加することもできる。
付着ステップで使用する条件は、本発明のSiCOH誘電体材料の所望の最終誘電率に
応じて様々に変更することができる。大まかに言うと、Si、C、O、H元素を含む、誘
電率2.8、引張応力45MPa未満、弾性率約2から約15GPa、硬さ約0.2から
約2GPaの安定した誘電体材料を得るために使用する条件には、基板温度を約300℃
から約425℃にセットすること、高周波RF電力密度を約0.1W/cmから約1.
5W/cmにセットすること、第1の液体前駆物質の流量を約100mg/分から約5
000mg/分にセットすること、任意選択で、第2の液体前駆物質の流量を約50mg
/分から約10,000mg/分にセットすること、任意選択で、第3の液体前駆物質の
流量を約25mg/分から約4000mg/分にセットすること、任意選択で、ヘリウム
(またはアルゴン、あるいはその両方)などの不活性キャリヤ・ガスの流量を約50sc
cmから約5000sccmにセットすること、反応装置圧力を約1000ミリトルから
約7000ミリトルにセットすること、および高周波RF電力を約75Wから約1000
Wにセットすることが含まれる。任意選択で、約30Wから約400Wの超低周波電力を
プラズマに加えることができる。基板チャックの導電性領域をX倍にするときには、基板
チャックに印加するRF電力もX倍にする。
本発明で酸化剤を使用するときには、約10sccmから約1000sccmの流量で
PECVD反応装置内に流入させる。
上記の例では液体前駆物質を使用するが、当技術分野では(トリメチルシランなどの)
有機シリコン気相前駆物質を付着に使用できることが知られている。
上記のプロセスによって得られた膜を本明細書では「付着膜(as depositedfilm)」と
呼ぶ。表1のSiCOH誘電体を得るための条件については、後の実施例の項で詳細に説
明する。
付着に続いて、任意選択で、エネルギー源を使用してこの「付着膜」を処理して、膜を
安定させ、その(電気、機械、接着)特性を向上させて、最適な最終的な膜を得る。適当
なエネルギー源には、熱、化学作用、紫外(UV)光、電子ビーム(eビーム)、マイク
ロ波およびプラズマ源が含まれる。本発明では上記のエネルギー源の組合せを使用するこ
ともできる。本発明で使用するエネルギー源を利用して、「付着SiCOH誘電体」のS
i−O結合ネットワークを変更(modify)し、材料中の他の結合を変更し、より多くのS
i−O架橋(cross-linking)を生じさせ、いくつかのケースでは炭化水素相(phase)を
除去する。上記の変更はすべて、より高い弾性率、より高い硬さまたはより低い内部応力
を与え、あるいはこれらの特性の組合せを達成する。より高い弾性率によっても、または
より低い内部応力によっても亀裂伝播速度は小さくなり、このエネルギー処理の好ましい
結果は、より高い弾性率とより低い内部応力の組合せである。
熱エネルギー源には、付着させた誘電体材料を約300℃から約500℃の温度まで加
熱することができる任意の源、例えば発熱体またはランプが含まれる。熱エネルギー源が
、付着させた誘電体材料を約350℃から約430℃の温度まで加熱することができるこ
とがより好ましい。この熱処理プロセスは様々な時間で実施することができるが、一般に
約1分から約300分である。この熱処理ステップは一般に、He、Arなどの不活性ガ
スの存在下で実行される。この熱処理ステップをアニール・ステップと呼ぶことができ、
このステップでは、急(rapid)熱アニール、炉アニール、レーザ・アニールまたはスパ
イク・アニール条件が使用される。
UV光処理ステップは、波長約500から約150nmの光を発して基板を照射するこ
とができる源を利用して実行し、このときウェハ温度は25℃から500℃、好ましくは
300℃から450℃に維持する。重要な結合を解離させ活性化させるには>370nm
の放射光エネルギーは不十分であり、そのため、好ましい波長範囲は150〜370nm
である。文献データおよび「付着膜」で測定した吸光度スペクトル(absorbance spectru
m)を使用して、本発明の発明者は、SiCOH膜が劣化するため<170nmの放射光
は好都合でない可能性があることを見い出した。さらに、エネルギー範囲310〜370
nmは、光子あたりのエネルギーが相対的に低いため、範囲150〜310nmほど有効
ではない。150〜310nm範囲では、任意選択で、「付着膜」の吸光度スペクトルと
(疎水性などの)膜特性の最小劣化の最適なオーバーラップを使用して、SiCOH特性
を変更するのに最も有効なUVスペクトル領域を選択することができる。
電子ビーム処理ステップは、ウェハ一面に均一な電子束(electron flux)を生み出す
ことができる、エネルギー0.5から25keV、電流密度0.1から100マイクロア
ンペア/cm(好ましくは1から5マイクロアンペア/cm)の源を利用して実行し
、このときウェハ温度は25℃から500℃、好ましくは300℃から450℃に維持す
る。電子ビーム処理ステップで使用する好ましい電子照射量(dose)は、50から500
マイクロクーロン/cm、好ましくは100から300マイクロクーロン/cmであ
る。
プラズマ処理ステップは、原子水素(H)および任意選択でCHまたは他の炭化水素
ラジカルを生成することができる源を利用して実行する。直接プラズマ暴露よりもダウン
ストリーム・プラズマ源(downstream plasma source)のほうが好ましい。プラズマ処理
の間、ウェハ温度は25℃から500℃、好ましくは300℃から450℃に維持する。
プラズマ処理ステップは、プラズマを発生させることができるガスを反応装置に導入す
ることによって実行し、その後にこのガスをプラズマに変化させる。プラズマ処理に使用
できるガスにはAr、N、He、Xe、Krなどの不活性ガス(Heガスが好ましい)、
水素またはその他の原子水素源、メタン、メチルシラン、その他のCH基源、およびこ
れらの混合物が含まれる。プラズマ処理ガスの流量は、使用している反応装置系に応じて
様々に変更することができる。チャンバ圧は0.05から20トルとすることができるが
、好ましい圧力操作範囲は1から10トルである。プラズマ処理ステップは一般に約1/
2分から約10分実施する。しかし本発明ではより長い時間を使用することもできる。
上記プラズマの発生には一般にRFまたはマイクロ波電力源を使用する。RF電力源は
、高周波範囲(約100W以上)または低周波範囲(250W未満)、あるいはこれらを
組み合わせて用いることができる。高周波電力密度は0.1から2.0W/cmとする
ことができるが、好ましい動作範囲は0.2から1.0W/cmである。低周波電力密
度は0.1から1.0W/cmとすることができるが、好ましい動作範囲は0.2から
0.5W/cmである。露出した誘電体表面の著しいスパッタ・エッチングを防ぐ(除
去を<5ナノメートルにする)ため、選択する電力レベルは十分に低くなければならない
本発明によれば、本発明の安定したSiCOH誘電体材料の製造には以下に挙げるいく
つかのステップを組み合わせる必要がある場合がある。
第1のステップでは、本明細書に記載した特定の値範囲の付着ツール・パラメータを使
用して、基板に材料を付着させ、「付着膜」を形成する。
熱、UV光、電子ビーム照射またはこれらの組合せを使用して材料を硬化(cure)させ
、または処理して、本明細書に記載した所望の機械特性および他の特性を有する最終的な
膜を形成する。
当技術分野で周知のとおり、本発明のこの2つのプロセス・ステップは、単一のプロセ
ス・ツール上にクラスタ化された別個の2つのプロセス室内で実行される。あるいはこれ
らの2つのプロセス室を別個のプロセス・ツールに配置することもできる(「クラスタ分
離化」)。多孔質SiCOH膜を得るため、硬化ステップは、誘電体材料と一緒に付着さ
せた犠牲炭化水素(ポロゲン(porogen))成分の除去を含むことができる。本発明で使
用できる適当な犠牲炭化水素成分には先に述べた第2の前駆物質が含まれる。ただしこれ
に限定されるわけではない。前記第2の前駆物質については実施例3に記載されている。
k=2.7の実施例
好ましい1つのプロセス実施形態では、PECVDツールの中の300℃〜425℃、
好ましくは350℃に加熱されたウェハ・チャック上に300mm基板を配置する。本発
明では任意のPECVD付着反応装置を使用することができる。次いで、気体および液体
前駆物質の流量を安定させて圧力が6トルに達するようにする。ただし1〜10トルの圧
力を使用することができる。
ガスの組成は、HeまたはAr、SiCOH前駆物質、および任意選択のOまたはC
からなる。SiCOH前駆物質は元素Si、C、OおよびHを含み、好ましい前駆物
質には、テトラメチルシクロテトラシロキサン(TMCTS)またはオクタメチルシクロ
テトラシロキサン(OMCTS)、ジエトキシメチルシラン(DEMS)、ジメチルジメ
トキシシラン(DMDMOS)、ジエチルメトキシシラン(DEDMOS)、ならびに関
連環状および非環状シラン、シロキサンなどが含まれる。好ましいプロセスでは、液体流
量2000〜3500mg/分(好ましくは2800±300mg/分)のオクタメチル
シクロテトラシロキサン(OMCTS)、および流量100〜200sccmのOを使
用する。ただし流量50から300sccmのOを使用することもできる。好ましいH
e流量は500〜2000sccmである。
好ましいプロセスでは、ガス導入プレート(「シャワーヘッド」)に、周波数13.6
MHz、電力約350W(200〜450Wを使用することができる)の高周波エネルギ
ーを印加し、ウェハ・チャックに、周波数13.6MHz、電力約100W(50〜20
0Wを使用することができる)の高周波エネルギーを印加する。当技術分野では周知のと
おり、本発明では異なるRF周波数(0.26、0.35、0.45MHz)を使用する
こともできる。
付着後には任意選択で、(熱エネルギー源と第2のエネルギー源の両方を使用した)S
iCOH膜の処理を実行して、膜を安定させ、表1に示した特性を得る。第2のエネルギ
ー源は放射性源(UVまたは電子ビーム)、または(プラズマ中に形成された水素原子ま
たは他の反応性ガスを使用する)化学作用源とすることができる。
熱エネルギーと第2のエネルギー源の両方を使用した処理によって、SiCOH膜のF
TIRスペクトルのネットワークSi−O吸光度とケージSi−O吸光度の比が変化し、
具体的には、ネットワークSi−O吸光度に対するケージSi−O吸光度の比が低下する
。結果を図1および2に示す。図1では、曲線1が350℃で処理した後、曲線2が41
0℃で処理した後である。図2では、すべての曲線が410℃で処理した後のものであり
、曲線5、6および7は、ネットワークSi−O強度に対するケージSi−O強度の比が
処理時間が長くなるにつれて低下することを示している。
好ましい一処理では、(上記のプロセスに従って付着させた膜を含む)基板を、制御さ
れた環境(真空または極めて高純度の不活性ガス。OおよびHO濃度は<100パー
ツ・パー・ミリオン「ppm」、好ましくは<10ppm)を有する紫外(UV)処理ツ
ールの中に入れる。
本発明では、UV処理ツールを付着ツールに結合し(「クラスタ化」)、またはUV処
理ツールを別個のツールとすることができる。UV処理ツールの中の300℃から450
℃、好ましくは350℃から400℃の高温のチャック上にサンプルを配置する。同時熱
アニール/UV放射処理を30秒から1,000秒、好ましくは100秒から600秒、
サンプルに行う。本発明のUV処理では、150nmから370nmの波長範囲を使用す
ることができるが、エネルギー範囲290〜370nmは、光子あたりのエネルギーが相
対的に低いため、範囲150〜290nmほど有効ではない。150〜370nm範囲で
は、任意選択で、「付着膜」の吸光度スペクトルと(疎水性などの)膜特性の最小劣化の
最適なオーバーラップを使用して、SiCOH特性を変更し、特性を向上させるのに最も
有効なUVスペクトル領域を選択することができる。電力は一般に1〜10キロワット、
好ましくは2〜5キロワットである。
代替実施形態では、先に示した温度までランプで基板を加熱する。さらに代替実施形態
では、第2のエネルギー源に化学作用、電子ビーム、マイクロ波またはプラズマ・エネル
ギー源が含まれる。ただしこれらに限定されるわけではない。
この処理の結果は、前表1の1列(行)目に示した特性を有するSiCOH材料である
k=2.5〜2.6の実施例
k=2.5〜2.6の本発明のSiCOH材料を製造するためには、小さな変更を加え
た第1の実施例と同様のプロセスを使用する。具体的には、圧力を6トルよりも高くし、
SiCOH OMCTS前駆物質の流量を約1500〜3000mg/分まで下げ、シャ
ワーヘッドのRF電力をわずかに低くする(10〜20%減)。ウェハ・チャックのRF
電力を20〜50%引き下げることが重要である。
k=2.4〜2.2の実施例
好ましい1つのプロセス実施形態では、PECVDツールの中の100℃〜400℃、
好ましくは200℃〜350℃に加熱されたウェハ・チャック上に300mm基板を配置
する。一般に、アプライド・マテリアルズ(Applied Materials)社製のプロデューサ(P
roducer)(R)、ノーベラス・システムズ(NovellusSystems)社製のベクタ(Vector)
(R)などのツールを使用するが、本発明では任意のPECVD付着反応装置を使用する
ことができる。
次いで、気体および液体前駆物質の流量を安定させて圧力が1トルから6トルに達する
ようにする。ただし0.1〜10トルの圧力を使用することができる。ガスの組成は、S
iCOH前駆物質、炭化水素ベースの第2の前駆物質およびHeまたはArからなる。任
意選択でOまたはCOも使用する。SiCOH前駆物質は元素Si、C、OおよびH
を含み、好ましい前駆物質には、テトラメチルシクロテトラシロキサン(TMCTS)ま
たはオクタメチルシクロテトラシロキサン(OMCTS)、ジエトキシメチルシラン(D
EMS)、ジメチルジメトキシシラン(DMDMOS)、ジエチルメトキシシラン(DE
DMOS)、ならびに関連環状および非環状シラン、シロキサンなどが含まれる。
米国特許第6,147,009号、第6,312,793号、第6,441,491号
、第6,437,443号、第6,441,491号、第6,541,398号、第6,
479,110B2号および第6,497,963号に記載のプロセスを使用することが
できる。
第2の炭化水素前駆物質は有機分子、好ましくは環構造を有する分子からなるグループ
から選択された有機分子とすることができる。好ましい1つの前駆物質類(クラス)は、
縮合環を含み、そのうちの少なくとも1つの環がヘテロ原子、好ましくは酸素を含む種で
ある。これらの種のうち最も適当なのは、環にかなりのひずみを与えるサイズの環を含む
種、すなわち3もしくは4員環、または7員環以上、あるいはその両方である。特に興味
深いのは、酸化シクロペンテン(「CPO」または「CO」)などのオキサ二環式
化合物として知られている化合物類の化合物である。
好ましい前駆物質は環を含むことができ、第三級ブチル基、イソプロピル基などの基が
分子中に存在してもよい。好ましい3番目の前駆物質類は、少なくとも1つのC=C二重
結合を含む。非常に好ましい4番目の前駆物質類は少なくとも1つの環と少なくとも1つ
のC=C二重結合とを含む。
好ましいプロセスは、液体流量50〜3000mg/分(好ましくは2800±300
mg/分)のOMCTS、TMCTSまたはDEMS、および流量10〜10,000m
g/分の第2の炭化水素前駆物質を使用する。第2の炭化水素前駆物質とSiCOH前駆
物質の比は約1対100である。好ましいHe流量は100〜1000sccmであり、
流量5から1000sccmのOまたはCOを使用することもできる。
好ましいプロセスでは、ガス導入プレート(「シャワーヘッド」)に、周波数13.6
MHz、電力約300W(200〜450Wを使用することができる)の高周波エネルギ
ーを印加し、ウェハ・チャックに、周波数13.6MHz以下、電力約50W(0〜20
0Wを使用することができる)の高周波エネルギーを印加する。本発明のSiCOH膜は
、400〜4,000オングストローム/分、好ましくは約600〜1,000オングス
トローム/分の速度で付着させる。時間は、所望の厚さの膜が付着するように調節する。
この膜は少なくとも第1のSiCOH相と第2の炭化水素相とを含む。
第2の炭化水素相は主にCとHからなり、OまたはSiを含んでいてもよく、一般に、
(単一の種ではなしに)ある範囲の異なる分子、分子断片(fragment)または有機鎖(or
ganic chain)を有する。この相(phase)は、炭化水素分子の形態、または重合体に似た
小さな有機鎖の形態をとることができ、具体的には種の一部が、先に述べた第2の炭化水
素前駆物質の分子をそれぞれ2つまたは3つ含む「二量体(dimer)または三量体(trime
r)」であることができる。これらの分子または分子鎖はSiCOH骨格(framework)に
共有結合していてもよく、または結合していなくともよい。
付着後には任意選択で、(熱エネルギー源と第2のエネルギー源の両方を使用した)S
iCOH膜の処理を実行して、膜を安定させ、すべての、または大部分の第2の炭化水素
相を除去し、非常に小さな特性寸法の空間(open space)からなる第3の相を生み出し、
表1に示した特性を向上させる。第3の相は、0.1〜5nm程度、好ましくは1〜2n
m程度の寸法を有する。
第2のエネルギー源は放射性源(UVまたは電子ビーム)、または(プラズマ中に形成
された水素原子または他の反応性ガスを使用する)化学作用源とすることができる。
好ましい一処理では、(上記のプロセスに従って付着させた膜を含む)基板を、制御さ
れた環境(真空または極めて高純度の不活性ガス。OおよびHO濃度は<100pp
m、好ましくは<10ppm)を有する紫外(UV)処理ツールの中に入れる。
本発明では、UV処理ツールを付着ツールに結合し(「クラスタ化」)、またはUV処
理ツールを別個のツールとすることができる。UV処理ツールの中の300℃から450
℃、好ましくは350℃から430℃、最も好ましくは370℃〜420℃の高温のチャ
ック上にサンプルを配置する。
同時熱アニール/UV放射処理を30秒から1,000秒、好ましくは100秒から6
00秒、サンプルに行う。
波長範囲370から150nmの放射光を発する任意のUV放射源を使用することがで
き、好ましい波長範囲は190〜370nmである。範囲190〜290umが非常に好
ましく、190〜290nm範囲では、任意選択で、「付着膜」の吸光度スペクトルの最
適なオーバーラップを使用して、SiCOH特性を変更するのに最も有効なUVスペクト
ル領域を選択することができる。
光源と基板の間の石英成分に吸収される便利さから、波長290から190または18
0nmのスペクトル領域を選択することができる。電力は一般に1〜10キロワット、好
ましくは2〜5キロワットである。
好ましい代替実施形態では、SiCOH骨格を活性化する相対的に高いエネルギーのU
V(210から150nm)と、第2の炭化水素相を活性化し除去する相対的に低いエネ
ルギー(300〜200nm)とを組み合わせることが好ましい。
任意選択で、SiCOH骨格を活性化する相対的に高いエネルギーのUV(210から
150nm)を第1のUVステップで照射し、第2の炭化水素相を活性化し除去する相対
的に低いエネルギー(300〜200nm)を第2のUVステップで照射することができ
る。
代替実施形態では、第2の炭化水素相を活性化し除去する相対的に低いエネルギー(3
00〜200nm)を第1のUVステップで照射し、SiCOH骨格を活性化する相対的
に高いエネルギーのUV(210から150nm)を第2のUVステップで照射すること
ができる。
代替実施形態ではさらに、先に示した温度までランプで基板を加熱する。さらに代替実
施形態では、第2のエネルギー源に化学作用、電子ビーム、マイクロ波またはプラズマ・
エネルギー源が含まれる。ただしこれらに限定されるわけではない。
熱エネルギーと第2のエネルギー源の両方を使用した処理によって、SiCOH膜のF
TIRスペクトルのネットワークSi−O吸光度とケージSi−O吸光度の比が変化し、
具体的には、ケージSi−O吸光度に対するネットワークSi−O吸光度の比が増大する
。結果を図3に示す。曲線11は、熱(アニール)処理後の多孔質SiCOH膜のFTI
R吸光度、曲線12は好ましいeビーム処理後のFTIR吸光度、曲線13は好ましいU
V処理後のFTIR吸光度である。曲線11と比較すると、eビーム処理(12)および
UV処理(13)ではともに、ケージSi−Oピークに対するネットワークSi−Oピー
クの比が大きくなっている。このより大きな比はより高い弾性率と相関する。図3に示す
ように、この処理によってC−H伸長モード(stretching mode)のFTIR吸光度が変
化する。次に図4を参照すると、同じ多孔質SiCOH膜の弾性率が、430℃での好ま
しいeビーム処理中の照射(ドーズ)量に対してプロットされている。弾性率は照射量の
増大とともに単調に増大する。この弾性率の増大は、図3に見られるネットワーク/ケー
ジSi−O比の増大による。
UV処理時間の効果を図5に示す。本発明に従って製造された多孔質SiCOH膜のF
TIR吸光度を図5に示す。曲線21は「付着膜」のFTIR吸光度、曲線22は、43
0℃、4時間の熱(アニール)処理後の多孔質SiCOH膜のFTIR吸光度、曲線23
は、400℃、2分のUV処理後の多孔質SiCOH膜のFTIR吸光度、曲線24は、
400℃、5分のUV処理後の多孔質SiCOH膜のFTIR吸光度である。ケージSi
−Oピークに対するネットワークSi−Oピークの比は、UV処理時間の増大ともに増大
することが分かる。
k=2.2〜2.5の実施例
k=2.2〜2.5の本発明のSiCOH材料を製造するためには、小さな変更を加え
た第3の実施例と同様のプロセスを使用する。具体的には、第1のSiCOH前駆物質に
対する第2の炭化水素前駆物質の比をより小さくする。
k=2.0〜2.1の実施例
k=2.0〜2.1の本発明のSiCOH材料を製造するためには、第3の実施例と同
様のプロセスを使用する。k<2.1である材料の多孔率は30%超であり、より高いポ
ロゲン/SiCOH比を使用する。
本発明の新規の方法によって形成した電子デバイスを図6〜9に示す。図6〜9に示し
たデバイスは本発明の例にすぎず、本発明の新規な方法によってこれらの他にも無数のデ
バイスを形成できることに留意されたい。
図6には、シリコン基板32上に構築された電子デバイス30が示されている。シリコ
ン基板32の上にはまず最初に絶縁材料層34が形成されており、その中には第1の金属
領域36が埋め込まれている。第1の金属領域36の表面に対してCMPプロセスを実施
した後、第1の絶縁材料層34および第1の金属領域36の上に、本発明のSiCOH誘
電体膜38を付着させる。第1の絶縁材料層34は、酸化シリコン、窒化シリコン、ドー
プされた酸化シリコン、ドープされた窒化シリコンまたは他の適当な絶縁材料から適当に
形成することができる。次いでSiCOH誘電体膜38をフォトリソグラフィ・プロセス
でパターン形成し、これをエッチングし、その上に導体層40を付着させる。第1の導体
層40の表面に対してCMPプロセスを実施した後、第1のSiCOH誘電体膜38およ
び第1の導体層40の上に、プラズマ化学的気相堆積法プロセスによって本発明のSiC
OH膜からなる第2の層44を付着させる。導体層40は、金属材料または非金属導電材
料を付着させることによって形成することができる。例えば、アルミニウムまたは銅金属
材料、あるいは窒化物またはポリシリコン非金属材料を付着させることができる。第1の
導体層40は第1の金属領域36と電気的に連結している。
次いで、SiCOH誘電体膜44の表面にフォトリソグラフィック・プロセスを実施し
た後に、この膜をエッチングし、第2の導体材料を付着させることによって、第2の導体
領域50を形成する。第2の導体領域50は、第1の導体層40の付着に使用されるもの
と同様の金属材料または非金属材料の付着によって形成することができる。第2の導体領
域50は第1の導体領域40と電気的に連結しており、第2のSiCOH誘電体膜層44
の中に埋め込まれている。第2のSiCOH誘電体膜層は第1のSiCOH誘電体材料層
38と密に接触している。この実施例では、第1のSiCOH誘電体材料層38が層(レ
ベル)内誘電体材料であり、第2のSiCOH誘電体膜層44が層内および層間誘電体で
ある。本発明のSiCOH誘電体膜の低い誘電率を基に、第1の絶縁層38および第2の
絶縁層44によって優れた絶縁特性を達成することができる。
図7に本発明の電子デバイス60を示す。このデバイスは図6に示した電子デバイス3
0に似ているが、第1の絶縁材料層38と第2の絶縁材料層44の間に追加の誘電体キャ
ップ層62が付着されている点が異なる。誘電体キャップ層62は、酸化シリコン、窒化
シリコン、酸窒化シリコン、高融点金属窒化シリコン(高融点金属はTa、Zr、Hfま
たはW)、炭化シリコン、炭窒化シリコン(SiCN)、炭化酸化シリコン(SiCO)
およびこれらの水素化物などの材料から適当に形成することができる。追加の誘電体キャ
ップ層62は、第2の絶縁材料層44またはその下位層、特に層34および32に第1の
導体層40が拡散することを防ぐ拡散バリア層の働きをする。
本発明の電子デバイスの他の代替実施形態70を図8に示す。電子デバイス70には、
RIEマスクおよびCMP(化学機械的研磨)研磨ストップ層の働きをする2つの追加の
誘電体キャップ層72および74が使用されている。第1の誘電体キャップ層72は第1
の超低k絶縁材料層38の上に付着されており、RIEマスクおよびCMPストップ層と
して使用される。そのため、CMP後の第1の導体層40と層72はほぼ共面(同平面;
co-planar)にある。第2の誘電体層74の機能は層72のそれと同様だが、層74は、
第2の導体層50の平坦化の際に利用される。研磨ストップ層74は、酸化シリコン、窒
化シリコン、酸窒化シリコン、高融点金属窒化シリコン(高融点金属はTa、Zr、Hf
またはWである)、炭化シリコン、炭化酸化シリコン(SiCO)およびこれらの水素化
物などの適当な誘電体材料を付着させることによって形成することができる。研磨ストッ
プ層72または74の好ましい組成はSiCHまたはSiCOHである。第2の誘電体層
74は、第2のSiCOH誘電体膜44の上に同じ目的で追加することができる。
本発明の電子デバイスの他の代替実施形態80を図9に示す。この代替実施形態では、
追加の誘電体材料層82が付着されており、この層が、第2の絶縁材料層44を別個の2
つの層84と86に分割している。したがって、本発明の超低k材料から形成された図6
に示された層間および層内誘電体層44は、ビア92と相互接続94の境界で、層間絶縁
膜層84と層内誘電体層86に分割されている。上側誘電体層74の上にはさらに追加の
拡散バリア層96が付着されている。この代替実施形態の電子デバイス構造80によって
得られる追加の利点は、誘電体層82がRIEエッチング・ストップ層として働き、相互
接続の深さの優れた制御が得られることである。したがって、層82の組成は、層86が
選択的にエッチングされるように選択する。
他の代替実施形態には、前処理された半導体基板を含む配線構造中の層内または層間誘
電体として絶縁材料層を有する電子デバイス構造であって、前記半導体基板は、a)第1
の絶縁材料層の中に埋め込まれた第1の金属領域と、b)第2の絶縁材料層の中に埋め込
まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と密に接触し、第
1の導体領域が第1の金属領域と電気的に連結する第1の導体領域と、c)第1の導体領
域と電気的に連結し、第3の絶縁材料層の中に埋め込まれた第2の導体領域であって、第
3の絶縁材料層が第2の絶縁材料層と密に接触する第2の導体領域と、d)第2の絶縁材
料層と第3の絶縁材料層の間の第1の誘電体キャップ層と、e)第3の絶縁材料層の上の
第2の誘電体キャップ層とを有し、第1および第2の誘電体キャップ層がSi、C、Oお
よびHの原子を含む材料、好ましくは本発明のSiCOH誘電体膜から形成された電子デ
バイス構造が含まれる。
本発明の他の代替実施形態には、前処理された半導体基板を含む配線構造中の層内また
は層間誘電体としての絶縁材料層を有する電子デバイス構造であって、前記半導体基板は
、a)第1の絶縁材料層の中に埋め込まれた第1の金属領域と、b)第2の絶縁材料層の
中に埋め込まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と密に
接触し、第1の導体領域が第1の金属領域と電気的に連結する第1の導体領域と、c)第
1の導体領域と電気的に連結し、第3の絶縁材料層の中に埋め込まれた第2の導体領域で
あって、第3の絶縁材料層が第2の絶縁材料層と密に接触する第2の導体領域と、d)第
2の絶縁材料層と第3の絶縁材料層のうちの少なくとも一方の上に付着させた本発明の多
相超低k膜から形成された拡散バリア層と、を含む電子デバイス構造が含まれる。
他の代替実施形態には、前処理された半導体基板を含む配線構造中の層内または層間誘
電体として絶縁材料層を有する電子デバイス構造であって、前記半導体基板は、a)第1
の絶縁材料層の中に埋め込まれた第1の金属領域と、b)第2の絶縁材料層の中に埋め込
まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と密に接触し、第
1の導体領域が第1の金属領域と電気的に連結する第1の導体領域と、c)第1の導体領
域と電気的に連結し、第3の絶縁材料層の中に埋め込まれた第2の導体領域であって、第
3の絶縁材料層が第2の絶縁材料層と密に接触する第2の導体領域と、d)第2の絶縁材
料層の上の反応性イオン・エッチング(RIE)ハード・マスク/研磨ストップ層と、e
)RIEハード・マスク/研磨ストップ層の上の拡散バリア層と、を有し、RIEハード
・マスク/研磨ストップ層および拡散バリア層が本発明のSiCOH誘電体膜から形成さ
れた電子デバイス構造が含まれる。
他の代替実施形態には、前処理された半導体基板を含む配線構造中の層内または層間誘
電体として絶縁材料層を有する電子デバイス構造であって、前記半導体基板は、a)第1
の絶縁材料層の中に埋め込まれた第1の金属領域と、b)第2の絶縁材料層の中に埋め込
まれた第1の導体領域であって、第2の絶縁材料層が第1の絶縁材料層と密に接触し、第
1の導体領域が第1の金属領域と電気的に連結する第1の導体領域と、c)第1の導体領
域と電気的に連結し、第3の絶縁材料層の中に埋め込まれた第2の導体領域であって、第
3の絶縁材料層が第2の絶縁材料層と密に接触する第2の導体領域と、d)第2の絶縁材
料層の上の第1のRIEハード・マスク/研磨ストップ層と、e)第1のRIEハード・
マスク/研磨ストップ層の上の第1の拡散バリア層と、f)第3の絶縁材料層の上の第2
のRIEハード・マスク/研磨ストップ層と、g)第2のRIEハード・マスク/研磨ス
トップ層の上の第2の拡散バリア層とを有し、RIEハード・マスク/研磨ストップ層お
よび拡散バリア層が本発明のSiCOH誘電体膜から形成された電子デバイス構造が含ま
れる。
本発明の他の代替実施形態には、配線構造中の層内または層間誘電体として絶縁材料層
を有する、すぐ上の段落に記載した電子デバイス構造と同様の電子デバイス構造であって
、本発明のSiCOH誘電体材料から形成された、層間誘電体層と層内誘電体層の間に位
置する誘電体キャップ層をさらに含む電子デバイス構造が含まれる。
本発明を例示的に説明してきたが、使用した用語は説明のためのものであって、限定を
意図したものではないことを理解されたい。さらに、好ましい実施形態およびいくつかの
代替実施形態に関して本発明を説明したが、当業者なら、これらの教示を本発明の他の可
能な変形形態に容易に適用できることを理解されたい。
誘電率2.8、引張応力40MPa未満、弾性率約9から約15GPa、硬さ約0.5から約2GPaの本発明の安定した低k SiCOH誘電体材料のFTIRスペクトラムのSi−O伸長領域(stretching region)を示す図である。 誘電率2.8、引張応力40MPa未満、弾性率約9から約15GPa、硬さ約0.5から約2GPaの本発明の安定した低k SiCOH誘電体材料のFTIRスペクトラムのSi−O伸長領域を示す図である。 実施例3に基づく本発明の安定した超低k SiCOH誘電体材料のFTIRスペクトラムのSi−O伸長領域を示す図である。 図3と同じ材料の弾性率をeビーム照射量に対してプロットした図である。 実施例3に基づく本発明の安定した超低k SiCOH誘電体材料のFTIRスペクトラムのSi−O伸長領域を示す図であって、FTIRスペクトルに対するUV処理時間の効果を示す図である。 本発明の安定した低kまたは超低k SiCOH誘電体材料から形成された層内誘電体層および層間誘電体層を有する本発明の電子デバイスの拡大断面図である。 本発明の低kまたは超低k SiCOH誘電体材料の上に付着させた追加の拡散バリア誘電体キャップ層を有する、図6の本発明の電子デバイス構造の拡大断面図である。 追加のRIEハード・マスク/研磨ストップ誘電体キャップ層、および研磨ストップ層の上に付着させた誘電体キャップ拡散バリア層を有する、図7の本発明の電子デバイス構造の拡大断面図である。 本発明の安定した低kまたは超低k SiCOH誘電体材料の上に付着させた追加のRIEハード・マスク/研磨ストップ誘電体層を有する、図8の本発明の電子デバイス構造の拡大断面図である。
符号の説明
30 電子デバイス
32 シリコン基板
34 第1の絶縁材料層
36 第1の金属領域
38 第1のSiCOH誘電膜層
40 第1の導体層
44 第2のSiCOH誘電膜層
50 第2の導体層
60 電子デバイス
62 誘電体キャップ層
70 電子デバイス
72 誘電体キャップ層(研磨ストップ層)
74 誘電体キャップ層(研磨ストップ層)
80 電子デバイス
82 誘電体材料層
84 層間絶縁膜層
86 層内誘電体層
92 ビア
94 相互接続
96 拡散バリア層

Claims (1)

  1. Si、C、O、H元素を含む、誘電率約2.8以下、引張応力45MPa未満、
    弾性率約2から約15GPa、硬さ約0.2から約2GPaの誘電体材料。
JP2008224431A 2004-01-16 2008-09-02 低誘電率および超低誘電率のSiCOH誘電体膜の形成方法 Expired - Fee Related JP4833268B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/758,724 US7030468B2 (en) 2004-01-16 2004-01-16 Low k and ultra low k SiCOH dielectric films and methods to form the same
US10/758724 2004-01-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005007763A Division JP4755831B2 (ja) 2004-01-16 2005-01-14 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法

Publications (3)

Publication Number Publication Date
JP2009044162A true JP2009044162A (ja) 2009-02-26
JP2009044162A5 JP2009044162A5 (ja) 2011-05-19
JP4833268B2 JP4833268B2 (ja) 2011-12-07

Family

ID=34749564

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005007763A Expired - Fee Related JP4755831B2 (ja) 2004-01-16 2005-01-14 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
JP2008224431A Expired - Fee Related JP4833268B2 (ja) 2004-01-16 2008-09-02 低誘電率および超低誘電率のSiCOH誘電体膜の形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005007763A Expired - Fee Related JP4755831B2 (ja) 2004-01-16 2005-01-14 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法

Country Status (4)

Country Link
US (2) US7030468B2 (ja)
JP (2) JP4755831B2 (ja)
CN (1) CN100378990C (ja)
TW (1) TWI324381B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008527757A (ja) * 2005-01-13 2008-07-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 制御された二軸応力を有する超低誘電率膜および該作製方法

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
CN1327508C (zh) * 2003-04-28 2007-07-18 富士通株式会社 半导体装置的制造方法
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) * 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
JP4470627B2 (ja) * 2004-07-15 2010-06-02 日本電気株式会社 光学基板、発光素子および表示装置
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
WO2007032563A1 (ja) * 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
WO2007043205A1 (ja) * 2005-10-14 2007-04-19 Yatabe Massao 照射装置、照射方法及び半導体デバイス
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7923384B2 (en) 2005-11-24 2011-04-12 Nec Corporation Formation method of porous insulating film, manufacturing apparatus of semiconductor device, manufacturing method of semiconductor device, and semiconductor device
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP4666308B2 (ja) * 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
US20070246830A1 (en) * 2006-04-21 2007-10-25 Toshiba America Electronic Components, Inc. Long-lifetime interconnect structure and method for making
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008021800A (ja) * 2006-07-12 2008-01-31 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
JP2008103586A (ja) * 2006-10-20 2008-05-01 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20080188074A1 (en) * 2007-02-06 2008-08-07 I-I Chen Peeling-free porous capping material
US7847402B2 (en) 2007-02-20 2010-12-07 International Business Machines Corporation BEOL interconnect structures with improved resistance to stress
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7851288B2 (en) * 2007-06-08 2010-12-14 International Business Machines Corporation Field effect transistor using carbon based stress liner
FR2918997B1 (fr) * 2007-07-20 2010-12-03 Commissariat Energie Atomique Procede de preparation de couches minces de materiaux dielectriques nanoporeux.
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
WO2010082250A1 (ja) * 2009-01-13 2010-07-22 パナソニック株式会社 半導体装置及びその製造方法
JP2010171081A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置及びその製造方法
US8999734B2 (en) * 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP5559775B2 (ja) * 2009-04-30 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
US8974870B2 (en) * 2009-07-08 2015-03-10 Imec Fabrication of porogen residues free low-k materials with improved mechanical and chemical resistance
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
TWI550121B (zh) 2010-02-17 2016-09-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 SiCOH低K膜之氣相沈積法
US8569183B2 (en) * 2010-03-01 2013-10-29 Fairchild Semiconductor Corporation Low temperature dielectric flow using microwaves
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US20110297088A1 (en) 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103165576B (zh) * 2011-12-13 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN104685621B (zh) * 2012-09-26 2017-05-10 丰田自动车株式会社 电气部件
US8987859B2 (en) * 2012-12-04 2015-03-24 Intel Corporation Techniques for enhancing dielectric breakdown performance
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9281238B2 (en) * 2014-07-11 2016-03-08 United Microelectronics Corp. Method for fabricating interlayer dielectric layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP2016127080A (ja) 2014-12-26 2016-07-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
US10262876B2 (en) 2015-02-16 2019-04-16 SCREEN Holdings Co., Ltd. Substrate processing apparatus
KR102624608B1 (ko) 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
TWI590350B (zh) * 2016-06-30 2017-07-01 欣興電子股份有限公司 線路重分佈結構的製造方法與線路重分佈結構單元
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002091450A2 (en) * 2001-05-03 2002-11-14 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
WO2004001815A1 (en) * 2002-06-19 2003-12-31 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1260908A (zh) * 1997-04-17 2000-07-19 联合讯号公司 渐变密度的纳米孔绝缘薄膜及其制法
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6472306B1 (en) * 2000-09-05 2002-10-29 Industrial Technology Research Institute Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6764774B2 (en) * 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
US20040089470A1 (en) * 2002-11-12 2004-05-13 Nec Corporation Printed circuit board, semiconductor package, base insulating film, and manufacturing method for interconnect substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002091450A2 (en) * 2001-05-03 2002-11-14 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
WO2004001815A1 (en) * 2002-06-19 2003-12-31 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008527757A (ja) * 2005-01-13 2008-07-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 制御された二軸応力を有する超低誘電率膜および該作製方法

Also Published As

Publication number Publication date
CN100378990C (zh) 2008-04-02
CN1645608A (zh) 2005-07-27
US7030468B2 (en) 2006-04-18
US7282458B2 (en) 2007-10-16
US20050156285A1 (en) 2005-07-21
US20060055004A1 (en) 2006-03-16
JP4833268B2 (ja) 2011-12-07
TWI324381B (en) 2010-05-01
JP4755831B2 (ja) 2011-08-24
JP2005203794A (ja) 2005-07-28
TW200531248A (en) 2005-09-16

Similar Documents

Publication Publication Date Title
JP4833268B2 (ja) 低誘電率および超低誘電率のSiCOH誘電体膜の形成方法
JP5466365B2 (ja) 基板上にSiCOH誘電体を形成する方法
KR100724508B1 (ko) 초저 K(ULK) SiCOH 막 및 그 형성 방법
KR101006329B1 (ko) 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화
US7923385B2 (en) Methods for producing low stress porous and CDO low-K dielectric materials using precursors with organic functional groups
JP5398258B2 (ja) 誘電体スタック及びそれを備える相互接続構造体
JP4756036B2 (ja) 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造
KR100907387B1 (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
JP4410783B2 (ja) 低誘電率膜を作製する方法
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
TWI240959B (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
JP2008527757A5 (ja)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110906

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110921

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees