US6734533B2
(en)
*
|
2002-05-30 |
2004-05-11 |
Intel Corporation |
Electron-beam treated CDO films
|
JP4338495B2
(ja)
*
|
2002-10-30 |
2009-10-07 |
富士通マイクロエレクトロニクス株式会社 |
シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
|
US7485570B2
(en)
|
2002-10-30 |
2009-02-03 |
Fujitsu Limited |
Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
|
US7288292B2
(en)
*
|
2003-03-18 |
2007-10-30 |
International Business Machines Corporation |
Ultra low k (ULK) SiCOH film and method
|
US7208389B1
(en)
|
2003-03-31 |
2007-04-24 |
Novellus Systems, Inc. |
Method of porogen removal from porous low-k films using UV radiation
|
US20050260420A1
(en)
*
|
2003-04-01 |
2005-11-24 |
Collins Martha J |
Low dielectric materials and methods for making same
|
US7081673B2
(en)
*
|
2003-04-17 |
2006-07-25 |
International Business Machines Corporation |
Multilayered cap barrier in microelectronic interconnect structures
|
JP4295730B2
(ja)
*
|
2003-04-28 |
2009-07-15 |
富士通マイクロエレクトロニクス株式会社 |
半導体装置の製造方法
|
US20040253378A1
(en)
*
|
2003-06-12 |
2004-12-16 |
Applied Materials, Inc. |
Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
|
US20050037153A1
(en)
*
|
2003-08-14 |
2005-02-17 |
Applied Materials, Inc. |
Stress reduction of sioc low k films
|
US7341761B1
(en)
|
2004-03-11 |
2008-03-11 |
Novellus Systems, Inc. |
Methods for producing low-k CDO films
|
US7781351B1
(en)
*
|
2004-04-07 |
2010-08-24 |
Novellus Systems, Inc. |
Methods for producing low-k carbon doped oxide films with low residual stress
|
US7253125B1
(en)
|
2004-04-16 |
2007-08-07 |
Novellus Systems, Inc. |
Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
|
JP4470627B2
(ja)
*
|
2004-07-15 |
2010-06-02 |
日本電気株式会社 |
光学基板、発光素子および表示装置
|
US7223670B2
(en)
*
|
2004-08-20 |
2007-05-29 |
International Business Machines Corporation |
DUV laser annealing and stabilization of SiCOH films
|
US7491658B2
(en)
*
|
2004-10-13 |
2009-02-17 |
International Business Machines Corporation |
Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
|
US20060081965A1
(en)
*
|
2004-10-15 |
2006-04-20 |
Ju-Ai Ruan |
Plasma treatment of an etch stop layer
|
US9659769B1
(en)
|
2004-10-22 |
2017-05-23 |
Novellus Systems, Inc. |
Tensile dielectric films using UV curing
|
US7790633B1
(en)
|
2004-10-26 |
2010-09-07 |
Novellus Systems, Inc. |
Sequential deposition/anneal film densification method
|
US7695765B1
(en)
|
2004-11-12 |
2010-04-13 |
Novellus Systems, Inc. |
Methods for producing low-stress carbon-doped oxide films with improved integration properties
|
US7357977B2
(en)
*
|
2005-01-13 |
2008-04-15 |
International Business Machines Corporation |
Ultralow dielectric constant layer with controlled biaxial stress
|
US7892648B2
(en)
*
|
2005-01-21 |
2011-02-22 |
International Business Machines Corporation |
SiCOH dielectric material with improved toughness and improved Si-C bonding
|
US20060166491A1
(en)
*
|
2005-01-21 |
2006-07-27 |
Kensaku Ida |
Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
|
US7166531B1
(en)
|
2005-01-31 |
2007-01-23 |
Novellus Systems, Inc. |
VLSI fabrication processes for introducing pores into dielectric materials
|
US7510982B1
(en)
|
2005-01-31 |
2009-03-31 |
Novellus Systems, Inc. |
Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
|
US7202564B2
(en)
*
|
2005-02-16 |
2007-04-10 |
International Business Machines Corporation |
Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
|
US7253105B2
(en)
*
|
2005-02-22 |
2007-08-07 |
International Business Machines Corporation |
Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
|
US8980769B1
(en)
|
2005-04-26 |
2015-03-17 |
Novellus Systems, Inc. |
Multi-station sequential curing of dielectric films
|
US8282768B1
(en)
|
2005-04-26 |
2012-10-09 |
Novellus Systems, Inc. |
Purging of porogen from UV cure chamber
|
US8889233B1
(en)
|
2005-04-26 |
2014-11-18 |
Novellus Systems, Inc. |
Method for reducing stress in porous dielectric films
|
US8454750B1
(en)
|
2005-04-26 |
2013-06-04 |
Novellus Systems, Inc. |
Multi-station sequential curing of dielectric films
|
US8137465B1
(en)
|
2005-04-26 |
2012-03-20 |
Novellus Systems, Inc. |
Single-chamber sequential curing of semiconductor wafers
|
US7314828B2
(en)
*
|
2005-07-19 |
2008-01-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Repairing method for low-k dielectric materials
|
US7323410B2
(en)
*
|
2005-08-08 |
2008-01-29 |
International Business Machines Corporation |
Dry etchback of interconnect contacts
|
JP5355892B2
(ja)
*
|
2005-09-16 |
2013-11-27 |
ルネサスエレクトロニクス株式会社 |
配線構造並びに半導体装置及びその製造方法
|
WO2007043205A1
(ja)
*
|
2005-10-14 |
2007-04-19 |
Yatabe Massao |
照射装置、照射方法及び半導体デバイス
|
US7622378B2
(en)
|
2005-11-09 |
2009-11-24 |
Tokyo Electron Limited |
Multi-step system and method for curing a dielectric film
|
WO2007061134A1
(ja)
*
|
2005-11-24 |
2007-05-31 |
Nec Corporation |
多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
|
US20070173071A1
(en)
*
|
2006-01-20 |
2007-07-26 |
International Business Machines Corporation |
SiCOH dielectric
|
JP4666308B2
(ja)
*
|
2006-02-24 |
2011-04-06 |
富士通セミコンダクター株式会社 |
半導体装置の製造方法
|
US20070246830A1
(en)
*
|
2006-04-21 |
2007-10-25 |
Toshiba America Electronic Components, Inc. |
Long-lifetime interconnect structure and method for making
|
US7825038B2
(en)
*
|
2006-05-30 |
2010-11-02 |
Applied Materials, Inc. |
Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
|
US20070277734A1
(en)
*
|
2006-05-30 |
2007-12-06 |
Applied Materials, Inc. |
Process chamber for dielectric gapfill
|
US7790634B2
(en)
*
|
2006-05-30 |
2010-09-07 |
Applied Materials, Inc |
Method for depositing and curing low-k films for gapfill and conformal film applications
|
US7902080B2
(en)
*
|
2006-05-30 |
2011-03-08 |
Applied Materials, Inc. |
Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
|
US8232176B2
(en)
*
|
2006-06-22 |
2012-07-31 |
Applied Materials, Inc. |
Dielectric deposition and etch back processes for bottom up gapfill
|
JP2008021800A
(ja)
*
|
2006-07-12 |
2008-01-31 |
Sanyo Electric Co Ltd |
半導体装置およびその製造方法
|
US8956457B2
(en)
*
|
2006-09-08 |
2015-02-17 |
Tokyo Electron Limited |
Thermal processing system for curing dielectric films
|
JP2008103586A
(ja)
*
|
2006-10-20 |
2008-05-01 |
Renesas Technology Corp |
半導体装置の製造方法および半導体装置
|
US10037905B2
(en)
|
2009-11-12 |
2018-07-31 |
Novellus Systems, Inc. |
UV and reducing treatment for K recovery and surface clean in semiconductor processing
|
US8465991B2
(en)
|
2006-10-30 |
2013-06-18 |
Novellus Systems, Inc. |
Carbon containing low-k dielectric constant recovery using UV treatment
|
US7906174B1
(en)
|
2006-12-07 |
2011-03-15 |
Novellus Systems, Inc. |
PECVD methods for producing ultra low-k dielectric films using UV treatment
|
US20080173985A1
(en)
*
|
2007-01-24 |
2008-07-24 |
International Business Machines Corporation |
Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
|
US20080188074A1
(en)
*
|
2007-02-06 |
2008-08-07 |
I-I Chen |
Peeling-free porous capping material
|
US7847402B2
(en)
|
2007-02-20 |
2010-12-07 |
International Business Machines Corporation |
BEOL interconnect structures with improved resistance to stress
|
US8242028B1
(en)
*
|
2007-04-03 |
2012-08-14 |
Novellus Systems, Inc. |
UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
|
US7622162B1
(en)
|
2007-06-07 |
2009-11-24 |
Novellus Systems, Inc. |
UV treatment of STI films for increasing tensile stress
|
US7851288B2
(en)
*
|
2007-06-08 |
2010-12-14 |
International Business Machines Corporation |
Field effect transistor using carbon based stress liner
|
FR2918997B1
(fr)
*
|
2007-07-20 |
2010-12-03 |
Commissariat Energie Atomique |
Procede de preparation de couches minces de materiaux dielectriques nanoporeux.
|
US7745352B2
(en)
*
|
2007-08-27 |
2010-06-29 |
Applied Materials, Inc. |
Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
|
US20090061237A1
(en)
*
|
2007-08-28 |
2009-03-05 |
International Business Machines Corporation |
LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
|
US20090061649A1
(en)
|
2007-08-28 |
2009-03-05 |
International Business Machines Corporation |
LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
|
US8211510B1
(en)
|
2007-08-31 |
2012-07-03 |
Novellus Systems, Inc. |
Cascaded cure approach to fabricate highly tensile silicon nitride films
|
US20090075491A1
(en)
*
|
2007-09-13 |
2009-03-19 |
Tokyo Electron Limited |
Method for curing a dielectric film
|
US20090093135A1
(en)
*
|
2007-10-04 |
2009-04-09 |
Asm Japan K.K. |
Semiconductor manufacturing apparatus and method for curing material with uv light
|
US7803722B2
(en)
*
|
2007-10-22 |
2010-09-28 |
Applied Materials, Inc |
Methods for forming a dielectric layer within trenches
|
US7943531B2
(en)
*
|
2007-10-22 |
2011-05-17 |
Applied Materials, Inc. |
Methods for forming a silicon oxide layer over a substrate
|
US7867923B2
(en)
*
|
2007-10-22 |
2011-01-11 |
Applied Materials, Inc. |
High quality silicon oxide films by remote plasma CVD from disilane precursors
|
US7977256B2
(en)
|
2008-03-06 |
2011-07-12 |
Tokyo Electron Limited |
Method for removing a pore-generating material from an uncured low-k dielectric film
|
US7858533B2
(en)
*
|
2008-03-06 |
2010-12-28 |
Tokyo Electron Limited |
Method for curing a porous low dielectric constant dielectric film
|
US20090226695A1
(en)
*
|
2008-03-06 |
2009-09-10 |
Tokyo Electron Limited |
Method for treating a dielectric film with infrared radiation
|
US20090226694A1
(en)
*
|
2008-03-06 |
2009-09-10 |
Tokyo Electron Limited |
POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
|
US8357435B2
(en)
*
|
2008-05-09 |
2013-01-22 |
Applied Materials, Inc. |
Flowable dielectric equipment and processes
|
US20100143580A1
(en)
*
|
2008-05-28 |
2010-06-10 |
American Air Liquide, Inc. |
Stabilization of Bicycloheptadiene
|
US8298965B2
(en)
*
|
2008-09-03 |
2012-10-30 |
American Air Liquide, Inc. |
Volatile precursors for deposition of C-linked SiCOH dielectrics
|
US9050623B1
(en)
|
2008-09-12 |
2015-06-09 |
Novellus Systems, Inc. |
Progressive UV cure
|
US8895942B2
(en)
*
|
2008-09-16 |
2014-11-25 |
Tokyo Electron Limited |
Dielectric treatment module using scanning IR radiation source
|
US20100065758A1
(en)
*
|
2008-09-16 |
2010-03-18 |
Tokyo Electron Limited |
Dielectric material treatment system and method of operating
|
US20100081293A1
(en)
*
|
2008-10-01 |
2010-04-01 |
Applied Materials, Inc. |
Methods for forming silicon nitride based film or silicon carbon based film
|
US20100151206A1
(en)
|
2008-12-11 |
2010-06-17 |
Air Products And Chemicals, Inc. |
Method for Removal of Carbon From An Organosilicate Material
|
JPWO2010082250A1
(ja)
*
|
2009-01-13 |
2012-06-28 |
パナソニック株式会社 |
半導体装置及びその製造方法
|
JP2010171081A
(ja)
*
|
2009-01-20 |
2010-08-05 |
Toshiba Corp |
半導体装置及びその製造方法
|
SG174296A1
(en)
|
2009-03-10 |
2011-10-28 |
Air Liquide |
Cyclic amino compounds for low-k silylation
|
US20120032323A1
(en)
*
|
2009-04-30 |
2012-02-09 |
Masahiro Matsumoto |
Semiconductor device and method of manufacturing the same
|
US20110006406A1
(en)
*
|
2009-07-08 |
2011-01-13 |
Imec |
Fabrication of porogen residues free and mechanically robust low-k materials
|
US8974870B2
(en)
*
|
2009-07-08 |
2015-03-10 |
Imec |
Fabrication of porogen residues free low-k materials with improved mechanical and chemical resistance
|
US8980382B2
(en)
*
|
2009-12-02 |
2015-03-17 |
Applied Materials, Inc. |
Oxygen-doping for non-carbon radical-component CVD films
|
US8741788B2
(en)
*
|
2009-08-06 |
2014-06-03 |
Applied Materials, Inc. |
Formation of silicon oxide using non-carbon flowable CVD processes
|
US7935643B2
(en)
*
|
2009-08-06 |
2011-05-03 |
Applied Materials, Inc. |
Stress management for tensile films
|
US7989365B2
(en)
*
|
2009-08-18 |
2011-08-02 |
Applied Materials, Inc. |
Remote plasma source seasoning
|
US20110136347A1
(en)
*
|
2009-10-21 |
2011-06-09 |
Applied Materials, Inc. |
Point-of-use silylamine generation
|
EP2319821A1
(en)
|
2009-11-06 |
2011-05-11 |
L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude |
Stabilization of bicycloheptadiene
|
US8528224B2
(en)
|
2009-11-12 |
2013-09-10 |
Novellus Systems, Inc. |
Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
|
US8449942B2
(en)
*
|
2009-11-12 |
2013-05-28 |
Applied Materials, Inc. |
Methods of curing non-carbon flowable CVD films
|
KR20120111738A
(ko)
|
2009-12-30 |
2012-10-10 |
어플라이드 머티어리얼스, 인코포레이티드 |
융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
|
US8329262B2
(en)
*
|
2010-01-05 |
2012-12-11 |
Applied Materials, Inc. |
Dielectric film formation using inert gas excitation
|
JP2013517616A
(ja)
|
2010-01-06 |
2013-05-16 |
アプライド マテリアルズ インコーポレイテッド |
酸化物ライナを使用する流動可能な誘電体
|
CN102714156A
(zh)
|
2010-01-07 |
2012-10-03 |
应用材料公司 |
自由基成分cvd的原位臭氧固化
|
US8314005B2
(en)
*
|
2010-01-27 |
2012-11-20 |
International Business Machines Corporation |
Homogeneous porous low dielectric constant materials
|
US8492239B2
(en)
|
2010-01-27 |
2013-07-23 |
International Business Machines Corporation |
Homogeneous porous low dielectric constant materials
|
TWI550121B
(zh)
|
2010-02-17 |
2016-09-21 |
液態空氣喬治斯克勞帝方法研究開發股份有限公司 |
SiCOH低K膜之氣相沈積法
|
US8569183B2
(en)
*
|
2010-03-01 |
2013-10-29 |
Fairchild Semiconductor Corporation |
Low temperature dielectric flow using microwaves
|
CN102844848A
(zh)
*
|
2010-03-05 |
2012-12-26 |
应用材料公司 |
通过自由基成分化学气相沉积的共形层
|
US8236708B2
(en)
|
2010-03-09 |
2012-08-07 |
Applied Materials, Inc. |
Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
|
US20110232677A1
(en)
*
|
2010-03-29 |
2011-09-29 |
Tokyo Electron Limited |
Method for cleaning low-k dielectrics
|
US7994019B1
(en)
|
2010-04-01 |
2011-08-09 |
Applied Materials, Inc. |
Silicon-ozone CVD with reduced pattern loading using incubation period deposition
|
US8476142B2
(en)
|
2010-04-12 |
2013-07-02 |
Applied Materials, Inc. |
Preferential dielectric gapfill
|
US20110297088A1
(en)
*
|
2010-06-04 |
2011-12-08 |
Texas Instruments Incorporated |
Thin edge carrier ring
|
US8524004B2
(en)
|
2010-06-16 |
2013-09-03 |
Applied Materials, Inc. |
Loadlock batch ozone cure
|
US8318584B2
(en)
|
2010-07-30 |
2012-11-27 |
Applied Materials, Inc. |
Oxide-rich liner layer for flowable CVD gapfill
|
US9285168B2
(en)
|
2010-10-05 |
2016-03-15 |
Applied Materials, Inc. |
Module for ozone cure and post-cure moisture treatment
|
US8664127B2
(en)
|
2010-10-15 |
2014-03-04 |
Applied Materials, Inc. |
Two silicon-containing precursors for gapfill enhancing dielectric liner
|
US10283321B2
(en)
|
2011-01-18 |
2019-05-07 |
Applied Materials, Inc. |
Semiconductor processing system and methods using capacitively coupled plasma
|
US8450191B2
(en)
|
2011-01-24 |
2013-05-28 |
Applied Materials, Inc. |
Polysilicon films by HDP-CVD
|
US8716154B2
(en)
|
2011-03-04 |
2014-05-06 |
Applied Materials, Inc. |
Reduced pattern loading using silicon oxide multi-layers
|
US8445078B2
(en)
|
2011-04-20 |
2013-05-21 |
Applied Materials, Inc. |
Low temperature silicon oxide conversion
|
US8466073B2
(en)
|
2011-06-03 |
2013-06-18 |
Applied Materials, Inc. |
Capping layer for reduced outgassing
|
US8927430B2
(en)
|
2011-07-12 |
2015-01-06 |
International Business Machines Corporation |
Overburden removal for pore fill integration approach
|
US8541301B2
(en)
|
2011-07-12 |
2013-09-24 |
International Business Machines Corporation |
Reduction of pore fill material dewetting
|
US9404178B2
(en)
|
2011-07-15 |
2016-08-02 |
Applied Materials, Inc. |
Surface treatment and deposition for reduced outgassing
|
US8828489B2
(en)
|
2011-08-19 |
2014-09-09 |
International Business Machines Corporation |
Homogeneous modification of porous films
|
US8637412B2
(en)
*
|
2011-08-19 |
2014-01-28 |
International Business Machines Corporation |
Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
|
US8617989B2
(en)
|
2011-09-26 |
2013-12-31 |
Applied Materials, Inc. |
Liner property improvement
|
US8551891B2
(en)
|
2011-10-04 |
2013-10-08 |
Applied Materials, Inc. |
Remote plasma burn-in
|
CN103165576B
(zh)
*
|
2011-12-13 |
2015-10-14 |
中芯国际集成电路制造(上海)有限公司 |
半导体器件及其制造方法
|
US8889566B2
(en)
|
2012-09-11 |
2014-11-18 |
Applied Materials, Inc. |
Low cost flowable dielectric films
|
WO2014049740A1
(ja)
*
|
2012-09-26 |
2014-04-03 |
トヨタ自動車株式会社 |
電気部品
|
US8987859B2
(en)
*
|
2012-12-04 |
2015-03-24 |
Intel Corporation |
Techniques for enhancing dielectric breakdown performance
|
US9018108B2
(en)
|
2013-01-25 |
2015-04-28 |
Applied Materials, Inc. |
Low shrinkage dielectric films
|
US9058983B2
(en)
|
2013-06-17 |
2015-06-16 |
International Business Machines Corporation |
In-situ hardmask generation
|
US9281238B2
(en)
*
|
2014-07-11 |
2016-03-08 |
United Microelectronics Corp. |
Method for fabricating interlayer dielectric layer
|
US9412581B2
(en)
|
2014-07-16 |
2016-08-09 |
Applied Materials, Inc. |
Low-K dielectric gapfill by flowable deposition
|
JP2016127080A
(ja)
*
|
2014-12-26 |
2016-07-11 |
株式会社Screenホールディングス |
基板処理装置および基板処理方法
|
US20160225652A1
(en)
|
2015-02-03 |
2016-08-04 |
Applied Materials, Inc. |
Low temperature chuck for plasma processing systems
|
US10262876B2
(en)
|
2015-02-16 |
2019-04-16 |
SCREEN Holdings Co., Ltd. |
Substrate processing apparatus
|
KR102624608B1
(ko)
|
2016-01-19 |
2024-01-16 |
삼성전자주식회사 |
저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
|
US9768061B1
(en)
|
2016-05-31 |
2017-09-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Low-k dielectric interconnect systems
|
TWI590350B
(zh)
*
|
2016-06-30 |
2017-07-01 |
欣興電子股份有限公司 |
線路重分佈結構的製造方法與線路重分佈結構單元
|
US9847221B1
(en)
|
2016-09-29 |
2017-12-19 |
Lam Research Corporation |
Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
|
US10224224B2
(en)
|
2017-03-10 |
2019-03-05 |
Micromaterials, LLC |
High pressure wafer processing systems and related methods
|
US10622214B2
(en)
|
2017-05-25 |
2020-04-14 |
Applied Materials, Inc. |
Tungsten defluorination by high pressure treatment
|
JP7190450B2
(ja)
|
2017-06-02 |
2022-12-15 |
アプライド マテリアルズ インコーポレイテッド |
炭化ホウ素ハードマスクのドライストリッピング
|
US10361137B2
(en)
|
2017-07-31 |
2019-07-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
CN111095513B
(zh)
|
2017-08-18 |
2023-10-31 |
应用材料公司 |
高压高温退火腔室
|
US10276411B2
(en)
|
2017-08-18 |
2019-04-30 |
Applied Materials, Inc. |
High pressure and high temperature anneal chamber
|
CN111095524B
(zh)
|
2017-09-12 |
2023-10-03 |
应用材料公司 |
用于使用保护阻挡物层制造半导体结构的设备和方法
|
US10643867B2
(en)
|
2017-11-03 |
2020-05-05 |
Applied Materials, Inc. |
Annealing system and method
|
CN117936417A
(zh)
|
2017-11-11 |
2024-04-26 |
微材料有限责任公司 |
用于高压处理腔室的气体输送系统
|
SG11202003438QA
(en)
|
2017-11-16 |
2020-05-28 |
Applied Materials Inc |
High pressure steam anneal processing apparatus
|
WO2019099255A2
(en)
|
2017-11-17 |
2019-05-23 |
Applied Materials, Inc. |
Condenser system for high pressure processing system
|
CN111699549A
(zh)
|
2018-01-24 |
2020-09-22 |
应用材料公司 |
使用高压退火的接缝弥合
|
WO2019173006A1
(en)
|
2018-03-09 |
2019-09-12 |
Applied Materials, Inc. |
High pressure annealing process for metal containing materials
|
US10714331B2
(en)
|
2018-04-04 |
2020-07-14 |
Applied Materials, Inc. |
Method to fabricate thermally stable low K-FinFET spacer
|
US10950429B2
(en)
|
2018-05-08 |
2021-03-16 |
Applied Materials, Inc. |
Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
|
US10566188B2
(en)
|
2018-05-17 |
2020-02-18 |
Applied Materials, Inc. |
Method to improve film stability
|
US10704141B2
(en)
|
2018-06-01 |
2020-07-07 |
Applied Materials, Inc. |
In-situ CVD and ALD coating of chamber to control metal contamination
|
US10748783B2
(en)
|
2018-07-25 |
2020-08-18 |
Applied Materials, Inc. |
Gas delivery module
|
US10675581B2
(en)
|
2018-08-06 |
2020-06-09 |
Applied Materials, Inc. |
Gas abatement apparatus
|
JP7179172B6
(ja)
|
2018-10-30 |
2022-12-16 |
アプライド マテリアルズ インコーポレイテッド |
半導体用途の構造体をエッチングするための方法
|
KR20210077779A
(ko)
|
2018-11-16 |
2021-06-25 |
어플라이드 머티어리얼스, 인코포레이티드 |
강화된 확산 프로세스를 사용한 막 증착
|
WO2020117462A1
(en)
|
2018-12-07 |
2020-06-11 |
Applied Materials, Inc. |
Semiconductor processing system
|
CN110158052B
(zh)
|
2019-05-17 |
2021-05-14 |
江苏菲沃泰纳米科技股份有限公司 |
低介电常数膜及其制备方法
|
CN110129769B
(zh)
*
|
2019-05-17 |
2021-05-14 |
江苏菲沃泰纳米科技股份有限公司 |
疏水性的低介电常数膜及其制备方法
|
US11901222B2
(en)
|
2020-02-17 |
2024-02-13 |
Applied Materials, Inc. |
Multi-step process for flowable gap-fill film
|