KR20120111738A - 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장 - Google Patents

융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장 Download PDF

Info

Publication number
KR20120111738A
KR20120111738A KR1020127020071A KR20127020071A KR20120111738A KR 20120111738 A KR20120111738 A KR 20120111738A KR 1020127020071 A KR1020127020071 A KR 1020127020071A KR 20127020071 A KR20127020071 A KR 20127020071A KR 20120111738 A KR20120111738 A KR 20120111738A
Authority
KR
South Korea
Prior art keywords
nitrogen
dielectric layer
forming
silicon
plasma
Prior art date
Application number
KR1020127020071A
Other languages
English (en)
Inventor
징메이 리앙
시아오린 첸
매튜 엘. 밀러
니틴 케이. 잉글
샨카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120111738A publication Critical patent/KR20120111738A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

유전체 층의 형성 방법이 개시된다. 상기 방법은 실리콘 함유 전구체와 라디칼 질소 전구체를 혼합하는 단계; 및 유전체 층을 기판 상에 증착하는 단계;를 포함할 수 있다. 상기 라디칼 질소 전구체는 질소/수소 비율의 조절이 가능하도록 하기 위하여 수소(H2)와 질소(N2)를 플라즈마 속으로 유동시킴으로써 원격 플라즈마에서 형성된다. 처음에, 상기 유전체 층은 산소 함유 분위기에서 필름을 경화 및/또는 어닐링함으로써 실리콘 산소 함유층으로 변환될 수 있는 실리콘-및-질소 함유층이다.

Description

변동가능한 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장{DIELECTRIC FILM GROWTH WITH RADICALS PRODUCED USING FLEXIBLE NITROGEN/HYDROGEN RATIO}
본원은 "변동가능한 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장"이란 명칭으로 2010년 12월 16일자로 출원된 미국 특허 출원번호 제12/969,877호의 PCT 출원이며, "변동가능한 질소/수소 비율을 이용하여 제조된 라디칼에 의한 질화물 필름의 성장"이란 명칭으로 2009년 12월 30일자로 출원된 미국 가특허 출원번호 제61/291,054호를 우선권 주장하고, 이는 모든 목적을 위해 인용에 의해 본 명세서에 통합되어 있다.
반도체 장치의 기하학적 구조는 수십년전 그들이 도입된 이래 그 크기가 현저히 감소되었다. 현대의 반도체 제조 설비는 45㎚, 32㎚ 및 28㎚의 배선폭(feature sizes)을 가진 장치들을 일상적으로 생산하며, 심지어 더 작은 기하학적 구조를 가진 장치를 제조하기 위해 새로운 설비가 개발 및 실행되고 있다. 이러한 배선폭의 감소는 공간 치수가 감소된 장치에 대해 구조적 특징(structural features)을 초래하게 된다. 상기 장치에서 갭과 트랜치의 폭들은 갭 깊이 대 그 폭의 종횡비가 유전체 재료로 당해 갭을 충진하기 어렵게 만들 정도로 커지는 수준까지 좁아졌다. 증착되는 유전체 재료는 갭이 완전히 충진되기 전에 상단에서 쉽게 막혀 갭의 중간에 공극(void)이나 시임(seam)을 형성하는 경향이 있다.
수년 간, 유전체 재료가 갭의 상단을 막지 않도록 하거나, 이미 형성된 공극이나 시임을 "치유(heal)"하기 위한 많은 기술들이 개발되었다. 회전하는 기판 표면에 대해 액상으로 도포될 수 있는 고 유동성의 전구체 재료를 이용한 하나의 접근법(예컨대, SOG 증착 기술)이 시작되었다. 이 유동성 전구체들은 공극 또는 약한 시임을 형성하지 않고 매우 작은 기판 갭들 속으로 흘러들어 충진할 수 있다. 그러나, 이러한 고 유동성의 재료들이 일단 증착되면, 이들은 고형 유전체 재료로 강화되어야 할 필요가 있다.
많은 경우에서, 강화 프로세스는 증착된 재료로부터 탄소와 수산기를 제거하기 위한 열처리를 포함하며, 실리콘 산화물과 같은 고형 유전체를 남기게 된다. 불행하게도, 상기 출발 탄소 및 수산기는 최종 재료의 품질을 저하시키는 기공(pores)을 강화된 유전체에 남긴다. 또한, 강화된 유전체는 체적이 수축하는 경향이 있고, 이는 유전체와 주변 기판의 인터페이스에 균열과 공간을 남길 수 있다. 일부 예에서, 강화된 유전체의 체적은 40% 또는 그 초과 만큼 감소할 수 있다.
따라서, 기판 갭 및 트랜치에 공극, 시임, 또는 이들 모두를 발생시키지 않고, 구조화된 기판 상에 유전체 재료를 형성하기 위한 새로운 증착 프로세스 및 재료에 대한 요구가 있다. 또한, 기공이 적고, 체적 감소가 적은 유동성 유전체 재료의 경화 방법 및 재료에 대한 요구가 있다. 여타 요구들이 본원에서 다루어진다.
유전체 층의 형성 방법이 개시된다. 상기 방법은 실리콘 함유 전구체와 라디칼 질소 전구체를 혼합하는 단계; 및 유전체 층을 기판 상에 증착하는 단계;를 포함할 수 있다. 상기 라디칼 질소 전구체는 질소/수소 비율의 조절이 가능하도록 하기 위하여 수소(H2)와 질소(N2)를 플라즈마 속으로 유동시킴으로써 원격 플라즈마에서 형성된다. 처음에, 상기 유전체 층은 산소 함유 분위기에서 필름을 경화 및/또는 어닐링함으로써 실리콘 산소 함유층으로 변환될 수 있는 실리콘-및-질소 함유층이다.
본 발명의 실시예는 기판 프로세싱 챔버의 플라즈마-프리 기판 프로세싱 영역에서 기판 상에 유전체 층을 형성하기 위한 방법을 포함한다. 상기 방법은 라디칼 질소 전구체를 생성하기 위해 질소-및-수소 함유 가스를 플라즈마 영역으로 유동시키는 단계를 포함한다. 상기 질소-및-수소 함유 가스는 플라즈마 영역으로의 질소:수소 원자 유동비를 선택함에 있어서 더 큰 변동성을 허용하는 수소(H2)와 질소(N2)를 포함한다. 상기 방법은 상기 플라즈마-프리 기판 프로세싱 영역에서 상기 라디칼 질소 전구체와 실리콘 함유 전구체를 결합하는 단계와 상기 기판 상에 상기 유전체 층을 증착하는 단계를 더 포함한다.
추가적인 실시예와 특징들이 이하 상세한 설명에 일부 개시되어 있으며, 당업자들은 본 명세서를 검토함으로써 명료하게 이해할 수 있거나, 본 발명을 실시함으로써 이해할 수 있을 것이다. 본 발명의 특장점들은 본 명세서에 개시된 수단(instrumentalities), 조합 및 방법들에 의해 실현되거나 체득될 수 있을 것이다.
본 명세서의 나머지 부분들과 도면들을 참조하면, 본 발명의 본질과 장점을 더 이해할 수 있을 것이며, 수개의 도면에서 유사한 구성요소를 유사한 참조번호로 인용하였다. 몇몇 예에서, 다수의 유사한 구성요소중 하나를 표시하기 위해 유사한 참조번호와 연관된 서브라벨(sublabel)이 하이픈 다음에 이어진다. 기존 서브라벨에 대한 설명없이 참조번호를 인용하면, 이는 다중의 유사한 구성요소들을 모두 인용하고자 하는 것이다.
도 1은 본 발명의 실시예에 따른 실리콘 산화물 필름을 제조하기 위해 선택된 단계들을 나타낸 흐름도이고,
도 2는 본 발명의 실시예에 따라 기판 갭에 실리콘 산화물 필름을 형성하기 위해 선택된 단계들을 나타낸 다른 흐름도이며,
도 3은 본 발명의 실시예에 따른 기판 프로세싱 시스템의 개략도이고,
도 4a는 본 발명의 실시예에 따른 기판 프로세싱 챔버의 개략도이며,
도 4b는 본 발명의 실시예에 따른 가스 프로세싱 챔버의 샤워헤드의 개략도이다.
유전체 층의 형성 방법이 개시된다. 상기 방법은 실리콘 함유 전구체와 라디칼 질소 전구체를 혼합하는 단계; 및 유전체 층을 기판 상에 증착하는 단계;를 포함할 수 있다. 상기 라디칼 질소 전구체는 질소/수소 비율의 조절이 가능하도록 하기 위하여 수소(H2)와 질소(N2)를 플라즈마 속으로 유동시킴으로써 원격 플라즈마에서 형성된다. 처음에, 상기 유전체 층은 산소 함유 분위기에서 필름을 경화 및/또는 어닐링함으로써 실리콘 산소 함유층으로 변환될 수 있는 실리콘-및-질소 함유층이다.
상기 라디칼 질소 전구체를 형성하기 위해 사용되는 질소의 농도를 증가시키면, 초기의 유동성은 저감되지만, 대체로 고품질(예컨대, 고밀도, 저수축율)의 필름을 제조하게 된다. 한편, 질소의 농도를 감소시키면, 증착시 초기의 유동성은 증가하나 필름의 품질이 훼손된다. 증착에 이어서, 상기 실리콘-및-질소 함유층은 실리콘 산화물로의 변환을 위하여 산소 함유 분위기에서 경화 및/또는 어닐링될 수 있다.
이하, 실리콘 산화물층을 형성하기 위한 시스템 및 방법에 대한 추가적인 세부사항에 대해 설명하기로 한다.
예시적 실리콘 산화물 형성 프로세스
도 1은 본 발명의 실시예에 따른 실리콘 산화물 필름의 제조 방법(100)에서 선택된 단계들을 나타낸 흐름도이다. 상기 방법(100)은 기판 프로세싱 영역에 탄소-프리 실리콘 함유 전구체를 제공하는 단계(102)를 포함한다. 상기 탄소-프리 실리콘 함유 전구체는, 다른 부류의 실리콘 함유 전구체들 중, 예컨대, 실리콘-및-질소 전구체, 실리콘-및-수소 전구체, 또는 실리콘-질소-및-수소 함유 전구체일 수 있다. 실리콘 전구체는 탄소-프리일 뿐만 아니라 산소-프리(oxygen-free)일 수 있다. 산소의 결핍은 상기 전구체들로부터 형성된 실리콘-및-질소층에서 실라놀(Si-OH)기의 농도를 낮추게 된다. 증착된 필름에서 과다한 실라놀 성분은 증착된 층으로부터 하이드록실(-OH) 부분(moieties)을 제거하는 증착후 단계에서 공극율과 수축율의 증대를 유발할 수 있다.
본 발명의 실시예에서, 상기 실리콘 함유 전구체는 탄소-프리 실리콘 함유 전구체일 수 있으며, 또는 실리콘-및-질소 함유 전구체일 수 있다. 그러한 전구체들 중 특수한 예로서는, 다른 시릴-아민들 중 H2N(SiH3), HN(SiH3)2 및 N(SiH3)3와 같은 시릴-아민들이 포함될 수 있다. 탄소-프리 실리콘 함유 전구체들의 다른 예로서는 N(SiH2SiH3)3뿐만 아니라 더 긴 시릴 사슬이 포함된다. 실리콘 함유 전구체의 유량은 여러가지 실시예에서 약 200sccm 또는 그 이상, 약 300sccm 또는 그 이상, 또는 약 500sccm 또는 그 이상일 수 있다. 본 명세서에서 제시된 모든 유량은 이중 챔버 기판 프로세싱 시스템을 인용한다. 단일 웨이퍼 시스템은 이 유량들의 절반을 필요로 할 것이며, 다른 웨이퍼 크기는 프로세싱되는 영역에 비례한 유량을 필요로 할 것이다. 이 시릴-아민들은 캐리어 가스, 반응 가스 또는 이들 모두로서 작용할 수 있는 추가적인 가스들과 혼합될 수 있다. 추가적인 가스들의 예로서는, 다른 가스들 중, H2, N2, NH3, He 및 Ar이 포함될 수 있다. 또한, 탄소-프리 실리콘 함유 전구체의 예로서는 실란(SiH4) 단독, 또는 다른 실리콘 함유 가스(예컨대, N(SiH3)3), 수소 함유 가스(예컨대, H2), 및/또는 질소 함유 가스(예컨대, N2, NH3)와 혼합된 실란이 포함될 수도 있다. 또한, 탄소-프리 실리콘 함유 전구체는 디실란, 트리실란, 고차(higher-order)의 실란들, 및 염소화된 실란, 이들 단독 또는 서로 또는 전술한 탄소-프리 실리콘 함유 전구체들과의 결합을 포함할 수 있다. 탄소-프리 실리콘 함유 전구체는 플라즈마-프리 기판 프로세싱 영역에 진입하기 전에 플라즈마 영역(예컨대, 원격 플라즈마 영역)에서 여기되지 않는다. 대체로 말하면, 본 발명의 실시예에서, 약간의 탄소를 가진 실리콘 함유 전구체가 사용될 수 있다. 탄소의 존재는 유동성을 증대시킬 수 있지만, 완성된 필름의 수축률을 또한 악화시킨다. 일부 응용예들은 수축률로 인한 피해보다 유동성 때문에 더 유리할 수 있다. 실시예들에서, 실리콘 함유 전구체에 약간의 탄소가 존재할 수 있으며, 예시적 실리콘 함유 전구체는 N(SiH2CH3)3를 포함한다. 다양한 다른 탄소-질소-및-실리콘 함유 전구체가 적절할 수 있으며, 시릴 사슬 길이를 조절하고 실리콘 원자들 중 하나 또는 둘 이상을 탄소로 치환함으로써 얻어질 수 있다.
라디칼 질소 전구체를 형성하기 위해 플라즈마 영역에 질소(N2)와 수소(H2)가 전달된다(단계 (104)). 상기 라디칼 질소 전구체는 질소(N2)와 수소(H2)로부터 기판 프로세싱 영역의 외부의 플라즈마 영역에서 발생된 질소 라디칼 함유 전구체이다. 예컨대, H2와 N2를 함유한 안정된 질소 전구체 화합물이 프로세싱 챔버 외부의 원격 플라즈마 시스템(RPS) 또는 챔버 플라즈마 영역에서 활성화되어 라디칼 질소 전구체를 형성할 수 있으며, 그 후, 라디칼 질소 전구체는 기판 프로세싱 영역으로 운반된다(단계 (106)). 수소의 유량은 여러가지 실시예에서 약 500sccm 또는 그 이상, 약 1slm 또는 그 이상, 또는 약 1.5slm 또는 그 이상일 수 있는 반면, 질소(N2)의 유량은 여러가지 실시예에서 약 250sccm 또는 그 이상, 약 500sccm 또는 그 이상, 또는 약 700sccm 또는 그 이상일 수 있다. 챔버 플라즈마 영역에서 생성된 라디칼 질소 전구체는 ·N, ·NH, ·NH2 등 중 하나 또는 그 이상일 수 있으며, 플라즈마에서 형성된 이온화된 종들을 수반할 수도 있다. 상기 라디칼 질소 전구체는 플라즈마-프리 기판 프로세싱 영역으로 유입된다(단계 (106)).
챔버 플라즈마 영역을 채용한 실시예에서, 상기 라디칼 질소 전구체는 증착 기판(예컨대, 반도체 웨이퍼) 상에 실리콘-및-질소층을 증착하기 위해 전구체들이 혼합되어 반응하는 증착 영역으로부터 구획된 기판 프로세싱 영역의 섹션에서 발생된다. 상기 라디칼 질소 전구체는 헬륨, 아르곤 등과 같은 캐리어 가스를 수반할 수도 있다. 상기 기판 프로세싱 영역은, 예컨대, 실리콘-및-질소 함유층의 성장시, 그리고 저온 오존 경화시, 본 명세서에서, "플라즈마-프리"라 칭할 수 있다. "플라즈마-프리"는 본질적으로 상기 영역에 플라즈마가 전혀 없다는 것을 의미하지는 않는다. 플라즈마 영역 내에서 생성되는 이온화된 종들은 격벽(샤워헤드)의 기공(개구)을 통과하지 않지만, 탄소-프리 실리콘 함유 전구체는 플라즈마 영역으로 인가되는 플라즈마 파워에 의해 실질적으로 여기되지 않는다. 챔버 플라즈마 영역의 플라즈마 경계들은 규정하기 어렵고, 샤워헤드의 개구를 통해 기판 프로세싱 영역으로 침투할 수 있다. 유도적으로 커플링된 플라즈마의 경우, 직접적으로 기판 프로세싱 영역 내에서 소량의 이온화가 이루어질 수 있다. 또한, 형성되는 필름의 유동성 특성을 제거하지 않고, 기판 프로세싱 영역에서 저밀도 플라즈마가 생성될 수 있다. 라디칼 질소 전구체의 생성시 챔버 플라즈마 영역 보다 훨씬 더 낮은 이온 밀도를 가진 플라즈마에 대한 모든 원인들은 본 명세서에 사용된 바와 같이 "플라즈마-프리"의 범위를 벗어나지 않는다.
기판 프로세싱 영역에서, 상기 탄소-프리 실리콘 함유 전구체와 상기 라디칼 질소 전구체는 혼합 반응하여 증착 기판 상에 실리콘-및-질소 함유 필름을 형성하게 된다(단계 (108)). 증착되는 실리콘-및-질소 함유 필름은 낮은 증착률을 초래하는 래시피 조합으로 균일하게(conformally) 증착될 수 있다. 다른 실시예에서, 증착되는 실리콘-및-질소 함유 필름은 통상의 실리콘 질화물(Si3N4) 필름 증착 기술과는 다른 유동성 특성을 갖는다. 형성물(formation)의 유동성 특성은 필름이 좁은 갭 트랜치 및 기판의 증착 표면 상의 다른 구조물로 흘러들어갈 수 있도록 한다.
유동성은 라디칼 질소 전구체와 탄소-프리 실리콘 함유 전구체의 혼합에 기인한 다양한 특성 때문일 수 있다. 이 특성들은 증착된 필름에서 의미있는 수소 성분 및/또는 단쇄형(short chained) 폴리실라잔 폴리머의 존재를 포함할 수 있다. 이러한 단쇄들이 성장하여 연결됨으로써, 필름 형성시 및 그 후에 더 치밀한 유전체 재료를 형성한다. 예를 들면, 증착된 필름은 실라잔 타입의 Si-NH-Si 백본(backbone)(즉, Si-N-H 필름)을 가질 수 있다. 실리콘 함유 전구체와 라디칼 질소 전구체들이 모두 탄소-프리인 경우, 증착되는 실리콘-및-질소 함유 필름도 실질적으로 탄소-프리이다. 물론, 본질적으로, "탄소-프리"는 필름이 소량의 탄소도 갖고 있지 않다라는 것을 의미하지는 않는다. 탄소 오염물은 상기 증착된 실리콘-및-질소 전구체 상태가 되는 전구체 재료에 존재할 수 있다. 그러나, 이러한 탄소 불순물의 양은 탄소 성분(예컨대, TEOS, TMDSO 등)을 가진 실리콘 함유 전구체에서 발견되는 것보다 훨씬 더 작다. 본 발명의 실시예들에서, 증착된 필름은 탄소-프리 Si-N-H 필름일 수 있다.
상기 실리콘-및-질소 함유층의 증착 후, 증착 기판은 오존 함유 분위기에서 경화 및/또는 어닐링될 수 있다(단계 (110)). 경화는 약 400℃ 또는 그 미만의 기판 온도로 오존 함유 분위기에서 이루어질 수 있다. 몇몇 조건(예컨대, 약 100℃ 내지 약 200℃의 기판 온도)하에서, 변환이 실질적으로 완료되는 것으로 밝혀졌으며, 이에 따라, 실시예에서 산소 함유 분위기에서 상대적으로 고온의 어닐링이 필요하지 않을 수 있다. 실리콘-및-질소 함유층의 경화에 이어서, 필름을 실리콘 산화물로 더 변환하기 위하여 산소 함유 분위기에서 기판을 어닐링하는 것이 바람직할 수 있다. 상기 산소 함유 분위기는, 다른 산소 함유 가스들 중, 산소 분자(O2), 오존(O3), 수증기(H2O), 과산화수소(H2O2) 및 질소 산화물(NO,NO2 등)과 같은 하나 또는 그 이상의 산소 함유 가스를 포함할 수 있다. 상기 산소 함유 분위기는 산소 원자(O), 수산화물(OH) 등과 같은 라디칼 산소 및 하이드록실 종을 또한 포함할 수 있으며, 이들은 원격지에서 발생되어 기판 챔버로 전달될 수 있다. 산소 함유종의 이온이 존재할 수도 있다. 상기 기판의 산소 어닐링 온도는 약 500℃ 내지 약 1100℃일 수 있다. 플라즈마가 사용되는 경우, 플라즈마는 기판 프로세싱 영역에, 샤워헤드에 의해 분리된 별도의 영역에, 또는 원격 플라즈마 시스템(RPS)에 있을 수 있다.
경화 및 산소 어닐링 모두의 산소 함유 분위기는 실리콘-및-질소 함유 필름을 실리콘 산화물(SiO2) 필름으로 변환시키기 위한 산소를 제공한다. 전술한 바와 같이, 실리콘-및-질소 함유 필름에서의 산소의 결핍은 최종 실리콘 산화물 필름에 현저히 적은 기공이 형성되는 결과를 초래한다. 또한, 실리콘 산화물로의 변환시 필름의 체적 감소(즉, 수축)를 적게 하는 결과를 초래한다. 예컨대, 탄소 함유 실리콘 전구체와 라디칼 질소로부터 형성되는 실리콘-및-질소 탄소 층은 실리콘 산화물로 변환될 때 40체적% 또는 그 초과 만큼 수축할 수 있는 반면, 실질적으로 탄소-프리 실리콘-및-질소 필름은 약 17체적% 또는 그 미만만큼 수축할 수 있다. 통상적으로, 플라즈마 영역으로 질소의 유동을 유도하여 증가시킴으로써 질소:수소 원자 유동비를 증가시키면 수축률이 더 저감하게 된다. 여러 가지 실시예에서, 수축률은 약 17체적% 또는 그 미만, 약 16체적% 또는 그 미만, 약 15체적% 또는 그 미만, 또는 약 14체적% 또는 그 미만이 될 수 있다. 여러 가지 실시예에서, 질소:수소 원자 유동비는 약 1:3(1/3) 또는 그 초과, 약 1:2(1/2) 또는 그 초과, 약 2:3(2/3) 또는 그 초과, 또는 약 1:1 또는 그 초과일 수 있다. 여기서, n2:m2의 비율은, n2/d2가 n1/d1 이상(또는 그 이하)이면, n1:d1 비율 이상(또는 이하)이라 한다.
일반적으로, 본 명세서에서 개시하고 있는 각각의 예들에서 안정된 질소 전구체는 질소(N2)와 수소(H2)를 모두 포함하고 있는 질소-및-수소 함유 가스이다. 안정된 질소 전구체는 질소와 수소를 포함하지만, 원격 플라즈마 영역에 대하여 그리고 그로부터 유동되는 H:N 비율을 선택할 때, 유동성을 증대시키기 위해, 실시예들에서, 본질적으로 암모니아 및/또는 하이드라진이 전혀 없을 수 있다. 암모니아(NH3)와 하이드라진(N2H4)은 각각 일정한 질소 대 수소 원자비를 포함하며, 어느 하나(또는 모두)가 포함되면 가능한 H:N 비율의 범위를 제한하게 될 것이다. 그럼에도 불구하고, 본 발명의 실시예들에서, 질소-및-수소 함유 가스는 암모니아(NH3) 및/또는 하이드라진(N2H4)을 더 포함할 수 있다. 약간(또는 전부)의 암모니아/하이드라진 대신 질소(N2)와 수소(H2)의 사용은 질소:수소 원자 유동비를 선택할 때 추가적인 유동성을 제공한다. 위에서 언급한 비교적 높은 원자 질소:수소 비율은 증착후 프로세싱에서 필름 품질을 개선하고 수축률을 저감하는 것으로 선택한다. 그러한 실시예들은 광범위한 공정 흐름들에서 필름을 통합하는데 도움이 된다. 또한, 필름의 유동성을 저감하기 위하여, 질소:수소 비율을 1:3 미만으로 저감하는 것도 가능하다. 이는 매우 좁은 트랜치를 충진하기 위해 바람직할 수 있다. 여러 가지 실시예에서, 질소:수소 원자 유동비는 약 1:3(1/3) 미만, 약 1:4 또는 그 미만, 약 1:5 또는 그 미만, 또는 약 1:7 또는 그 미만일 수 있다. 질소 유동을 증대시키면 거의 감소하는 필름 수축률은, 통상적으로, 플라즈마 영역으로 전달되는 수소가 증가하면 증대된다. 안정된 질소 전구체는 유동성은 저감시키지만 산소 함량은 증대시키는 산소 소오스를 더 함유할 수 있으며, 이에 따라, 실리콘 산화물로의 변환을 용이하게 한다.
이하, 도 2를 참조하면, 본 발명의 실시예에 따라 기판 갭에 실리콘 산화물 필름을 형성하기 위한 방법(200)에서 선택된 단계들을 나타낸 다른 흐름도가 도시되어 있다. 상기 방법(200)은 갭을 포함한 기판을 기판 프로세싱 영역으로 전송하는 단계(작업 (202))를 포함할 수 있다. 상기 기판은 당해 기판 상에 형성된 장치 요소(예컨대, 트랜지스터)들의 구조와 간격을 위한 복수의 갭을 가질 수 있다. 상기 갭은 1:1 보다 훨씬 더 큰 높이 대 폭(즉, H/W)의 종횡비(AR)(예컨대, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1 또는 그 초과, 등)를 규정하는 높이와 폭을 가질 수 있다. 많은 경우에서, 높은 종횡비는 약 90㎚ 내지 약 22㎚ 또는 그 미만의 범위인 작은 갭 폭(예컨대, 약 90㎚ 또는 그 미만, 65㎚ 또는 그 미만, 45㎚ 또는 그 미만, 32㎚ 또는 그 미만, 28㎚ 또는 그 미만, 22㎚ 또는 그 미만, 16㎚ 또는 그 미만 등)에 기인한다.
수소(H2)가 질소(N2)와 결합하고 챔버 플라즈마 영역에서 여기되어 라디칼 질소 전구체를 형성하게 된다(단계 (204)). 실시예들에서, 암모니아(NH3)는 챔버 플라즈마 영역으로 거의 전혀 유도되지 않으며, 이에 따라, 광범위한 질소:수소 원자 유동비가 선택가능하게 된다. H2 와 N2 의 결합은 챔버 플라즈마 영역에서 이루어지거나, 결합물이 그 영역으로 유입되기 전에 이루어질 수 있다. 어느 경우나, 플라즈마가 라디칼 질소 전구체를 생성하고, 이 전구체는 기판 프로세싱 영역으로부터 플라즈마 영역을 분리시키는 샤워헤드의 개구를 통해 유동한다. 상기 기판 프로세싱 영역에서 탄소-프리 실리콘 함유 전구체가 라디칼 질소 전구체와 혼합된다(작업 (206)). 상기 기판 상에 유동성 실리콘-및-질소 함유층이 증착된다(작업 (208)). 상기 층은 유동성이기 때문에, 충진 재료의 중앙 주변에 공극 또는 약한 시임을 형성하지 않고 높은 종횡비를 가진 갭을 충진할 수 있다. 예를 들어, 증착되는 유동성 재료는 갭이 완전히 충진되기 전에 갭의 상단을 미리 막아 갭의 중간에 공극을 남기는 경우가 거의 없다.
그 다음, 이미 증착된 실리콘-및-질소 함유층이 오존 함유 분위기에서 경화될 수 있고 및/또는 산소 함유 분위기에서 어닐링되어(작업 (210)), 실리콘-및-질소 함유층이 실리콘 산화물로 변환하도록 한다. 상기 실리콘 산화물 층을 치밀화하기 위해 더 높은 기판 온도의 불활성 분위기에서 추가적인 어닐링(미도시)이 실시될 수 있다. 산소 함유 분위기에서 이미 증착된 실리콘-및-질소 함유층의 경화와 어닐링은 기판 갭을 포함한 기판 상에 실리콘 산화물층을 형성한다(작업 (208)). 실시예에서, 상기 작업(208,210)의 프로세싱 매개변수는 도 1과 관련하여 설명한 동일한 범위를 포함한다. 전술한 바와 같이, 상기 실리콘 산화물층은 열처리 단계 전에 당해 층에 상당량의 탄소가 존재한 탄소 함유 전구체로 형성된 유사한 층보다 기공이 적고 체적 감소가 작다. 많은 경우에서, 체적 감소는 수축하는 실리콘 산화물로 인하여 갭에 형성되는 간격을 충진, 치유 또는 제거하기 위한 열처리후 단계들을 피할 정도로 충분히 작다(예컨대, 약 15체적% 또는 그 미만).
본 명세서에서 언급된 실리콘-및-질소 함유층 및 실리콘 산화물층은 프로세싱 시퀀스의 일부일 수 있다. 실리콘-및-질소 함유층은 전술한 산소 함유 분위기에서의 경화 및/또는 어닐링을 통해 실리콘 산화물층으로 변환될 수 있다. 이 문제와 관련하여, 용어 "유전체 층"은 본 명세서에서 실리콘-및-질소 함유층 또는 실리콘 산화물층 또는 임의의 중간층 중 어느 하나를 설명하기 위해 사용될 수 있다. 응용예에 따라, 상기 중간층이 주어진 목적을 위해 충분할 수 있으며, 실리콘 산화물로의 완전한 변환이 불필요할 수 있다. 따라서, "유전체 층"은 이러한 모든 가능성을 포함한다. 유전체 층을 형성하는 프로세싱에 관한 추가적인 세부사항들이 예시적인 유전체 증착 시스템에 대해 설명하는 도중에 개시되어 있다.
예시적 실리콘 산화물 증착 시스템
본 발명의 실시예들을 실행할 수 있는 증착 챔버들은, 다른 유형의 챔버들 중에서, 고밀도 플라즈마 화학기상증착(HDP-CVD) 챔버, 플라즈마 강화 화학기상증착(PECVD) 챔버, 감압 화학기상증착(SACVD) 챔버, 및 열 화학기상증착 챔버를 포함할 수 있다. 본 발명의 실시예들을 실행할 수 있는 CVD 시스템의 특수한 예들은, 칼리프, 산타 클라라에 소재한 어플라이드 머티어리얼사로부터 입수할 수 있는, CENTURA ULTIMA?HDP-CVD 챔버/시스템과 PRODUCER?PECVD 챔버/시스템을 포함한다.
본 발명의 예시적 방법들과 함께 사용될 수 있는 기판 프로세싱 챔버의 예는"유전체 갭충진을 위한 프로세스 챔버"란 명칭으로 2006년 5월 30일자로 출원되어 루보미르스키 등에 공동 양도된 미국 가특허 출원번호 제60/803,499호에 개시된 것들을 포함하고, 이의 전체 내용이 모든 목적을 위해 인용에 의해 본 명세서에 통합되어 있다. 추가적인 예시적 시스템은 미국특허 제6,387,207호 및 제6,830,624호에 개시된 것들을 포함하고, 이들은 모든 목적을 위해 인용에 의해 본 명세서에 통합되어 있다.
증착 시스템의 실시예들은 집적 회로 칩을 생산하기 위한 대형 제조 시스템에 통합될 수 있다. 도 3은 개시된 실시예에 따른 증착, 베이킹(baking) 및 경화 챔버의 그러한 하나의 시스템(300)을 도시하고 있다. 도면에서, 한 쌍의 FOUPs(전면 개구 통합형 포트)(302)가 기판(예컨대, 300㎜ 직경의 웨이퍼)을 공급하고 있으며, 이 기판들을 로봇 암(304)이 받아서 웨이퍼 프로세싱 챔버(308a 내지 308f)들 중 하나에 위치되기 전에 저압 유지 영역(306)에 위치시킨다. 상기 유지 영역(306)으로부터 프로세싱 챔버(308a 내지 308f)로 그리고 그 역으로 기판 웨이퍼를 운반하기 위해 제 2 로봇 암(310)이 사용될 수 있다.
상기 프로세싱 챔버(308a 내지 308f)는 기판 웨이퍼 상에 유동성 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 또는 그 이상의 시스템 부품을 포함할 수 있다. 하나의 구성에 있어서, 유동성 유전체 재료를 기판 상에 증착하기 위해 2쌍의 프로세싱 챔버(예컨대, 308c와 308d, 및 308e와 308f)가 사용될 수 있으며, 증착된 유전체를 어닐링하기 위해 제 3 쌍의 프로세싱 챔버(예컨대, 308a와 308b)가 사용될 수 있다. 다른 구성에 있어서, 동일한 2쌍의 프로세싱 챔버(예컨대, 308c와 308d, 및 308e와 308f)가 기판 상에서 유동성 유전체 필름의 증착과 어닐링 모두를 위해 사용될 수 있으며, 한편, 제 3 쌍의 프로세싱 챔버(예컨대, 308a와 308b)는 증착된 필름의 자외선 또는 E-빔 경화를 위해 사용될 수 있다. 또 다른 구성에 있어서, 모든 3쌍의 프로세싱 챔버(예컨대, 308a 내지 308f)가 기판 상에서 유동성 유전체 필름을 증착 및 경화하도록 구성될 수 있다. 또 다른 구성에 있어서, 2쌍의 프로세싱 챔버(예컨대, 308c와 308d, 및 308e와 308f)가 유동성 유전체의 증착과 자외선 또는 E-빔 경화 모두를 위해 사용될 수 있으며, 한편, 제 3 쌍의 프로세싱 챔버(예컨대, 308a와 308b)는 유전체 필름의 어닐링을 위해 사용될 수 있다. 개시된 프로세스들 중 임의의 하나 또는 그 이상이 여러가지 실시예에서 나타낸 제조 시스템으로부터 분리된 챔버(들)에서 실시될 수 있다.
또한, 상기 프로세싱 챔버(308a 내지 308f) 중 하나 또는 그 이상이 습식 처리 챔버로서 구성될 수 있다. 이 프로세스 챔버들은 습기를 포함한 분위기에서 유동성 유전체 필름의 가열을 포함한다. 따라서, 상기 시스템(300)의 실시예들은 증착된 유전체 필름에 대한 습식 및 건식 어닐링을 모두 실시하기 위해 습식 처리 챔버(308a와 308b)와 어닐링 프로세싱 챔버(308c와 308d)를 포함할 수 있다.
도 4a는 개시된 실시예에 따른 기판 프로세싱 챔버(500)이다. 원격 플라즈마 시스템(RPS)(410)이 가스를 프로세스할 수 있으며, 그 다음, 상기 가스는 가스 입구 조립체(411)를 통해 이동하게 된다. 2개의 분리된 가스 공급 채널들을 가스 입구 조립체(411) 내에서 볼 수 있다. 제 1 채널(412)은 원격 플라즈마 시스템(RPS)(410)을 통과하는 가스를 운반하는 반면, 제 2 채널(413)은 RPS(410)를 바이패스한다. 개시된 실시예에서, 제 1 채널(412)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(413)은 처리 가스를 위해 사용될 수 있다. 덮개(또는 전도성 상단부)(421)와 다공성 격벽(453)이 그들 사이의 절연링(424)과 함께 도시되어 있으며, 상기 절연링은 AC 전위가 다공성 격벽(453)에 대해 덮개(421)로 인가될 수 있도록 한다. 프로세스 가스는 제 1 채널(412)을 통해 챔버 플라즈마 영역(420)으로 이동하며, 챔버 플라즈마 영역(420) 내의 플라즈마에 의해 단독으로, 또는 RPS(410)와 조합하여 여기될 수 있다. 챔버 플라즈마 영역(420) 및/또는 RPS(410)의 조합을 본 명세서에서 원격 플라즈마 시스템이라 칭할 수 있다. ('샤워헤드'라고도 칭하는)상기 다공성 격벽(453)은 샤워헤드(453) 아래의 기판 프로세싱 영역(470)으로부터 챔버 플라즈마 영역(420)을 분리시킨다. 샤워헤드(453)는 챔버 플라즈마 영역(420)에 존재하는 플라즈마가 기판 프로세싱 영역(470)의 가스를 직접 여기시키지 않도록 하는 한편, 여기된 종들이 챔버 플라즈마 영역(420)으로부터 기판 프로세싱 영역(470)으로 이동할 수 있도록 한다.
샤워헤드(453)는 챔버 플라즈마 영역(420)과 기판 프로세싱 영역(470) 사이에 위치되며, 챔버 플라즈마 영역(420) 내부에 생성된 플라즈마 유출물(전구체 또는 다른 가스들의 여기된 유도체들)이 복수의 관통홀(456)을 통과할 수 있도록 하며, 상기 관통홀은 판의 두께를 횡단한다. 또한, 상기 샤워헤드(453)는 (실리콘 함유 전구체와 같이) 증기 또는 가스 형태의 전구체로 충진될 수 있는 하나 또는 그 이상의 빈 공간(451)을 갖고, 상기 전구체는 작은 홀(455)을 통해 기판 프로세싱 영역(470)으로 이동하나, 챔버 플라즈마 영역(420)으로는 직접 이동하지 않는다. 개시된 본 실시예에서, 샤워헤드(453)는 관통홀(456)의 최소 직경(450)의 길이보다 더 두껍다. 챔버 플라즈마 영역(420)으로부터 기판 프로세싱 영역(470)으로 침투하는 여기된 종들의 중요한 농도를 유지하기 위해, 상기 관통홀의 최소 직경(450)의 길이(426)는 샤워헤드(453)에서 약간 떨어져 관통홀(456)의 더 큰 직경 부분을 형성함으로써 제한될 수 있다. 개시된 본 실시예에서, 상기 관통홀(456)의 최소 직경(450)의 길이는 관통홀(456)의 최소 직경과 동일하거나 그보다 작을 수 있다.
도시된 실시예에서, 샤워헤드(453)는 산소, 수소 및/또는 질소를 포함한 프로세스 가스 및/또는 챔버 플라즈마 영역(420)의 플라즈마에 의해 여기될 때 그러한 프로세스 가스들의 플라즈마 유출물을 (관통홀(456)을 통해) 분배할 수 있다. 실시예에서, RPS(410) 및/또는 챔버 플라즈마 영역(420)에서 여기되는 프로세스 가스는 미리 결정된 질소:수소 원자 유동비를 도출해내기 위하여 상대적인 유량을 가진 수소(H2)와 질소(N2)를 포함한다. 일반적으로, 제 1 채널(412)을 통해 RPS(410) 및/또는 챔버 플라즈마 영역(420)으로 유도되는 프로세스 가스는 산소(O2), 오존(O3), N2O, NO, NO2, NH3, 그리고 N2H4, 실란, 디실란, TSA 및 DSA를 포함하는 NxHy 중 하나 또는 그 이상을 포함할 수 있다. 또한, 상기 프로세스 가스는 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 또한, 상기 제 2 채널(413)은 프로세스 가스 및/또는 캐리어 가스, 및/또는 성장하거나 이미 증착된 필름으로부터 원하지 않는 성분을 제거하기 위해 사용되는 필름-경화 가스를 전달할 수 있다. 플라즈마 유출물은 프로세스 가스의 이온화되거나 중성의 유도체를 포함할 수 있으며, 유도된 프로세스 가스의 원자 성분을 인용하여 본 명세서에서는 라디칼 산소 전구체 및/또는 라디칼 질소 전구체라 칭할 수 있다.
실시예에서, 관통홀(456)의 수는 약 60 내지 약 2000개일 수 있다. 관통홀(456)은 다양한 형상을 가질 수 있으나, 가장 용이하게 원형으로 제조된다. 개시된 실시예에서, 관통홀(456)의 최소 직경(450)은 약 0.5㎜ 내지 약 20㎜ 이거나, 약 1㎜ 내지 약 6㎜일 수 있다. 또한, 관통홀의 단면 형상의 선택에 있어서 자유도가 있으며, 이는 원뿔형, 원통형 또는 이 두가지 형상의 조합으로 제조될 수 있다. 여러가지 실시예에서, 가스를 기판 프로세싱 영역(470)으로 유도하기 위해 사용되는 작은 홀(455)의 수는 약 100 내지 약 5000개 또는 약 500 내지 약 2000개일 수 있다. 상기 작은 홀(455)의 직경은 약 0.1㎜ 내지 약 2㎜일 수 있다.
도 4b는 개시된 실시예에 따른 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(453)의 저면도이다. 샤워헤드(453)는 도 4a에 도시된 샤워헤드와 대응한다. 관통홀(456)은 샤워헤드(453)의 바닥에서 더 큰 내경(ID)을 갖고 상단에서 더 작은 ID을 갖는 것으로 도시되어 있다. 본 명세서에 개시된 다른 실시예들 보다 더 균일한 혼합을 제공하도록 관통홀(456) 사이에서, 작은 홀(455)들이 샤워헤드의 표면에 실질적으로 균일하게 분포되어 있다.
관통홀(456)을 통해 샤워헤드(453)에 도달하는 플라즈마 유출물이 빈 공간(451)으로부터 연장된 작은 홀(455)들을 통해 도달하는 실리콘 함유 전구체와 결합될 때, 기판 프로세싱 영역(470) 내부의 페데스탈(미도시)에 의해 지지된 기판 상에 예시적 필름이 생성된다. 기판 프로세싱 영역(470)이 경화와 같은 다른 프로세스를 위해 플라즈마를 지원하도록 설비될 수 있으나, 상기 예시적 필름이 성장할 때는 플라즈마가 존재하지 않는다.
플라즈마는 샤워헤드(453) 위의 챔버 플라즈마 영역(420) 또는 샤워헤드(453) 아래의 기판 프로세싱 영역(470)에서 점화될 수 있다. 질소-및-수소 함유 가스의 유입으로부터 라디칼 질소 전구체를 생성하기 위한 플라즈마가 챔버 플라즈마 영역(420)에 존재한다. 증착시 챔버 플라즈마 영역(420)에서 플라즈마를 점화하기 위해, 샤워헤드(453)와 프로세싱 챔버의 전도성 상단부(421) 사이에 전형적으로 무선 주파수(RF) 범위의 AC 전압이 인가된다. RF 파워 서플라이는 13.56㎒의 높은 RF 주파수를 발생시키지만, 다른 주파수를 단독으로 발생시키거나, 13.56㎒ 주파수와 조합하여 발생시킬 수도 있다.
기판 프로세싱 영역(470)과 접한 내부 표면들을 세척하거나 필름을 경화시키기 위해 기판 프로세싱 영역(470)에서 하위 플라즈마가 점화될 때, 상위 플라즈마는 저전력 또는 무전력으로 남을 수 있다. 기판 프로세싱 영역(470)의 플라즈마는 샤워헤드(453)와 챔버의 페데스탈 또는 바닥 사이에 AC 전압을 인가함으로써 점화된다. 플라즈마가 존재할 때, 세척 가스가 기판 프로세싱 영역(470)으로 도입될 수 있다.
상기 페데스탈은 기판의 온도를 제어하기 위해 열교환 유체가 통해 흐르는 열교환 채널을 가질 수 있다. 이 구조는 기판 온도가 비교적 저온(실온 내지 약 120℃까지)으로 유지되도록 냉각 또는 가열될 수 있도록 한다. 상기 열교환 유체는 에틸렌 글리콜과 물을 포함할 수 있다. 상기 페데스탈(바람직하게, 알루미늄, 세라믹, 또는 이들의 조합)의 웨이퍼 지지 플래터(platter)는 평행한 동심원 형태로 2개의 완전 턴(turns)을 만들도록 구성된 내장식 단일 루프 또는 내장식 히터 요소를 사용하여 비교적 고온(약 120℃ 내지 약 1100℃)을 실현하기 위해 저항식으로 가열될 수 있다. 상기 히터 요소의 외부는 지지 플래터의 원주에 인접하여 연장될 수 있는 반면, 내부는 더 작은 반경을 가진 동심원의 경로 상으로 연장한다. 상기 히터 요소에 대한 배선은 페데스탈의 스템을 통과한다.
상기 기판 프로세싱 시스템은 시스템 컨트롤러에 의해 제어된다. 예시적 실시예에서, 상기 시스템 컨트롤러는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 프로세서를 포함한다. 상기 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디자털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 컨트롤러 보드를 포함한다. CVD 시스템의 다양한 부품들은 보드, 카드 케이지 및 커넥터 치수 및 유형을 규정한 VME(Versa Modular European) 표준을 따른다. 또한, VME 표준은 16비트 데이타 버스와 24비트 어드레스 버스를 가진 버스 구조를 규정한다.
시스템 컨트롤러는 CVD 기계의 모든 활성도를 제어한다. 시스템 컨트롤러는 컴퓨터 판독가능한 매체 내에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행한다. 바람직하게, 상기 매체는 하드 디스크 드라이브이나, 다른 종류의 메모리일 수도 있다. 컴퓨터 프로그램은 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서셉터 위치, 및 특정 프로세스의 다른 변수를 명령하는 일군의 명령어를 포함한다. 예를 들어, 플로피 디스크 또는 다른 적합한 드라이브를 포함하는 다른 메모리 장치 상에 저장된 다른 컴퓨터 프로그램이 시스템 컨트롤러에 대해 지시하는데 사용될 수도 있다.
기판 상에 필름 스택을 적층하기 위한 프로세스 또는 챔버를 세척하기 위한 프로세스는 상기 시스템 컨트롤러에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 종래의 컴퓨터 판독 가능한 프로그래밍 언어, 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 기타 언어로 기록될 수 있다. 적합한 프로그램 코드가 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일로 등록되며, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장된다. 등록된 코드 텍스트가 고차원 언어라면, 코드는 압축되고 그 결과적인 컴파일러 코드가 프리컴파일형 마이크로소프트 윈도우(등록 상표) 라이브러리 루틴의 목적 코드와 연결된다. 연결된, 컴파일 목적 코드를 실행하기 위해, 시스템 유저는 목적 코드를 호출하여 컴퓨터 시스템이 메모리에 코드를 로딩하게 한다. 그 후 CPU는 코드를 판독하고 실행하여 프로그램에서 확인된 임무를 수행한다.
사용자와 컨트롤러 사이의 인터페이스는 평판형 터치 감응형 모니터이다. 바람직한 실시예에서, 2개의 모니터가 사용되는데, 하나는 작업자를 위해서 클린룸 벽(clean room wall)에 장착되고 다른 하나는 서비스 기술자를 위해서 벽의 뒤에 장착된다. 상기 2개의 모니터는 동일한 정보를 동시에 디스플레이할 수 있지만, 그 경우, 단지 하나가 한번에 입력을 받아들인다. 특정의 스크린 또는 기능을 선택하기 위해서, 작업자는 터치 감응형 모니터의 지정된 부위를 터치한다. 터치된 부위는 그의 하이라이트된 색을 변화시키거나, 새로운 매뉴 또는 스크린이 디스플레이되어, 터치 감응형 모니터와 작업자 사이의 통신을 확인한다. 그 밖의 디바이스, 예컨대, 키보드, 마우스, 또는 다른 포인팅 또는 통신 장치가 터치 감응형 모니터 대신 또는 그에 추가로 사용되어 사용자가 시스템 컨트롤러와 통신할 수 있게 할 수 있다.
본 명세서에서 사용된 바와 같이, "기판"은 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다. 상기 지지 기판은 절연체이거나, 다양한 도핑 농도 및 프로파일을 가진 반도체일 수 있으며, 예컨대, 집적 회로의 제조에 사용되는 유형의 반도체 기판일 수 있다. "실리콘 산화물"의 층은 질소, 수소, 탄소 등과 같은 다른 원소 성분의 소수의 농도를 포함할 수 있다. 본 발명의 몇몇 실시예에서, 실리콘 산화물은 본질적으로 실리콘과 산소를 포함한다. "여기된 상태"의 가스는 가스 분자들중 적어도 일부가 진동 여기되거나, 분해되거나 및/또는 이온화된 상태의 가스를 나타낸다. 가스(또는 전구체)는 2개 또는 그 이상의 가스(또는 전구체)의 조합일 수 있다. 용어 "트랜치"는 에칭된 기하학적 구조가 본질적으로 큰 수평적 종횡비를 갖는다는 암시 없이 전체적으로 사용되었다. 표면 위에서 봤을 때, 트랜치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형태를 나타낼 수 있다. 용어 "비아"는 수직한 전기적 접속을 형성하기 위해 금속으로 충진되거나 충진되지 않은 낮은 종횡비 트랜치를 인용하기 위해 사용되었다. 용어 "전구체"는 표면으로부터 재료를 제거하거나 증착하기 위해 반응에 참여하는 임의의 프로세스 가스(또는 증발된 액적)을 인용하기 위해 사용되었다.
몇 개의 실시예들을 개시함으로써, 본 발명의 사상을 벗어나지 않고 다양한 변형, 선택적 구성, 및 등가물들이 이용될 수 있다는 것을 당업자들은 인식할 것이다. 부가적으로, 공지된 프로세스들 및 부재들의 수는 본 발명이 불필요하게 불명료해지는 것을 방지하기 위해 개시되지 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되어서는 안된다.
값들이 범위가 제공될 때, 별다른 명확한 지시가 없다면, 이들 범위의 상한치 및 하한치 사이에서 각각 하한치 유니트의 10 정도의 중간 값이 특정하게 개시된다는 것이 이해될 것이다. 임의의 언급된 값 또는 언급된 범위에서의 중간 값과 임의의 다른 언급된 또는 언급된 범위에서의 중간 값 간에 각각의 작은 범위가 포함된다. 이들 작은 범위들의 상한치 및 하한치들은 상기 범위에 독립적으로 포함되거나 배제될 수 있으며, 작은 범위내에 제한치들중 하나 또는 둘다가 포함되거나 또는 둘다가 포함되지 않는 경우 각각의 범위는 본 발명의 범주내에 포함되며, 만약 상한치 또는 하한치가 상기 작은 범위 내에 포함되나, 상기 언급된 범위에는 포함되지 않는다면 그러한 상한치 또는 하한치는 본원 발명에 포함되지 않을 것이다. 언급된 범위가 제한치들 중 하나 또는 둘 다를 포함하는 경우, 이들 포함된 제한치들중 하나 또는 둘다를 배제하는 범위가 포함된다.
본 명세서 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태의 "a" "an" 및 "상기(the)"는 문맥상 별다른 언급이 없다면 다수의 참조물들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 참조물은 다수의 이러한 프로세스들을 포함하며, "상기 전구체(the precursor)"란 참조물은 당업자에게 공지되고 알려진 하나 이상의 전구체들 및 등가물들에 대한 참조물을 포함한다.
또한, 본 명세서 및 하기 청구항들에서 사용되는 포함하는("comprise," "comprising," "include," "including," 및 "includes")은 언급된 피쳐들, 정수들, 부품들 또는 단계들의 존재를 특정하기 위한 것으로, 하나 이상의 다른 피쳐들, 정수들, 부품들, 단계들, 동작들 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (20)

  1. 기판 프로세싱 챔버의 플라즈마-프리 기판 프로세싱 영역에서 기판 상에 유전체 층을 형성하기 위한 방법으로서,
    라디칼 질소 전구체를 생성하기 위해 질소-및-수소 함유 가스를 플라즈마 영역으로 유동시키는 단계이며, 상기 질소-및-수소 함유 가스는 플라즈마 영역으로의 질소:수소 원자 유동비를 선택함에 있어서 더 큰 변동성(flexibility)을 허용하는 수소(H2)와 질소(N2)를 포함하는, 유동 단계;
    상기 플라즈마-프리 기판 프로세싱 영역에서 상기 라디칼 질소 전구체와 실리콘 함유 전구체를 결합시키는 단계; 및
    상기 기판 상에 상기 유전체 층을 증착하는 단계;를 포함하는,
    유전체 층의 형성 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 탄소-프리 실리콘 함유 전구체인,
    유전체 층의 형성 방법.
  3. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 실란, 디실란 또는 트리실란 중 적어도 하나를 포함하는,
    유전체 층의 형성 방법.
  4. 제 1 항에 있어서,
    상기 질소:수소 원자 유동비는 필름 품질을 향상시키고 필름 수축을 저감하기 위해 1:3 보다 큰,
    유전체 층의 형성 방법.
  5. 제 1 항에 있어서,
    상기 질소:수소 원자 유동비는 필름 유동성을 향상시키기 위해 1:3 미만인,
    유전체 층의 형성 방법.
  6. 제 1 항에 있어서,
    상기 질소:수소 원자 유동비는 약 1:2 또는 그 초과인,
    유전체 층의 형성 방법.
  7. 제 1 항에 있어서,
    상기 질소:수소 원자 유동비는 약 1:5 또는 그 미만인,
    유전체 층의 형성 방법.
  8. 제 1 항에 있어서,
    상기 질소-및-수소 함유 가스는 암모니아를 본질적으로 포함하지 않는,
    유전체 층의 형성 방법.
  9. 제 1 항에 있어서,
    상기 질소-및-수소 함유 가스는 하이드라진을 본질적으로 포함하지 않는,
    유전체 층의 형성 방법.
  10. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 실리콘-및-질소 함유 전구체를 포함하는,
    유전체 층의 형성 방법.
  11. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 탄소-질소-및-실리콘 함유 전구체를 포함하는,
    유전체 층의 형성 방법.
  12. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 H2N(SiH3), HN(SiH3)2 또는 N(SiH3)3 중 적어도 하나를 포함하는,
    유전체 층의 형성 방법.
  13. 제 1 항에 있어서,
    상기 유전체 층은 탄소-프리 Si-N-H 층을 포함하는,
    유전체 층의 형성 방법.
  14. 제 1 항에 있어서,
    오존 함유 분위기에서 약 400℃ 또는 그 미만의 경화 온도로 기판의 온도를 유지함으로써, 상기 유전체 층을 경화하는 작업을 더 포함하는,
    유전체 층의 형성 방법.
  15. 제 1 항에 있어서,
    산소 함유 분위기에서 약 600℃ 또는 그 초과의 산소 어닐링 온도로 기판의 온도를 승온하는 단계를 더 포함하는,
    유전체 층의 형성 방법.
  16. 제 15 항에 있어서,
    상기 산소 함유 분위기는 원자 산소, 오존 및 증기(H2O)로 이루어진 군으로부터 선택된 하나 또는 둘 이상의 가스를 포함하는,
    유전체 층의 형성 방법.
  17. 제 1 항에 있어서,
    상기 기판은 패턴화되며, 약 50㎚ 또는 그 미만의 폭을 가진 트랜치를 갖는,
    유전체 층의 형성 방법.
  18. 제 17 항에 있어서,
    상기 트랜치 내의 실리콘 산화물 층은 실질적으로 공극-프리인,
    유전체 층의 형성 방법.
  19. 제 1 항에 있어서,
    상기 플라즈마 영역이 원격 플라즈마 시스템에 있는,
    유전체 층의 형성 방법.
  20. 제 1 항에 있어서,
    상기 플라즈마 영역이 샤워헤드에 의해 플라즈마-프리 기판 프로세싱 영역으로부터 분리된 기판 프로세싱 챔버의 구획된 부분인,
    유전체 층의 형성 방법.
KR1020127020071A 2009-12-30 2010-12-16 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장 KR20120111738A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US29105409P 2009-12-30 2009-12-30
US61/291,054 2009-12-30
US12/969,877 US8629067B2 (en) 2009-12-30 2010-12-16 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US12/969,877 2010-12-16

Publications (1)

Publication Number Publication Date
KR20120111738A true KR20120111738A (ko) 2012-10-10

Family

ID=44188077

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127020071A KR20120111738A (ko) 2009-12-30 2010-12-16 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장

Country Status (7)

Country Link
US (1) US8629067B2 (ko)
JP (1) JP2013516763A (ko)
KR (1) KR20120111738A (ko)
CN (1) CN102687252A (ko)
SG (1) SG181670A1 (ko)
TW (1) TW201131653A (ko)
WO (1) WO2011090626A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190130466A (ko) * 2017-04-13 2019-11-22 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Family Cites Families (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
JP3617497B2 (ja) 2001-12-25 2005-02-02 松下電器産業株式会社 超音波ボンディング装置および超音波ボンディング方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
WO2005069498A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
JP4810813B2 (ja) 2004-09-10 2011-11-09 Jsr株式会社 架橋重合体およびその製造方法
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
ATE437978T1 (de) 2006-04-03 2009-08-15 L Air Liquide Soc Anon A Direc Verfahren zur abscheidung von siliciumnitridfilmen und/oder siliciumoxidnitridfilmen mittels cvd
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) * 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190130466A (ko) * 2017-04-13 2019-11-22 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치

Also Published As

Publication number Publication date
US20110159703A1 (en) 2011-06-30
WO2011090626A2 (en) 2011-07-28
SG181670A1 (en) 2012-07-30
WO2011090626A3 (en) 2011-10-27
CN102687252A (zh) 2012-09-19
US8629067B2 (en) 2014-01-14
TW201131653A (en) 2011-09-16
JP2013516763A (ja) 2013-05-13

Similar Documents

Publication Publication Date Title
US8647992B2 (en) Flowable dielectric using oxide liner
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US8329262B2 (en) Dielectric film formation using inert gas excitation
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US8304351B2 (en) In-situ ozone cure for radical-component CVD
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
US20120083133A1 (en) Amine curing silicon-nitride-hydride films
JP2013508975A (ja) 引張膜のための応力管理
KR20130135301A (ko) 라디칼 증기 화학 기상 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application