JP5398258B2 - 誘電体スタック及びそれを備える相互接続構造体 - Google Patents
誘電体スタック及びそれを備える相互接続構造体 Download PDFInfo
- Publication number
- JP5398258B2 JP5398258B2 JP2008500925A JP2008500925A JP5398258B2 JP 5398258 B2 JP5398258 B2 JP 5398258B2 JP 2008500925 A JP2008500925 A JP 2008500925A JP 2008500925 A JP2008500925 A JP 2008500925A JP 5398258 B2 JP5398258 B2 JP 5398258B2
- Authority
- JP
- Japan
- Prior art keywords
- dielectric
- layer
- stack
- present
- nanolayer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000003989 dielectric material Substances 0.000 claims description 47
- 239000002052 molecular layer Substances 0.000 claims description 42
- 239000000758 substrate Substances 0.000 claims description 34
- 229910052710 silicon Inorganic materials 0.000 claims description 22
- 239000004065 semiconductor Substances 0.000 claims description 19
- 125000004430 oxygen atom Chemical group O* 0.000 claims description 16
- 125000004432 carbon atom Chemical group C* 0.000 claims description 13
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 13
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 7
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 6
- 239000010410 layer Substances 0.000 description 175
- 239000011810 insulating material Substances 0.000 description 59
- 239000000463 material Substances 0.000 description 45
- 239000002243 precursor Substances 0.000 description 38
- 239000004020 conductor Substances 0.000 description 36
- 229910052751 metal Inorganic materials 0.000 description 20
- 239000002184 metal Substances 0.000 description 20
- 238000005498 polishing Methods 0.000 description 19
- 238000000151 deposition Methods 0.000 description 17
- 239000011229 interlayer Substances 0.000 description 17
- 230000004888 barrier function Effects 0.000 description 15
- 238000009792 diffusion process Methods 0.000 description 15
- 239000007789 gas Substances 0.000 description 15
- 238000000034 method Methods 0.000 description 13
- 239000000203 mixture Substances 0.000 description 11
- 230000008021 deposition Effects 0.000 description 10
- 229910052739 hydrogen Inorganic materials 0.000 description 9
- 238000012545 processing Methods 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- -1 SiCOH Inorganic materials 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 230000008569 process Effects 0.000 description 7
- POFFJVRXOKDESI-UHFFFAOYSA-N 1,3,5,7-tetraoxa-4-silaspiro[3.3]heptane-2,6-dione Chemical compound O1C(=O)O[Si]21OC(=O)O2 POFFJVRXOKDESI-UHFFFAOYSA-N 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000005855 radiation Effects 0.000 description 6
- 239000004215 Carbon black (E152) Substances 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 238000010894 electron beam technology Methods 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 229930195733 hydrocarbon Natural products 0.000 description 5
- 150000002430 hydrocarbons Chemical class 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 239000007800 oxidant agent Substances 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 238000011282 treatment Methods 0.000 description 5
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- DGXPASZXUJQWLQ-UHFFFAOYSA-N diethyl(methoxy)silane Chemical compound CC[SiH](CC)OC DGXPASZXUJQWLQ-UHFFFAOYSA-N 0.000 description 4
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 239000012705 liquid precursor Substances 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 125000004433 nitrogen atom Chemical group N* 0.000 description 4
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 239000011148 porous material Substances 0.000 description 4
- 238000012805 post-processing Methods 0.000 description 4
- 239000003870 refractory metal Substances 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 238000000137 annealing Methods 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 238000001723 curing Methods 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 229920000412 polyarylene Polymers 0.000 description 3
- 239000003361 porogen Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 238000002679 ablation Methods 0.000 description 2
- 238000000862 absorption spectrum Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 150000002170 ethers Chemical class 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 229920001187 thermosetting polymer Polymers 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- GJEZBVHHZQAEDB-UHFFFAOYSA-N 6-oxabicyclo[3.1.0]hexane Chemical compound C1CCC2OC21 GJEZBVHHZQAEDB-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 238000003302 UV-light treatment Methods 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 238000013532 laser treatment Methods 0.000 description 1
- 125000005647 linker group Chemical group 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 125000003107 substituted aryl group Chemical group 0.000 description 1
- 150000003457 sulfones Chemical class 0.000 description 1
- 150000003462 sulfoxides Chemical class 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 230000001131 transforming effect Effects 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000002211 ultraviolet spectrum Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02351—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02354—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Optics & Photonics (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Laminated Bodies (AREA)
- Organic Insulating Materials (AREA)
- Insulating Bodies (AREA)
Description
亀裂形成に関する上記の問題は、低k誘電体に限らず、熱及び機械的歪みを受けるときに壊れ易くなる他の材料にも当てはまる。
上記構造体において、絶縁層の各々は本発明の低k誘電体スタックを含むことができる。
幾つかの実施形態では、誘電体キャップ自体が本発明の低k誘電体スタックを含むことができる。
基板を反応器チャンバ内に準備するステップと、
前記の基板の表面上に低k誘電体膜を少なくとも第1の誘電体前駆体から堆積させるステップであって、前記の堆積させるステップ中に、前記の第1の誘電体前駆体はナノ層前駆体に変化し、それによって少なくともSi及びO原子を含む少なくとも1つのナノ層が低k誘電体膜に導入される、ステップと
を含む。
基板10が半導体材料を含む場合、相補型金属酸化物半導体(CMOS)デバイスのような1つ又は複数の半導体デバイスをその上に作成することができる。明瞭にするために、この1つ又は複数の半導体デバイスは、本願の図面には示されていない。
スタック内の低k誘電体膜(又は材料)の各々は多孔質であることが好ましい。孔は、典型的には、堆積後に硬化法を用いて除去されるポロゲン(porogen)を、堆積プロセス中に導入することによって形成される。幾つかの実施形態では、用いられる前駆体の1つはポロゲン材料とすることができる。
膜スタック12に導入されるナノ層16は、ナノメートル域内の厚さを有する。典型的には、ナノ層16は、約1nmから約100nmまでの厚さを有し、約2nmから約10nmまでの厚さがより典型的である。本発明のナノ層16は、少なくともSi及びO原子、そして随意にC、H及びN原子を含むその場の(in situ)ナノ層である。本発明のナノ層の例証的な例としては、SiCOH、SiCOHN、SiO2、SiCOx、SiON又はそれらの多層が挙げられる。所与の誘電体スタック12内の各ナノ層16の組成物は同じか又は異なるものとすることができる。
第1の前駆体は、1,3,5,7−テトラメチルシクロテトラシロキサン(「TMCTS」又は「C4H16O4Si4」)、オクタメチルシクロテトラシロキサン(OMCTS)、ジエトキシメチルシラン(DEMS)、ジメチルジメトキシシラン(DMDMOS)、ジエチルメトキシシラン(DEMOS)、並びに関連する環状及び非環状シラン、シロキサンなどのSiCOH構成成分を含む環構造を有する有機分子から選択されることが好ましい。
上記の実施例においては液体前駆体が用いられるが、オルガノシリコン気相前駆体(例えばトリメチルシラン)もまた堆積のために用いることができることは当技術分野において既知である。低k誘電体膜12の堆積中にポロゲンを含めることができ、これが後の硬化ステップ中に膜12内に孔(pore)形成を引き起こす。
ナノ層前駆体は、不活性ガス及び/又は酸化剤と共に用いることができる。不活性ガス及び酸化剤は上記のものと同じものとすることができる。
随意に、約30Wと約400Wの間の低周波電力をプラズマに加えることができる。
所望ならば、本発明の誘電体スタック12の後処理は、熱、電子線、プラズマ、マイクロ波又はUV若しくはレ−ザーなどの光学放射のようなエネルギー源を利用して実施することができる。上記のエネルギー源の組合せもまた本発明において用いることができる。
UV光処理ステップは、不活性ガス、水素源ガス、又は上記の分圧範囲を用いるO2及びH2Oのガス混合物の中で実施することができる。
電子線処理ステップは、不活性ガス、水素源ガス、又は上記の分圧範囲を用いるO2及びH2Oのガス混合物の中で実施することができる。
12:誘電体スタック
14:誘電体材料(低k誘電体膜)
16:ナノ層
30、60、70、80:電子デバイス
32:シリコン基板
34:絶縁材料層
38、44:誘電体スタック(絶縁層)
40:導電体層
50:導電体領域
62、72,74:誘電体キャップ層
82、84、86:誘電体層
92:ビア
94:相互接続部
96:拡散バリア層
Claims (5)
- 2.8以下の誘電率を有する三次元ネットワークで結合したSi、C、O及びH原子を含んだ無機誘電体を含む少なくとも1つの誘電体材料と、
SiCOH、SiCOHN、SiO 2 、SiCO x 又はSiONからなる少なくとも1つのナノ層と、を有する半導体構造体の誘電体スタックであって、
前記少なくとも1つのナノ層が、2〜10nmの厚さを有し、かつ前記少なくとも1つの誘電体材料内に各ナノ層を境にして当該誘電体材料が上下に分離されるように組み込まれることにより、当該ナノ層を有しない誘電体スタックよりも小さな亀裂速度を有する、誘電体スタック。 - 前記少なくとも1つの誘電体材料は、多孔質、非多孔質又はこれらの組合せである、請求項1に記載の誘電体スタック。
- 前記誘電体スタックは、1.2μmの厚さにおいて1×10-10m/秒より小さな亀裂速度を有する、請求項1に記載の誘電体スタック。
- 前記誘電体スタックは、60MPaより小さな応力、7.5GPaより大きな弾性率、及び1.0GPaより大きな硬度を有する、請求項3に記載の誘電体スタック。
- 基板上に配置された相互接続構造体であって、
誘電体スタックを備え、当該誘電体スタックは、
2.8以下の誘電率を有する、三次元ネットワークで結合したSi、C、O及びH原子を含んだ無機誘電体を含む少なくとも1つの誘電体材料と、
SiCOH、SiCOHN、SiO 2 、SiCO x 又はSiONからなる少なくとも1つのナノ層とを有し、さらに、
前記少なくとも1つのナノ層が、2〜10nmの厚さを有し、かつ前記少なくとも1つの誘電体材料内に各ナノ層を境にして当該誘電体材料が上下に分離されるように組み込まれることにより、当該ナノ層を有しない誘電体スタックよりも小さな亀裂速度を有する、相互接続構造体。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/906,815 US7265437B2 (en) | 2005-03-08 | 2005-03-08 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
US10/906,815 | 2005-03-08 | ||
PCT/US2006/008449 WO2006096813A2 (en) | 2005-03-08 | 2006-03-08 | Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2008537639A JP2008537639A (ja) | 2008-09-18 |
JP2008537639A5 JP2008537639A5 (ja) | 2009-02-12 |
JP5398258B2 true JP5398258B2 (ja) | 2014-01-29 |
Family
ID=36954026
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008500925A Active JP5398258B2 (ja) | 2005-03-08 | 2006-03-08 | 誘電体スタック及びそれを備える相互接続構造体 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7265437B2 (ja) |
EP (1) | EP1856735A4 (ja) |
JP (1) | JP5398258B2 (ja) |
CN (1) | CN101138085B (ja) |
TW (1) | TWI414623B (ja) |
WO (1) | WO2006096813A2 (ja) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US7622378B2 (en) | 2005-11-09 | 2009-11-24 | Tokyo Electron Limited | Multi-step system and method for curing a dielectric film |
US20070210421A1 (en) * | 2006-03-13 | 2007-09-13 | Texas Instruments Inc. | Semiconductor device fabricated using a carbon-containing film as a contact etch stop layer |
US8956457B2 (en) * | 2006-09-08 | 2015-02-17 | Tokyo Electron Limited | Thermal processing system for curing dielectric films |
US20090061649A1 (en) | 2007-08-28 | 2009-03-05 | International Business Machines Corporation | LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT |
US20090061237A1 (en) * | 2007-08-28 | 2009-03-05 | International Business Machines Corporation | LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT |
US20090075491A1 (en) * | 2007-09-13 | 2009-03-19 | Tokyo Electron Limited | Method for curing a dielectric film |
US20090226694A1 (en) * | 2008-03-06 | 2009-09-10 | Tokyo Electron Limited | POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING |
US20090226695A1 (en) * | 2008-03-06 | 2009-09-10 | Tokyo Electron Limited | Method for treating a dielectric film with infrared radiation |
US7858533B2 (en) * | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
US8058183B2 (en) * | 2008-06-23 | 2011-11-15 | Applied Materials, Inc. | Restoring low dielectric constant film properties |
US20090324928A1 (en) * | 2008-06-26 | 2009-12-31 | Vijayakumar Ramachandrarao | Forming ultra low dielectric constant porous dielectric films and structures formed thereby |
US20100015816A1 (en) * | 2008-07-15 | 2010-01-21 | Kelvin Chan | Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors |
US8895942B2 (en) * | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
US20100065758A1 (en) * | 2008-09-16 | 2010-03-18 | Tokyo Electron Limited | Dielectric material treatment system and method of operating |
JP5671220B2 (ja) * | 2009-08-25 | 2015-02-18 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US8314005B2 (en) * | 2010-01-27 | 2012-11-20 | International Business Machines Corporation | Homogeneous porous low dielectric constant materials |
US8492239B2 (en) | 2010-01-27 | 2013-07-23 | International Business Machines Corporation | Homogeneous porous low dielectric constant materials |
US20110232677A1 (en) * | 2010-03-29 | 2011-09-29 | Tokyo Electron Limited | Method for cleaning low-k dielectrics |
US8927430B2 (en) | 2011-07-12 | 2015-01-06 | International Business Machines Corporation | Overburden removal for pore fill integration approach |
US8541301B2 (en) | 2011-07-12 | 2013-09-24 | International Business Machines Corporation | Reduction of pore fill material dewetting |
US8637412B2 (en) | 2011-08-19 | 2014-01-28 | International Business Machines Corporation | Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD |
US8828489B2 (en) | 2011-08-19 | 2014-09-09 | International Business Machines Corporation | Homogeneous modification of porous films |
US8846528B2 (en) * | 2011-11-29 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of modifying a low k dielectric layer having etched features and the resulting product |
US20130256894A1 (en) * | 2012-03-29 | 2013-10-03 | International Rectifier Corporation | Porous Metallic Film as Die Attach and Interconnect |
US9460997B2 (en) | 2013-12-31 | 2016-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure for semiconductor devices |
CN104752333B (zh) * | 2013-12-31 | 2018-07-03 | 中芯国际集成电路制造(上海)有限公司 | 第一金属互连层的制作方法 |
JP6929279B2 (ja) * | 2015-10-22 | 2021-09-01 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | SiOおよびSiNを含む流動性膜を堆積させる方法 |
WO2017161236A1 (en) | 2016-03-17 | 2017-09-21 | Applied Materials, Inc. | Methods for gapfill in high aspect ratio structures |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5858200A (en) * | 1996-05-30 | 1999-01-12 | Bridgestone Metalpha Corporation | Method of and apparatus for manufacturing metallic fiber and the twine of metallic fibers, and method of coloring metallic fiber and the twine of metallic fibers |
US6051321A (en) * | 1997-10-24 | 2000-04-18 | Quester Technology, Inc. | Low dielectric constant materials and method |
US6316167B1 (en) * | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6974766B1 (en) * | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
FR2802336B1 (fr) * | 1999-12-13 | 2002-03-01 | St Microelectronics Sa | Structure d'interconnexions de type damascene et son procede de realisation |
US6953984B2 (en) * | 2000-06-23 | 2005-10-11 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6768200B2 (en) * | 2000-10-25 | 2004-07-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
TW462085B (en) * | 2000-10-26 | 2001-11-01 | United Microelectronics Corp | Planarization of organic silicon low dielectric constant material by chemical mechanical polishing |
JP3545364B2 (ja) * | 2000-12-19 | 2004-07-21 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
US6703324B2 (en) * | 2000-12-21 | 2004-03-09 | Intel Corporation | Mechanically reinforced highly porous low dielectric constant films |
TW477029B (en) * | 2001-02-21 | 2002-02-21 | Nat Science Council | Method of reducing thick film stress of spin on dielectric and the resulting sandwich dielectric structure |
US20020163062A1 (en) * | 2001-02-26 | 2002-11-07 | International Business Machines Corporation | Multiple material stacks with a stress relief layer between a metal structure and a passivation layer |
US6713874B1 (en) * | 2001-03-27 | 2004-03-30 | Advanced Micro Devices, Inc. | Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics |
US6518646B1 (en) * | 2001-03-29 | 2003-02-11 | Advanced Micro Devices, Inc. | Semiconductor device with variable composition low-k inter-layer dielectric and method of making |
JP3749469B2 (ja) * | 2001-10-18 | 2006-03-01 | 富士通株式会社 | SiC:H膜の成膜方法と半導体装置の製造方法 |
US20030134495A1 (en) * | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof |
US20040137153A1 (en) * | 2002-04-16 | 2004-07-15 | Michael Thomas | Layered stacks and methods of production thereof |
US6815332B2 (en) * | 2002-10-30 | 2004-11-09 | Asm Japan K.K. | Method for forming integrated dielectric layers |
US6949456B2 (en) * | 2002-10-31 | 2005-09-27 | Asm Japan K.K. | Method for manufacturing semiconductor device having porous structure with air-gaps |
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US7132374B2 (en) * | 2004-08-17 | 2006-11-07 | Cecilia Y. Mak | Method for depositing porous films |
-
2005
- 2005-03-08 US US10/906,815 patent/US7265437B2/en active Active
-
2006
- 2006-03-03 TW TW095107313A patent/TWI414623B/zh not_active IP Right Cessation
- 2006-03-08 CN CN2006800074066A patent/CN101138085B/zh active Active
- 2006-03-08 JP JP2008500925A patent/JP5398258B2/ja active Active
- 2006-03-08 EP EP06737610A patent/EP1856735A4/en not_active Withdrawn
- 2006-03-08 WO PCT/US2006/008449 patent/WO2006096813A2/en active Application Filing
-
2007
- 2007-07-30 US US11/830,425 patent/US7998880B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
EP1856735A2 (en) | 2007-11-21 |
US20100028695A1 (en) | 2010-02-04 |
TW200641177A (en) | 2006-12-01 |
TWI414623B (zh) | 2013-11-11 |
JP2008537639A (ja) | 2008-09-18 |
EP1856735A4 (en) | 2009-07-15 |
WO2006096813A2 (en) | 2006-09-14 |
CN101138085B (zh) | 2013-03-27 |
US7265437B2 (en) | 2007-09-04 |
US7998880B2 (en) | 2011-08-16 |
US20060202311A1 (en) | 2006-09-14 |
WO2006096813A3 (en) | 2006-12-28 |
CN101138085A (zh) | 2008-03-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5398258B2 (ja) | 誘電体スタック及びそれを備える相互接続構造体 | |
JP5466365B2 (ja) | 基板上にSiCOH誘電体を形成する方法 | |
JP5102618B2 (ja) | SiCOH誘電体膜を形成する方法 | |
US7335980B2 (en) | Hardmask for reliability of silicon based dielectrics | |
US7030468B2 (en) | Low k and ultra low k SiCOH dielectric films and methods to form the same | |
US8097932B2 (en) | Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality | |
JP5065054B2 (ja) | 制御された二軸応力を有する超低誘電率膜および該作製方法 | |
US8618183B2 (en) | Materials containing voids with void size controlled on the nanometer scale | |
JP2008527757A5 (ja) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081212 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20081212 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111122 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120207 |
|
RD12 | Notification of acceptance of power of sub attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7432 Effective date: 20120207 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20120208 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20120821 |
|
RD01 | Notification of change of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7426 Effective date: 20120928 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121023 Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121108 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20121108 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20121204 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20130104 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130724 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20130725 |
|
RD14 | Notification of resignation of power of sub attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7434 Effective date: 20131005 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20131022 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5398258 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |