JP4756036B2 - 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造 - Google Patents

超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造 Download PDF

Info

Publication number
JP4756036B2
JP4756036B2 JP2007511362A JP2007511362A JP4756036B2 JP 4756036 B2 JP4756036 B2 JP 4756036B2 JP 2007511362 A JP2007511362 A JP 2007511362A JP 2007511362 A JP2007511362 A JP 2007511362A JP 4756036 B2 JP4756036 B2 JP 4756036B2
Authority
JP
Japan
Prior art keywords
insulating material
dielectric
material layer
layer
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007511362A
Other languages
English (en)
Other versions
JP2007536733A (ja
Inventor
ゲイツ、ステファン、エム
グリル、アルフレッド
メディルシュ、デヴィッド、アール
ノイマイアー、デボラ
グエン、ソン、ヴァン
パーテル、ヴィシュヌブハイ、ブイ
ワン、シンフイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007536733A publication Critical patent/JP2007536733A/ja
Application granted granted Critical
Publication of JP4756036B2 publication Critical patent/JP4756036B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、一般に、超低誘電率(または超低k)を有する誘電材料およびかかる誘電材料を含む電子デバイスを製造するための方法に関する。更に具体的には、本発明は、ULSI(ultra-large-scale integration:超大規模回路)バックエンド工程(BEOL:back-end-of-the-line)の配線構造においてレベル内またはレベル間誘電体として用いるための熱的に安定した超低k膜を製造するための方法、およびかかる方法によって形成される電子構造に関する。
近年、ULSI回路において利用される電子デバイスの小型化が進んだ結果として、BEOLメタライゼーションの抵抗が増大し、層内および層間誘電体の静電容量が大きくなっている。これらの影響が組み合わされたことで、ULSI電子デバイスにおける信号の遅延が増大している。今後のULSI回路のスイッチング性能を向上させるため、低誘電率(k)の絶縁体、特に、酸化シリコンよりも著しく低いkを有する絶縁体を用いて静電容量を低減することが必要である。低k値を有する誘電材料(すなわち誘電体)は市販されている。かかる市販されている材料の1つは、例えば、ポリテトラフルオロエチレン(PTFE)であり、2.0の誘電率を有する。しかしながら、ほとんどの市販の誘電材料は、300℃を超える温度に暴露された場合に熱的に安定しない現在のULSIチップにおいて低k誘電体を集積するには、少なくとも400℃における熱安定性が必要である。
ULSIデバイスに適用することが検討されている低k材料には、メチルシロキサン、メチルシルセスキオキサン、ならびにその他の有機および無機ポリマ等、Si、C、O、およびHの元素を含有するポリマが含まれる。例えば、論文(N. Hacker等の「Properties ofnew low dielectric constant spin-on silicon oxide based dielectrics」Mat. Res. Soc. Symp. Proc. 476(1997年):25)は、熱安定性の要求を満足させると思われる材料について記述しているが、スピンオン技法によって膜を作成する場合、これらの材料の一部では、相互接続構造に集積するために必要な厚さになると容易に亀裂が広がる。また、これらの従来技術の先行する材料は、コストが高く、大量生産に用いるには不可能な価格である。更に、VLSI(very-large-scale-integration:超大規模集積回路)およびULSIチップの製造ステップのほとんどは、プラズマ化学または物理気相成長法によって実行される。
以前に設置されて利用可能である処理設備を用いて、プラズマ化学気相成長(PECVD:plasma enhanced chemical vapor deposition)技法によって低k材料を製造することができると、製造プロセスに統合することが簡単であり、製造コストが抑えられ、廃棄物の有害性も低い。米国特許第6,147,009号および第6,497,963号は、3.6以下の誘電率を有し、亀裂の伝搬速度が極めて低い、Si、C、O、およびH原子の元素から成る低誘電率材料について記載している。
米国特許第6,312,793号、第6,441,491号、第6,541,398号、および第6,479,110B2号は、Si、C、O、およびHの元素で構成されるマトリックス相と主にCおよびHで構成される別の相とから成る多相低k誘電材料について記載している。前述の特許に開示された誘電材料は、誘電率が3.2以下である。
米国特許第6,437,443号は、2つ以上の相を有する低k誘電材料について記述している。第1の相はSiCOH材料で形成されている。この低k誘電材料を提供するには、プラズマ化学気相成長室において、Si、C、O、およびHの原子を含む第1の前駆ガスと、少なくとも、主にC、Hを含み、任意にF、N、およびOの原子を含む第2の前駆ガスとを反応させる。
米国特許第6,312,793号 米国特許第6,441,491号 米国特許第6,541,398号 米国特許第6,479,110B2号 米国特許第6,437,443号 N. Hacker等の「Properties ofnew low dielectric constant spin-on silicon oxide based dielectrics」Mat. Res. Soc. Symp. Proc. 476(1997年):25
低k誘電材料に関する多数の開示があるにもかかわらず、今なお、2.5以下の誘電率を有し、現在のULSI技術において用いられる処理温度において応力が低く、熱安定性を有する誘電材料の開発が求められている。
本発明は、2.5以下の誘電率を有する超低誘電率(すなわち超低k)材料を製造するための方法を提供する。更に好ましくは、本発明において提供される超低k材料の誘電率は、1.5から2.5であり、最も好ましくは、誘電率は1.8から2.25である。特に指定しない限り、誘電率は全て真空に対するものであることに留意すべきである。
また、本発明は、少なくとも2つの前駆物質の混合物からSi、C、O、およびH原子を含む超低誘電率材料を製造するための方法を提供する。第1の前駆物質は、シラン(SiH4)誘導体から選択され、分子式SiRR’R ’ ’R ’ ’ ’を有し、R、R’、R ’ 、’R ’ ’ ’は、同一であるかまたは互いに異なる場合があり、H、アルキル、およびアルコキシのいずれかである。好ましくは、R、R’、R ’ 、’R ’ ’ ’は、同一であるかまたは互いに異なり、メチル、エチル、メトキシ、およびエトキシである。好適な第1の前駆物質は、ジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシラン、およびトリメトキシメチルシランを含むが、これらに限定されない。
本出願において用いる第2の前駆物質は、有機化合物であり、以下から選択される。
Figure 0004756036
ここで、R1、R2、R3、R4、R5、およびR6は、同一である場合も同一でない場合も含み、直鎖、枝分かれ鎖、環式、多環式を含む水素、アルキル、アルケニル、またはアルキニル基のいずれかであり、置換基を含む酸素、窒素、または弗素のいずれかによって官能化されたものを含む。好適な第2の前駆物質は、酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエン、およびtert−ブチルメチルエーテルを含むが、これらに限定されない。
シラン誘導体を含む第1の前駆物質を第2の有機前駆物質と組み合わせて用いることによって、SiCOHマトリックスに孔を形成する相を効率的に組み込むことができ、従来技術において記載した前駆物質よりも低いコストでSiCOH膜を作成することができる。
本発明の方法に従って、シラン誘導体を含む第1の前駆物質を第2の有機前駆物質と組み合わせて用いることによって、引張り応力が低減した多孔SiCOH誘電体が可能となる。
本発明は、更に、平行板プラズマ化学気相成長(PECVD)リアクタにおいて超低k誘電体を製造するための方法、および、バックエンド工程(BEOL)相互接続構造においてレベル内またはレベル間誘電体として電子構造内で用いるための超低k材料を製造するための方法を提供する。
また、別の態様では、本発明は、内部応力が低く誘電率が2.5以下の熱的に安定した超低k材料を提供する。更に好適には、超低k材料の誘電率は1.5から2.5であり、最も好ましくは、誘電率は1.8から2.25である。
更に別の態様では、本発明は、バックエンド工程(BEOL)配線構造においてレベル内またはレベル間誘電体として絶縁材料層を組み込んだ電子構造を提供する。絶縁材料層の少なくとも2つが、本発明の超低k材料を含む。
更に、本発明が提供する電子構造は、バックエンド工程(BEOL)配線構造においてレベル内またはレベル間誘電体として本発明の超低k材料の層を有し、反応性イオン・エッチング(RIE)ストップまたは化学機械研磨ストップまたは拡散バリアとして少なくとも1つの誘電キャップ層を更に含む。
本発明によれば、Si、C、O、およびH原子および原子レベルの微小多孔を含むマトリックスを有する熱的に安定した誘電体材料を製造する方法を提供する。好適な実施形態では、誘電体材料は、基本的にSi、C、O、およびHから成るマトリックスを有する。本発明は、Si、C、O、およびHの原子を含む第1のシリコン含有前駆ガスと、C、H、ならびに任意にO、F、およびNの原子を含む少なくとも第2の有機物含有前駆ガスとを、プラズマ化学気相成長(PECVD)リアクタにおいて反応させることによって、誘電体材料を製造するための方法を提供する。本発明の誘電体材料が有するFTIRスペクトルは、3つのピークに解析可能なSi−O吸収帯を有する。本発明は、更に、バックエンド工程(BEOL)配線構造において用いられるレベル内またはレベル間誘電体として絶縁材料層を有する電子構造(すなわち基板)を提供し、この絶縁材料は本発明の超低k膜とすることができる。
好適な実施形態において、熱的に安定した超低k膜を製造するための方法が提供される。この方法は、プラズマ化学気相成長(PECVD)リアクタを用意するステップと、リアクタ内に電子構造(すなわち基板)を配置するステップと、Si、C、O、およびHの原子を含む第1のシラン含有前駆ガスをリアクタ内に流すステップと、C、H、ならびに任意にO、FおよびNの原子を含む第2の有機物含有前駆ガス混合物をリアクタ内に流すステップと、基板上に超低k膜を堆積するステップと、を含む。
好ましくは、第1の前駆物質は、シラン(SiH4)誘導体から選択され、分子式SiRR’R ’ ’R ’ ’ ’を有し、R、R’、R ’ 、’R ’ ’ ’は、同一であるかまたは互いに異なる場合があり、H、アルキル、およびアルコキシのいずれかであり、好ましくは、メチル、エチル、メトキシ、およびエトキシである。好適な前駆物質は、ジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシラン、およびトリメトキシメチルシランを含む。
本出願において用いる第2の前駆物質は、有機化合物であり、以下から選択される。
Figure 0004756036
ここで、R1、R2、R3、R4、R5、およびR6は、同一である場合も同一でない場合も含み、直鎖、枝分かれ鎖、環式、多環式を含む水素、アルキル、アルケニル、またはアルキニル基のいずれかであり、置換基を含む酸素、窒素、または弗素のいずれかによって官能化されたものを含む。好適な第2の前駆物質は、酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン(2,5−ノルボルナジエンとしても知られる)、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエン、およびtert−ブチルメチルエーテルを含む。
任意に、本発明の堆積膜を、300℃以上の温度で、少なくとも0.25時間加熱することができる。あるいは、本発明の堆積膜をUVまたは電子ビームによって処理することも可能である。
本発明の方法は、更に、平行板リアクタを用意するステップを含む場合がある。このリアクタは、基板チャック面積が300cm2および800cm2の間であり、基板と上部電極との間の間隙が1cmおよび10cmの間である。
あるいは、膜堆積のために、マルチステーション・リアクタを使用可能である。12MHzおよび15MHzの間の周波数で、電極の一方に高周波数RF電力を印加する。任意に、例えば2MHz以下の周波数(350〜450KHz)の追加の低周波数電力を電極の一方に印加する。
加熱処理ステップは、更に、第1の時間期間は300℃以下の温度で、次いで第2の時間期間は380℃以上の温度で行うことができる。第2の時間期間は第1の時間期間よりも長い。第2の時間期間は、第1の時間期間の少なくとも10倍とすることができる。任意に、熱的に安定した膜をUV照射または電子ビームに暴露することによって処理することができる。
本発明の超低k膜の堆積ステップは、更に、基板の温度を25℃および400℃の間に設定するステップと、高周波数RF電力密度を0.05W/cm2および3.5W/cm2の間に設定するステップと、第1の前駆物質の流量を5sccmおよび1000sccmの間に設定するステップと、第2の前駆物質の流量を5sccmおよび1000sccmの間に設定するステップと、キャリア・ガス(He)の流量を0sccmおよび1000sccmの間に設定するステップと、リアクタ圧力を50mtorrおよび8000mtorrの間の圧力に設定するステップと、を含むことができる。任意に、10Wおよび300Wの間で、プラズマに超低周波数電力を追加することができる。
別の好適な実施形態では、超低k膜を製造するための方法が提供される。この方法は、プラズマ・エンハンスを提供する平行板タイプの化学気相成長リアクタを用意するステップと、基板チャック上に前処理したウエハを配置するステップであって、基板チャックが300cm2および800cm2の間の面積を有し、ウエハと上部電極との間に1cmおよび10cmの間の間隙を維持する、ステップと、シラン誘導体分子を含む第1の前駆ガスをリアクタ内に流すステップであって、この分子が分子式SiRR’R’ ’R ’ ’ ’を有し、R、R’、R ’ 、’R ’ ’ ’は、同一であるかまたは互いに異なり、H、アルキル、およびアルコキシのいずれかであり、R、R’、R ’ 、’R ’ ’ ’は各々独立し、メチル、エチル、メトキシ、またはエトキシである、ステップと、少なくとも、以下を含む化合物の群からの有機分子を含む第2の前駆ガスを流すステップであって、
Figure 0004756036
ここで、R1、R2、R3、R4、R5、およびR6は、同一である場合も同一でない場合も含み、直鎖、枝分かれ鎖、環式、多環式を含む水素、アルキル、アルケニル、またはアルキニル基のいずれかであり、置換基を含む酸素、窒素、または弗素のいずれかによって官能化されたものを含む、ステップと、超低k膜をウエハ上に堆積するステップと、を含む。
このプロセスは、更に、堆積ステップの後に少なくとも0.25時間300℃以上の温度で加熱処理を行うステップ、または、堆積後に膜をUVもしくは電子ビームによって処理するステップを含むことができる。このプロセスは、更に、ウエハにRF電力を印加するステップを含むことができる。加熱処理ステップは、更に、第1の時間期間、300℃以下の温度で実行し、その後、第2の時間期間、380℃以上の温度で実行することができる。第2の時間期間は第1の時間期間よりも長い。第2の時間期間は、第1の時間期間の少なくとも10倍とすることができる。
使用するシラン誘導体の前駆物質はジエトキシメチルシラン(DEMS)とし、有機前駆物質はビシクロヘプタジエン(BCHD)とすることができる。超低k膜の堆積ステップは、更に、ウエハの温度を25℃および400℃の間に設定するステップと、RF電力密度を0.05W/cm2および3.5W/cm2の間に設定するステップと、シラン誘導体の流量を5sccmおよび1000sccmの間に設定するステップと、有機前駆物質の流量を5sccmおよび1000sccmの間に設定するステップと、キャリア・ガス(He)の流量を0sccmおよび1000sccmの間に設定するステップと、リアクタ圧力を50mtorrおよび8000mtorrの間の圧力に設定するステップと、を含むことができる。更に、堆積ステップは、ジエトキシメチルシランに対するビシクロヘプタジエンの流量比を、0.1および3の間、好ましくは0.2および0.6の間に設定するステップを含むことができる。基板チャックの導電領域は、X倍に変更することができ、これによって、RF電力が同じようにX倍に変化することになる。
更に別の好適な実施形態では、熱的に安定した超低k誘電膜を製造するための方法が提供される。この方法は、平行板タイプのプラズマ化学気相成長リアクタを用意するステップと、基板チャック上にウエハを配置するステップであって、基板チャックは導電領域が300cm2および800cm2の間であり、ウエハと上部電極との間の間隙が1cmおよび10cmの間である、ステップと、前述のシラン誘導体および有機分子の前駆ガス混合物をリアクタ内のウエハ上に流すステップであって、温度は25℃および400℃の間に維持し、合計流量は25sccmおよび1000sccmの間であり、リアクタ圧力は100mtorrおよび8000mtorrの間に維持する、ステップと、0.25W/cm2および3W/cm2のRF電力密度のもとでウエハ上に誘電膜を堆積するステップと、任意に、300℃以上の温度で少なくとも0.25時間、超低k膜をアニーリングするステップと、を含む。
本発明の方法は、更に、第1の時間期間、300℃以下の温度で、その後、第2の時間期間、380℃以上の温度で、膜をアニーリングするステップを含むことができる。第2の時間期間は第1の時間期間よりも長い。第2の時間期間は、第1の時間期間の少なくとも10倍とすることができる。シラン誘導体はジエトキシメチルシラン(DEMS)とし、有機前駆物質はビシクロヘプタジエン(BCHD)とすることができる。
本発明は、更に、バックエンド工程(BEOL)相互接続構造においてレベル内またはレベル間誘電体として絶縁材料層を有する電子構造を対象とする。この電子構造は、第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、本発明の超低k誘電体の第2の絶縁材料層に埋め込まれた第1の導体領域と、を含み、超低k材料がSi、C、O、およびH、ならびに多数のナノメートル・サイズの孔を含み、2.5以下の誘電率を有し、第2の絶縁材料層が第1の絶縁材料層に密着し、第1の導体領域が第1の金属領域と電気的に通信状態にある。電子構造は、更に、第2の導体領域を含み、これは、第1の導体領域と電気的に通信状態にあり、本発明の超低k誘電体を含み第2の絶縁材料層に密着した第3の絶縁材料層に埋め込まれている。電子構造は、更に、第2の絶縁材料層および第3の絶縁材料層の間に配置された誘電キャップ層を含むことができる。電子構造は、更に、第2の絶縁材料層および第3の絶縁材料層の間に配置された第1の誘電キャップ層と、第3の絶縁材料層の上の第2の誘電キャップ層と、を含むことができる。
誘電キャップ層は、酸化シリコン、窒化シリコン、酸窒化シリコン、SiCN(silicon carbon nitride)、SiCON(silicon carbon oxynitride)、耐熱金属の窒化シリコン(耐熱金属は、Tz、Zr、Hf、およびWから成る群から選択される)、炭化シリコン、および炭素ドーピング酸化物、またはCiCOH、およびそれらの水素含有化合物から選択することができる。第1および第2の誘電キャップ層は、誘電材料の同じ群から選択することができる。第1の絶縁材料層は、酸化シリコン、または窒化シリコン、または、PSG(phosphorus silicate glass)、BPSG(boron phosphorus silicate glass)等、これらの材料にドーピングしたものとすることができる。電子構造は、更に、第2および第3の絶縁材料層の少なくとも一方の上に堆積した誘電材料の拡散バリア層を含むことができる。電子構造は、更に、第2の絶縁材料層の上に、反応性イオン・エッチング(RIE)・ハード・マスクおよび研磨ストップ層として機能する誘電体を含み、更に、誘電RIEハード・マスクおよび研磨ストップ層の上に誘電拡散バリア層を含むことができる。電子構造は、更に、第2の絶縁材料層の上の第1の誘電RIEハード・マスク/研磨ストップ層、第11の誘電研磨ストップ層の上の第1の誘電RIEハード・マスク/拡散バリア層、第3の絶縁材料の上の第2の誘電RIEハード・マスク/研磨ストップ層、および、第2の誘電研磨ストップ層の上の第2の誘電拡散バリア層を含むことができる。電子構造は、更に、超低k誘電体のレベル間誘電体および超低k誘電体のレベル内誘電体の間に、上述したものと同じ材料の誘電キャップ層を含むことができる。
本発明の前述の目的、特徴、および利点は、以下の詳細な説明および添付図面から明らかとなろう。
本発明は、平行板プラズマ化学気相成長(PECVD)リアクタにおいて熱的に安定した超低誘電率膜を製造するための方法を開示する。好適な実施形態において開示する材料は、ランダムに共有結合したネットワークにおけるSi、C、O、およびHを含む水素化酸化炭化シリコン材料(SiCOH)のマトリックスを含み、誘電率は2.5以下であり、直径が0.5から20ナノメートルの分子スケールの空隙を含んで、誘電率を2.0未満の値に低下させることができる。更に好ましくは、超低k膜の誘電率は、1.5から2.5であり、最も好ましくは、誘電率は1.8から2.25である。本発明の超低k誘電材料は典型的に多相膜であるという特徴を有し、基本的にSi、C、O、およびHから成る第1の相と、本質的にCおよびH、および多数のナノメートル・サイズの孔から成る第2の相と、を含む。
超低kの熱的に安定した膜を作成するため、特定の成長条件を用いた堆積リアクタの特定の形状が必要である。例えば、平行板リアクタでは、基板チャックの導電領域は300cm2および800cm2の間とし、基板と上部電極との間の間隙は1cmおよび10cmの間としなければならない。基板にはRF電力を印加する。本発明によれば、DEMS等のシラン誘導体と、以下を含む化合物の群から選択される有機分子である第2の前駆物質との混合物から、超低k膜を形成する。
Figure 0004756036
ここで、R1、R2、R3、R4、R5、およびR6は、同一である場合も同一でない場合も含み、直鎖、枝分かれ鎖、環式、多環式である場合がある水素、アルキル、アルケニル、またはアルキニル基のいずれかであり、特定の反応条件のもとで目的に合わせて構成した堆積リアクタにおいて、ビシクロヘプタジエン(BCHD)等の置換基を含む酸素、窒素、または弗素のいずれかによって官能化されたものを含む。本発明の超低k膜は、更に、300℃以上の温度で、少なくとも0.25時間加熱して、誘電率を低下させることができる。また、膜は、堆積後または熱処理の後、UVまたは電子ビームによって処理することができる。この堆積後の処理ステップの間、炭素および水素および任意に酸素の原子を含む第2の前駆物質(またはガス混合物)から誘導される分子の断片は、熱的に分解し、もっと小さい分子に変換されて、膜から解放される場合がある。任意に、分子断片の変換および解放のプロセスによって、膜には更に別の空隙が生じる場合がある。これによって膜密度は低下し、これに対応して誘電率および屈折率が低下する。
本発明は、BEOL配線構造に集積するために適切な超低k、すなわちkが2.5未満の材料を作成するための方法を提供する。更に好適には、本発明の超低k膜の誘電率は1.5から2.5であり、最も好ましくは、誘電率は1.8から2.25である。本発明の膜は、以下で述べるように、少なくとも2つの適切な前駆物質および処理パラメータの具体的な組み合わせを選択することによって作成することができる。好ましくは、第1の前駆物質は、シラン(SiH4)誘導体から選択され、分子式SiRR’R ’ ’R ’ ’ ’を有し、R、R’、R ’ 、’R ’ ’ ’は、同一であるかまたは互いに異なる場合があり、H、アルキル、およびアルコキシのいずれかであり、好ましくはメチル、エチル、メトキシ、およびエトキシである。好適な前駆物質は、ジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシラン、およびトリメトキシメチルシランを含む。
本出願において用いる第2の前駆物質は、以下から選択される有機化合物である。
Figure 0004756036
ここで、R1、R2、R3、R4、R5、およびR6は、同一である場合も同一でない場合も含み、直鎖、枝分かれ鎖、環式、多環式である場合がある水素、アルキル、アルケニル、またはアルキニル基のいずれかであり、置換基を含む酸素、窒素、または弗素のいずれかによって官能化されたものを含む。更に、第2の前駆物質分子には、S、Si、または他のハロゲン等の他の原子が含まれる場合がある。これらの種の中で最も適切なのは、酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエン、およびtert−ブチルメチルエーテルである。
図1に示すように、平行板プラズマ化学気相成長(PECVD)リアクタ10は、200mmのウエハを処理するために用いるタイプである。リアクタ10の内径Xは13インチであり、その高さYは8.5インチである。基板チャック12の直径は10.8インチである。基板チャック12から1インチの間隙Zだけ離間したガス分散板(GDP:gas distribution plate)16を介してリアクタ10内に反応ガスを導入し、3インチの排気ポート18を介してリアクタ10から排出する。GDP16にRF電源20が接続されている。これはリアクタ10から電気的に絶縁されており、基板チャック12は接地されている。実用の目的のために、リアクタの全ての部分を接地する。異なる実施形態では、RF電源20を基板チャック12に接続し、基板22に送電することができる。この場合、基板には負のバイアスがかかり、その値はリアクタの形状およびプラズマ・パラメータに依存する。別の実施形態では、2つ以上の電源供給を使用可能である。例えば、2つの電源が同一のRF周波数で動作することができ、または、1つが低周波数で動作し1つが高周波数で動作することも可能である。2つの電源を双方とも同一の電極に接続したり、または別個の電極に接続したりすることも可能である。別の実施形態では、堆積の間、RF電源をオンおよびオフで切り替えることができる。低k膜の堆積中に制御されるプロセス変数は、RF電力密度、前駆物質混合量および流量、リアクタ内圧力、電極間隔、および基板温度である。(基板温度は加熱したウエハ・チャックの温度によって制御される。)
本発明によれば、適切な第1および第2の前駆物質ならびに上述の処理パラメータの具体的な組み合わせを用いて、本発明によって作成される超低k材料が、好ましくは、5および40原子パーセントの間のSi、5および70原子パーセントの間のC、0および50原子パーセントの間のO、5および55原子パーセントの間のHを含むようにする。本発明のいくつかの実施形態では、Cの含有率は70%まで高い場合がある。
膜の堆積プロセス中に制御される主なプロセス変数は、RF電力、前駆物質の流量、リアクタ圧力、および基板の温度である。本明細書において、以下に示すのは、本発明による第1の前駆物質ジエトキシメチルシラン(DEMS)および第2の前駆物質ビシクロヘプタジエン(BCHD)から形成する膜の堆積のいくつかの例である。いくつかの例では、キャリア・ガスとしてHe(またはAr)を用いて、DEMS前駆物質の気体をリアクタ内に移送した。任意に、堆積後に膜を400度に加熱してkを低下させた。あるいは、膜をUVまたは電子ビームによって処理して、kを低下させると共に架橋を増大させた。これは、例えば米国特許出願第10/758,724号に記載されている。熱処理は、単独で、またはこの第10/758,724号に開示されている処理方法の1つと組み合わせて、実行することができる。
具体的には、第10/758,724号に従って、堆積した膜をエネルギ源を用いて任意に処理して、膜を安定化させると共にその特性(電気的特性、機械的特性、接着性)を改善し、これによって最終的に最適な膜を得ることができる。適切なエネルギ源は、熱、化学、紫外(UV)光、電子ビーム(eビーム)、マイクロ波、およびプラズマを含む。また、本発明では、上述のエネルギ源を組み合わせたものも使用可能である。本発明で用いるエネルギ源を利用して、堆積した誘電体のSi−O結合ネットワークを変更させ、材料内の他の結合を変更させ、Si−O架橋を増大させ、更に、場合によっては、炭化水素相を除去する。これらの変更を行った結果として、弾性係数の上昇、硬度の増大、または内部応力の低下、または前述の特性の組み合わせが得られる。係数上昇または応力低下のいずれか一方によって亀裂の伝搬速度が低下する。エネルギ処理の結果として、係数上昇および応力低下の組み合わせが得られると好ましい。
熱エネルギ源は、例えば、堆積した誘電材料を300℃から500℃の温度に加熱することができる加熱要素またはランプ等、いずれかの源を含む。更に好ましくは、熱エネルギ源は、堆積した誘電材料を350℃から430℃の温度に加熱することができる。この熱処理プロセスは様々な時間期間で行うことができるが、1分から300分の時間期間が典型的である。熱処理ステップは、通常、HeおよびAr等の不活性ガスの存在下で行われる。熱処理ステップは、アニール・ステップと呼ぶことができる。このステップでは、急速熱アニーリング、炉内アニール、レーザ・アニール、またはスパイク・アニール条件が用いられる。
UV光処理ステップは、500から150nmの波長を有する光を発生可能な源を利用して基板を照射し、ウエハ温度は25℃から500℃に維持されるが、300℃から450℃の温度が好適である。370nmより大きい波長での照射は、重要な結合を解離または活性化するには不充分なエネルギであるので、波長範囲は150〜370nmが好ましい。文献のデータおよび堆積膜上で計測した吸光度スペクトルを用いて、本発明者等は、SiCOH膜が劣化するため、170nmより小さい波長の照射は好ましくない場合があることを見出した。更に、エネルギ範囲310〜370nmでは光子当たりのエネルギが比較的低いので、310〜370nmは範囲150〜310nmよりも有用性が低い。150〜310nm範囲内で、堆積膜の吸光度スペクトルとの最適な重複部分および膜特性(疎水性等)の最小限の劣化を任意に用いて、SiCOH特性を変更するための最も有効なUVスペクトル領域を選択することができる。
電子ビーム処理ステップは、ウエハ上に均一な電子束を発生可能な源を利用して、0.5〜25keVのエネルギおよび0.1〜100マイクロアンペア/cm2(好ましくは1〜5マイクロアンペア/cm2)の電流密度で行われ、ウエハ温度は25℃〜500℃に維持されるが、300℃〜450℃の温度が好適である。電子ビーム処理ステップにおいて用いられる好適な電子量は50〜500マイクロクーロン/cm2であるが、100〜300マイクロクーロン/cm2が最も好適である。
プラズマ処理ステップは、原子状水素(H)、および、任意にCH3または他の炭化水素基を発生可能な源を利用して行われる。直接プラズマ暴露よりも、下流プラズマ源が好適である。プラズマ処理の間、ウエハ温度は25℃〜500℃に維持されるが、300℃〜450℃の温度が好適である。
プラズマ処理ステップを実行するには、プラズマを発生可能なガスをリアクタ内に導入し、その後、これをプラズマに変換する。プラズマ処理に使用可能なガスは、Ar、N、He、Xe、またはKr等の不活性ガスを含み、Heが好適である。また、水素、または原子状水素、メタン、メチルシランの関連ソース、CH3族の関連ソース、およびそれらの混合物が含まれる。プラズマ処理ガスの流量は、用いるリアクタ・システムによって変動し得る。チャンバ圧力は、0.05から20torrのいずれかの範囲を使用可能であるが、好適な圧力動作範囲は1〜10torrである。プラズマ処理ステップは、ある時間期間に行われる。これは、典型的に1/2〜10分間であるが、本発明においてもっと長い時間期間も使用可能である。
上述のプラズマを発生させるために、通常、RFまたはマイクロ波電源を用いる。RF電源は、高周波数範囲(100W以上のオーダ)または低周波数範囲(250W未満)のいずれかで動作することができるか、または、それらの組み合わせを使用可能である。高周波数電力密度は、0.1〜2.0W/cm2のいずれかの範囲を使用可能であるが、好適な動作範囲は0.2〜1.0W/cm2である。低周波数電力密度は、0.1〜1.0W/cm2のいずれかの範囲を使用可能であるが、好適な動作範囲は0.2〜0.5W/cm2である。選択した電力レベルは、露出した誘電体表面の著しいスパッタ・エッチングを回避するために(除去は5ナノメートルよりも小さくする)、充分に低くなければならない。
また、本発明に従った堆積プロセスの実施を成功させるためには、利用する堆積条件が重要である。例えば、ウエア温度は、25℃および420℃間、好ましくは60℃および350℃を用いる。RF電力密度は、0.05W/cm2および3.5W/cm2、好ましくは0.25W/cm2および1W/cm2を用いる。好適なプロセスにおいて、無線周波数エネルギを、ガス導入板(「シャワーヘッド」)に対して、周波数13.6Mhzおよび電力350W(200〜450Wを使用可能である)で適用し、更に、ウエハ・チャックに対して、周波数13.6MHzおよび電力100W(50〜200Wを使用可能である)で適用する。当技術分野において既知であるように、本発明では、異なるRF周波数(0.26、0.35、0.45MHz)も使用可能である。
DEMSの反応ガス流量は、5sccmおよび1000sccmの間、好ましくは25sccmおよび200sccmの間を用いる。BCHDの反応ガス流量は、5sccmおよび1000sccmの間、好ましくは10sccmおよび120sccmの間を用いる。液体の前駆物質の送出を用いる場合、前駆物質ごとに500〜5000mg/分の範囲の液体流量を用いる。任意に、Heを加えることができ、好ましいHe流量は100〜2000sccmの範囲であるが、本発明において他の流量も使用可能である。堆積プロセス中のリアクタ圧力は、典型的に、50mtorrおよび10000mtorrの間である。
マルチステーション堆積リアクタを用いる場合、基板の領域は個々の基板チャックを示し、ガスの流量は1つの堆積ステーションに対応する。従って、リアクタに投入される総流量および総電力は、リアクタ内の堆積ステーションの総数で乗算される。
堆積膜は、更に別の集積処理を行う前に安定化させる。安定化プロセスは、300℃〜430℃で、0.5時間および4時間の間の時間期間、炉内アニーリング・ステップにおいて実行可能である。また、安定化プロセスは、300℃を超える温度で、急速熱アニーリング・プロセスにおいても実行することができる。また、安定化プロセスは、300℃を超える温度で、UVまたは電子ビーム・チャンバにおいて実行可能である。本発明に従って得られた膜の誘電率は、2.5よりも低い。非酸化雰囲気において、本発明に従って得られた膜の熱安定性は、少なくとも430℃の温度までである。
図4から図7に、本発明に従って形成した電子デバイスを示す。図4から図7に示すデバイスは、本発明に従った例として単に例示するものであり、本発明に従って他のデバイスも無数に形成可能であることに留意すべきである。
図4は、シリコン基板32上に構築した電子デバイス30を示す。シリコン基板32の上に、第1の金属領域36が内部に埋め込まれた絶縁材料層34が形成されている。第2の金属領域36上に化学機械研磨(CMP)プロセスを行った後、第1の絶縁材料層34および第1の金属領域36の上に、超低k膜38等の膜を堆積する。任意に、層34と層38との間に、追加の誘電キャップ層(図示せず)を追加することも可能である。第1の絶縁材料層34は、適切には、酸化シリコン、窒化シリコン、これらの材料にドーピングしたもの、または他のいずれかの適切な絶縁材料で形成することができる。超低k膜38は、フォトリソグラフィ・プロセスによってパターニングし、そこに導体層40を堆積する。第1の導体層40にCMPプロセスを行った後、プラズマ化学気相成長(PECVD)プロセスによって、第1の超低k膜38および第1の導体層40の上に重ねるように、第2の超低k膜層44を堆積する。導体層40は、金属導体材料または非金属導体材料で堆積することができる。例えば、アルミニウムもしくは銅の金属導体材料、または窒化物もしくはポリシリコン等の非金属材料を利用可能である。第1の導体層40は、第1の金属領域36と電気的に通信状態にある。
第2の超低k膜層44にフォトリソグラフィ・プロセスを行った後、第2の導体材料の堆積プロセスを行って、第2の導体領域50を形成する。また、第2の導体50は、第1の導体層40を堆積する際に用いたものと同様の金属材料または非金属材料のいずれかで堆積することも可能である。第2の導体領域50は、第1の導体領域40と電気的に通信状態にあり、第2の超低k絶縁体層44に埋め込まれている。第2の超低k膜層は、第1の絶縁材料層38に密着している。この具体的な例では、本発明に従った超低k材料である第1の絶縁材料層38はレベル内誘電材料として機能し、第2の絶縁材料層すなわち超低k膜44はレベル内およびレベル間誘電体の双方として機能する。超低k膜の低い誘電率に基づいて、第1の絶縁層38および第2の絶縁層44によって優れた絶縁特性を達成することができる。
図5は、本発明による電子デバイス60を示す。これは、図4に示す電子デバイス30と同様であるが、第1の絶縁材料層38と第2の絶縁材料層44との間に追加の誘電キャップ層62が堆積されている。誘電キャップ層62は、適切には、酸化シリコン、窒化シリコン、酸窒化シリコン、炭化シリコン、SiCN(silicon carbon nitride)、SiCO(silicon carbo-oxide)改質(modified)超低kおよびそれらの水素化化合物、ならびに耐熱金属の窒化シリコン等の材料で形成することができる。この場合、耐熱金属は、Ta、Zr、Hf、およびWから成る群から選択される。更に、誘電キャップ層62は、拡散バリア層として機能し、第1の導体層40が第2の絶縁材料層44内または下部層内、特に層34および32内に拡散することを防ぐ。
図6は、本発明による電子デバイス70の別の実施形態を示す。電子デバイス70では、2つの追加の誘電キャップ層72および74を用い、これらはRIEマスクおよびCMP(化学機械研磨)の研磨ストップ層として機能する。第1の誘電キャップ層72は、第1の絶縁材料層38の上に堆積されている。誘電層72の機能は、第1の導体層40を平坦化する際に用いるCMPプロセスに終点を提供することである。研磨ストップ層72は、酸化シリコン、窒化シリコン、酸窒化シリコン、炭化シリコン、SiCO(silicon carbo-oxide)、SiCN(silicon carbon nitride)改質(modified)超低kおよびそれらの水素化化合物、ならびに耐熱金属の窒化シリコン等の適切な誘電材料で堆積することができる。この場合、耐熱金属は、Ta、Zr、Hf、およびWから成る群から選択される。誘電層72の上面は、第1の導体層40と同じ高さである。第2の誘電層74は、同じ目的のため、第2の絶縁材料層44の上に追加することができる。
図7は、本発明による電子デバイス80の更に別の実施形態を示す。この代替的な実施形態では、追加の誘電層82が示されており、このため、第2の絶縁材料層44が2つの別個の層84および86に分割されている。従って、図7に示すようなレベル内およびレベル間誘電層44は、図8に示すように、相互接続92と相互接続94との境界で、層間誘電層84およびレベル内誘電層86に分割されている。更に、上部の誘電層74の上に、追加の拡散バリア層96が堆積されている。電子構造80のこの代替的な実施形態によって得られる追加の利点は、誘電層82がRIEエッチ・ストップとして機能して、優れた相互接続の深さ制御を可能とし、従って導体抵抗に対する優れた制御を可能とすることである。
本発明による超低k誘電膜の製造を例示するため、および、そこから得られる利点を例証するため、以下の例を提示する。
実施例1
この例では、図1に従って、スリット・バルブ14を通してリアクタ10内にウエハを導入することによって、最初にウエハを準備し、任意にアルゴン・ガスによってウエハにプレエッチングを行った。このウエハ準備プロセスにおいて、ウエハ温度は180℃に設定し、アルゴン流量は25sccmに設定して、100mtorrの圧力を達成した。次いで、RF電力をオンにして、60秒間、125Wにした。その後、RF電力およびアルゴン・ガスをオフにした。
リアクタ内にDEMS前駆物質を入れた。最初にDEMSおよびBCHDのガス流を所望の流量および圧力に確立することによって、本発明による超低k膜を堆積した。例えばDEMSは4sccmであり、BCHDは3sccmであり、500mtorrとした。次いでRF電力をオンにして、50分間、30Wとした。その後、RF電力およびガス流をオフにした。次いで、反応リアクタ10からウエハを取り出した。
堆積膜の誘電率を低下させ、それらの熱安定性を更に向上させるため、すなわち300℃を超える温度においてそれらを安定させるため、膜に後処理を行って、揮発性の部分を蒸発させ、膜を寸法的に安定させた。後処理プロセスは、以下のステップによって、アニーリング炉内で実行することができる。まず、10リットル/分の流量の窒素によって、5分間(膜サンプルをロード・ステーションに置いて)炉をパージした。次いで、膜サンプルを炉リアクタ内に移して、後アニーリング・サイクルを開始した。このサイクルでは、5℃/分の加熱速度で膜を280℃に加熱し、280℃に5分間保持し、5℃/分の第2の加熱速度で400℃まで加熱し、400℃で4分間保持し、炉をオフにし、膜サンプルを100度未満の温度まで冷却させた。適切な第1の保持温度は280℃および300℃の間とすることができ、適切な第2の保持温度は300℃および400℃の間とすることができる。このようにして得られた膜の誘電率は1.81である。あるいは、膜は、UVまたは電子ビームによって、300℃を超える温度で、30分まで処理を行うことも可能である。
ここで、図2を参照して、第1の実施形態の結果について考察する。図2は、本発明に従ってDEMS+BCHDの混合物から作成した超低k膜によって得られたFTIRスペクトルを示す。スペクトルは、1000〜1100cm-1においてSi−O吸収帯を示し、1268cm-1でSi−CH3吸収ピークを示し、2900〜3000cm-1でC−H吸収ピークを示す。超低k膜のFTIRスペクトルの1つの特徴は、図2に詳しく示すように、Si−Oピークが、1141cm-1、1064cm-1、および1030cm-1に中心を有する3つのピークに解析可能であることである。
実施例2
この例では、例1と同じツールにウエハを準備した。まず、ウエハを反応チャンバ内に導入した。ウエハ温度は180℃に設定した。リアクタ内にDEMS前駆物質を入れた。本発明による超低k膜を堆積するため、まず、DEMSおよび一酸化ブタジエン(BMO)のガス流を所望の流量および圧力に確立した。すなわち、DEMSは1sccm、BMOは4sccm、圧力は500mtorrとした。次いでRF電力をオンにし、50分間、30Wとした。その後、RF電力およびガス流をオフにした。次いで、リアクタ10からウエハを取り出した。
次いで、例1に記載した同じ方法で膜を処理した。結果として得られた膜は、誘電率が1.77であった。
実施例3
この例では、例1と同じツールにウエハを準備した。まず、ウエハを反応チャンバ内に導入した。ウエハ温度は180℃に設定した。リアクタ内にDEMS前駆物質を入れた。本発明による超低k膜を堆積するため、まず、DEMSおよび2−メチル−2−ビニルオシラン(MVOX)のガス流を所望の流量および圧力に確立した。すなわち、DEMSは2sccm、MVOXは3sccm、圧力は500mtorrとした。次いでRF電力をオンにし、50分間、30Wとした。その後、RF電力およびガス流をオフにした。次いで、リアクタ10からウエハを取り出した。
次いで、例1に記載した同じ方法で膜を処理した。
結果として得られた膜は、誘電率が2.08であった。
実施例4
この例では、8インチの市販のPECVDツールにウエハを準備した。まず、ウエハを反応チャンバ内に導入した。ウエハ温度は2000℃に設定した。キャリア・ガスとしてHeを用いて、リアクタ内にDEMSおよび酸化シクロペンテン(CPO)の双方を入れた。本発明による超低k膜を堆積するため、まず、DEMSおよびCPOおよびHeのガス流を所望の流量および圧力に確立した。すなわち、DEMSは70sccm、CPOは320sccm、Heは300sccm、圧力は2000mtorrとした。次いでRF電力をオンにし、10分間、300Wとした。その後、RF電力およびガス流をオフにした。次いで、反応チャンバからウエハを取り出した。
次いで、例1に記載した同じ方法で膜を処理した。結果として得られた膜は、誘電率が2.19であった。
ここで、図3を参照して、結果について考察する。図3は、DEMS/CPOおよびHeから作成した超低k膜によって得られたFTIRスペクトルを示す。スペクトルは、1000〜1100cm-1においてSi−O吸収帯を示し、1267cm-1でSi−CH3吸収ピークを示し、2900〜3000cm-1でC−H吸収ピークを示す。超低k膜のFTIRスペクトルの1つの特徴は、図3に詳しく示すように、Si−Oピークが、1132cm-1、1058cm-1、および1024cm-1に中心を有する3つのピークに解析可能であることである。
また、超低k膜を安定化させるために、急速熱アニーリング(RTA)プロセスを用いることも可能である。本発明に従って得られる膜は、誘電率kが2.5未満であるという特徴を有し、通常400℃までの温度で処理されるバックエンド工程(BEOL)相互接続構造において集積するために熱的に安定している。従って、本発明の教示は、論理およびメモリ・デバイスのためのバックエンド工程において、レベル内およびレベル間誘電体として膜を製作する際に容易に適合させることができる。
先の説明において、および添付図面の図4から図8において、本発明に従った方法および本発明に従って形成される電子構造について充分に説明した。図4から図8に示す電子構造の例は、単に、無数の電子デバイスの製造に適用可能な本発明の方法を例示するために用いたにすぎないことを強調しておく。
当技術分野において既知のように、先の4つのプロセス実施例において挙げたガス流の単位は、液体の質量流量制御を用いる場合は液体流量の単位によって置換することができる。
本発明について例示のために記載したが、使用した専門用語は、限定のためでなく、説明の言葉として意図されていることは理解されよう。
更に、好適な実施形態およびいくつかの代替的な実施形態に関連付けて本発明を具体的に図示し記載したが、当業者は、本発明の精神および範囲から逸脱することなく、これらの教示を本発明の他の可能な変形に容易に適用可能であることは認められよう。
独占的な権利または特権を主張する本発明の実施形態は、特許請求の範囲において規定する。
本発明において使用可能な平行板化学気相リアクタの断面図である。 ジエトキシメチルシラン(DEMS)およびビシクロヘプタジエン(BCHD)の混合物から堆積した本発明の超低k材料によって得られたフーリエ変換赤外(FTIR)スペクトルを示す。 ジエトキシメチルシラン(DEMS)および酸化シクロペンテン(CPO)の混合物から堆積した本発明の別の超低k材料によって得られたフーリエ変換赤外(FTIR)スペクトルを示す。 本発明による超低k材料のレベル内誘電層およびレベル間誘電層を有する電子デバイスの拡大断面図である。 本発明による超低k材料膜の上に追加の拡散バリア誘電キャップ層を有する図4の電子構造の拡大断面図である。 本発明による研磨ストップ層の上に追加のRIEハード・マスク/研磨ストップ誘電キャップ層および誘電キャップ拡散バリアを有する図5の電子構造の拡大断面図である。 本発明によるレベル間超低k材料膜の上に追加のRIEハード・マスク/研磨ストップ誘電層を有する図6の電子構造の拡大断面図である。 多相材料膜の上に堆積した追加のRIEハード・マスク/研磨ストップ誘電層を有する図7の本発明の電子構造の拡大断面図である。

Claims (62)

  1. 超低誘電率膜を製造するための方法であって、
    ジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択された第1の前駆ガスを、プラズマ化学気相成長(PECVD)リアクタのチャンバ内に流すステップと、
    酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択された第2の前駆ガスを前記チャンバ内に流すステップと、
    前記第1の前駆ガス及び前記第2の前駆ガスを前記プラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより超低k膜を基板上に堆積するステップと、
    を含む方法。
  2. 前記超低k膜は多相膜であり、Si、C、O、およびHから成る第1の相と、少なくともC、Hから成る第2の相と、前記基板上の多数のナノメートル・サイズの孔と、を含む、請求項1に記載の方法。
  3. 前記前駆ガスの1つまたは双方と不活性ガスを混合するステップを更に含む、請求項1に記載の方法。
  4. 堆積後に前記超低k膜を、少なくとも0.25時間、300℃以上の温度で加熱するステップを更に含む、請求項1に記載の方法。
  5. 堆積後に前記超低k膜をエネルギ源で処理して前記超低k膜を安定化させてその特性を改善するステップを更に含み、前記エネルギ源が、熱源、化学源、紫外(UV)光源、電子ビーム(eビーム)源、マイクロ波源、またはプラズマ源の1つを含む、請求項1に記載の方法。
  6. 前記超低k膜が2.5以下の誘電率を有する、請求項1に記載の方法。
  7. 前記超低k膜が1.5から2.5の誘電率を有する、請求項1に記載の方法。
  8. 前記超低k膜が5および40原子パーセントの間のSi、5および70原子パーセントの間のC、50原子パーセントより少ないO、5および55原子パーセントの間のHを含む、請求項1に記載の方法。
  9. 前記PECVDリアクタが、基板チャック面積は300cmおよび800cmの間であり、前記基板と上部電極との間の間隙は1cmおよび10cmの間である、請求項1に記載の方法。
  10. 前記PECVDリアクタの電極にRF電力を印加するステップを更に含む、請求項1に記載の方法。
  11. 300℃以上の温度で30分間まで、前記超低k膜にUVまたはeビームによる処理を行うステップを更に含む、請求項1に記載の方法。
  12. 前記超低k膜に加熱処理およびUVまたはeビーム処理の組み合わせを行うステップを更に含む、請求項1に記載の方法。
  13. 前記超低k膜を堆積する前記ステップが、前記基板の温度を25℃および400℃の間に設定するステップと、RF電力密度を0.05W/cmおよび3.5W/cmの間に設定するステップとを更に含む、請求項1に記載の方法。
  14. 前記超低k膜を堆積する前記ステップが、前記第1の前駆ガスの流量を、5sccmおよび1000sccmの間、または、液体の送出を用いる場合は500〜5000mg/分に設定するステップを更に含む、請求項1に記載の方法。
  15. 前記第1の前駆ガスの前記流量が、25sccmおよび200sccmの間である、請求項14に記載の方法。
  16. 前記超低k膜を堆積する前記ステップが、前記第2の前駆ガスの流量を、5sccmおよび1000sccmの間、または、液体の送出を用いる場合は500〜5000mg/分に設定するステップを更に含む、請求項1に記載の方法。
  17. 前記第2の前駆ガスの前記流量が、25sccmおよび200sccmの間である、請求項16に記載の方法。
  18. 前記超低k膜を堆積する前記ステップが、前記PECVDリアクタの圧力を50mtorrないし10000mtorrの間に設定するステップを更に含む、請求項1に記載の方法。
  19. 前記PECVDリアクタの前記圧力が100mtorrおよび5000mtorrの間である、請求項18に記載の方法。
  20. 前記超低k膜を堆積する前記ステップが、前記第1の前駆ガスとしてのジエトキシメチルシランに対する前記第2の前駆ガスとしてのビシクロヘプタジエンの流量比を0.1および3の間に設定するステップを更に含む、請求項1に記載の方法。
  21. 前記ジエトキシメチルシランに対する前記ビシクロヘプタジエンの前記流量比が0.2および0.6の間である、請求項20に記載の方法。
  22. 前記PECVDリアクタを連続モードで動作させる、請求項1に記載の方法。
  23. 前記PECVDリアクタをパルス・モードで動作させる、請求項1に記載の方法。
  24. 熱的に安定した超低k膜を製造するための方法であって、
    プラズマ化学気相成長(PECVD)リアクタを用意するステップと、
    基板チャック上に前処理したウエハを配置するステップであって、前記基板チャックが300cmおよび800cmの間の面積を有し、前記ウエハと上部電極との間に1cmおよび10cmの間の間隙を維持する、ステップと、
    ジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択された第1の前駆ガスを前記PECVDリアクタ内に流すステップと、
    酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択された第2の前駆ガスを前記PECVDリアクタ内に流すステップと、
    前記第1の前駆ガス及び前記第2の前駆ガスを前記PECVDリアクタ内で反応させることにより超低k膜を前記ウエハ上に堆積するステップと、
    を含む、方法。
  25. 熱的に安定した超低k膜を製造するための方法であって、
    プラズマ化学気相成長(PECVD)タイプのリアクタを用意するステップと、
    基板チャック上にウエハを配置するステップであって、前記基板チャックが300cmおよび800cmの間の面積を有し、前記ウエハと上部電極との間に1cmおよび10cmの間の間隙を維持する、ステップと、
    前記リアクタ内に、25℃および400℃の間の温度に維持した前記ウエハ上に、ジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択された第1の前駆ガスを5sccmおよび1000sccmの間の流量で流し、酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択された第2の前駆ガスを5sccmおよび1000sccmの間の流量で流すステップであって、前記リアクタ内の圧力を50mtorrおよび8000mtorrの間に維持するステップと、
    0.05W/cmおよび3.0W/cmの間のRF電力密度のもとで、超低k膜を前記ウエハ上に堆積するステップと、
    前記超低k膜を処理して前記膜の安定性を改善するステップを更に含み、前記処理が、熱、化学、紫外(UV)光、電子ビーム(eビーム)、マイクロ波、プラズマ、およびそれらの組み合わせから選択したエネルギ源を含む、ステップと、
    を含む、方法。
  26. 前記処理が、300℃以上の温度における少なくとも0.25時間のアニーリングを含む、請求項25に記載の方法。
  27. Si、C、O、およびHの元素を含む誘電材料であって、前記誘電材料がランダムに共有結合した三次元ネットワーク構造を有し、誘電率が2.5以下であり、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記誘電材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択される、誘電材料。
  28. 前記ランダムに共有結合した三次元構造が、Si−O、Si−C、Si−H、C−H、およびC−C結合を含む、請求項27に記載の誘電材料。
  29. 前記誘電材料が少なくとも430℃の温度まで熱的に安定である、請求項27に記載の誘電材料。
  30. 前記誘電材料が多数のナノメートル・サイズの孔を更に含む、請求項27に記載の誘電材料。
  31. 前記多数のナノメートル・サイズの孔の寸法が0.3および50ナノメートルの間である、請求項30に記載の誘電材料。
  32. バックエンド工程(BEOL)絶縁体、キャップ、またはハードマスク層として誘電材料を含むBEOL相互接続構造であって、前記誘電材料がSi、C、O、およびHの元素を含み、ランダムに共有結合した三次元ネットワーク構造を有し、誘電率が2.5以下であり、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記誘電材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択される、BEOL相互接続構造。
  33. 配線構造においてレベル内またはレベル間誘電体として絶縁材料層を有する構造であって、
    第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、
    超低k材料で形成された第2の絶縁材料層に埋め込まれた第1の導体領域であって、前記超低k材料がSi、C、O、およびH、ならびに多数のナノメートル・サイズの孔を含み、前記超低k材料が2.5以下の誘電率を有し、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記超低k材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択され、前記第2の絶縁材料層が前記第1の絶縁材料層と密着し、前記第1の導体領域が前記第1の金属領域と電気的に通信状態にある、第1の導体領域と、
    前記第1の導体領域と電気的に通信状態にあり、前記超低k材料を含む第3の絶縁材料層に埋め込まれた第2の導体領域であって、前記第3の絶縁材料層が前記第2の絶縁材料層と密着している、第2の導体領域と、
    を含む、構造。
  34. 前記第2の絶縁材料層と前記第3の絶縁材料層との間に位置する誘電キャップ層を更に含む、請求項33に記載の構造。
  35. 前記第2の絶縁材料層と前記第3の絶縁材料層との間の第1の誘電キャップ層と、前記第3の絶縁材料層の上の第2の誘電キャップ層と、を更に含む、請求項33に記載の構造。
  36. 前記誘電キャップ層が、酸化シリコン、窒化シリコン、酸窒化シリコン、耐熱金属の窒化シリコン、炭化シリコン、SiCN、SiCO、および炭素ドーピング酸化物、およびそれらの水素含有化合物から成る群から選択された材料で形成されている、請求項34に記載の構造。
  37. 前記耐熱金属窒化シリコンが、Ta、Zr、Hf、およびWから成る群から選択された耐熱金属を含む、請求項33に記載の構造。
  38. 前記第1の誘電キャップ層および前記第2の誘電キャップ層が、酸化シリコン、窒化シリコン、酸窒化シリコン、耐熱金属の窒化シリコン、炭化シリコン、SiCN、SiCO、および炭素ドーピング酸化物、およびそれらの水素含有化合物から成る群から選択された材料で形成されている、請求項35に記載の構造。
  39. 前記耐熱金属窒化シリコンが、Ta、Zr、Hf、およびWから成る群から選択された耐熱金属を含む、請求項38に記載の構造。
  40. 前記第1の絶縁材料層が、酸化シリコン、窒化シリコン、PS(phosphosilicate glass)、BPSG(borophosphosilicate glass)、および他の、これらの材料にドーピングしたものから成る群から選択したものである、請求項33に記載の構造。
  41. 前記第2の絶縁材料層および前記第3の絶縁材料層の少なくとも一方に堆積した誘電材料の拡散バリア層を更に含む、請求項33に記載の構造。
  42. 前記第2の絶縁材料層の上の誘電反応性イオン・エッチング(RIE)・ハード・マスク/研磨ストップ層、および、前記RIEハード・マスク/研磨ストップ層の上の誘電拡散バリア層を更に含む、請求項33に記載の構造。
  43. 前記第2の絶縁材料層の上の第1の誘電RIEハード・マスク/研磨ストップ層と、前記第1の誘電RIEハード・マスク/研磨ストップ層の上の第1の誘電拡散バリア層と、前記第3の絶縁材料層の上の第2の誘電RIEハード・マスク/研磨ストップ層と、前記第2の誘電RIEハード・マスク/研磨ストップ層の上の第2の誘電拡散バリア層と、を更に含む、請求項33に記載の構造。
  44. 超低k材料のレベル間誘電体と超低k材料のレベル内誘電体との間の誘電キャップ層を更に含む、請求項43に記載の構造。
  45. 配線構造においてレベル内またはレベル間誘電体として絶縁材料層を有する構造であって、
    第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、
    超低k材料で形成された少なくとも1つの第2の絶縁材料層に埋め込まれた少なくとも1つの第1の導体領域であって、前記超低k材料が、Si、C、O、およびH、ならびに多数のナノメートル・サイズの孔から成り、前記超低k材料が2.5以下の誘電率を有し、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記超低k材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択され、前記少なくとも1つの第2の絶縁材料層の1つが前記第1の絶縁材料層と密着し、前記少なくとも1つの第1の導体領域の1つが前記第1の金属領域と電気的に通信状態にある、少なくとも1つの第1の導体領域と、
    を含む、構造。
  46. 各前記少なくとも1つの第2の絶縁材料層間に位置する誘電キャップ層を更に含む、請求項45に記載の構造。
  47. 前記少なくとも1つの第2の絶縁材料層の各々間の第1の誘電キャップ層と、前記一番上の第2の絶縁材料層の上の第2の誘電キャップ層と、を更に含む、請求項45に記載の構造。
  48. 前記第1の誘電キャップ層および前記第2の誘電キャップ層が超低k材料で形成されている、請求項47に記載の構造。
  49. 前記第1の誘電キャップ層および前記第2の誘電キャップ層が改質された超低k材料で形成されている、請求項47に記載の構造。
  50. 前記誘電キャップ層が、酸化シリコン、窒化シリコン、酸窒化シリコン、耐熱金属の窒化シリコン、炭化シリコン、SiCN、SiCO、および炭素ドーピング酸化物、およびそれらの水素含有化合物から成る群から選択された材料で形成されている、請求項47に記載の構造。
  51. 前記耐熱金属窒化シリコンが、Ta、Zr、Hf、およびWから成る群から選択された耐熱金属を含む、請求項45に記載の構造。
  52. 配線構造においてレベル内またはレベル間誘電体として絶縁材料層を有する構造であって、
    第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、
    第2の絶縁材料層に埋め込まれた第1の導体領域であって、前記第2の絶縁材料層が前記第1の絶縁材料層と密着し、前記第1の導体領域が前記第1の金属領域と電気的に通信状態にある、第1の導体領域と、
    前記第1の導体領域と電気的に通信状態にあり、第3の絶縁材料層に埋め込まれている第2の導体領域であって、前記第3の絶縁材料層が前記第2の絶縁材料層と密着している、第2の導体領域と、
    前記第2の絶縁材料層と前記第3の絶縁材料層との間の第1の誘電キャップ層と、
    前記第3の絶縁材料層の上の第2の誘電キャップ層と、
    を含み、前記第1および第2の誘電キャップ層が超低k材料で形成され、前記超低k材料がSi、C、O、およびH、ならびに多数のナノメートル・サイズの孔を含み、前記超低k材料が2.5以下の誘電率を有し、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記超低k材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択される、構造。
  53. 配線構造においてレベル内またはレベル間誘電体として絶縁材料層を有する構造であって、
    第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、
    第2の絶縁材料層に埋め込まれた第1の導体領域であって、前記第2の絶縁材料層が前記第1の絶縁材料層と密着し、前記第1の導体領域が前記第1の金属領域と電気的に通信状態にある、第1の導体領域と、
    前記第1の導体領域と電気的に通信状態にあり、第3の絶縁材料層に埋め込まれている第2の導体領域であって、前記第3の絶縁材料層が前記第2の絶縁材料層と密着している、第2の導体領域と、
    前記第2の絶縁材料層と前記第3の絶縁材料層の少なくとも1つの上に配置された超低k材料を含む材料で形成された拡散バリア層であって、前記超低k材料がSi、C、O、およびH、ならびに多数のナノメートル・サイズの孔を含み、前記超低k材料が2.5以下の誘電率を有し、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記超低k材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択される、拡散バリア層と、
    を含む、構造。
  54. 配線構造においてレベル内またはレベル間誘電体として絶縁材料層を有する構造であって、
    第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、
    第2の絶縁材料層に埋め込まれた第1の導体領域であって、前記第2の絶縁材料層が前記第1の絶縁材料層と密着し、前記第1の導体領域が前記第1の金属領域と電気的に通信状態にある、第1の導体領域と、
    前記第1の導体領域と電気的に通信状態にあり、第3の絶縁材料層に埋め込まれている第2の導体領域であって、前記第3の絶縁材料層が前記第2の絶縁材料層と密着している、第2の導体領域と、
    前記第2の絶縁材料層の上の反応性イオン・エッチング(RIE)・ハード・マスク/研磨ストップ層と、
    前記RIEハード・マスク/研磨ストップ層の上の拡散バリア層と、
    を含み、前記RIEハード・マスク/研磨ストップ層および前記拡散バリア層が超低k材料で形成され、前記超低k材料がSi、C、O、およびH、ならびに多数のナノメートル・サイズの孔を含み、前記超低k材料が2.5以下の誘電率を有し、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記超低k材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択される、構造。
  55. 配線構造においてレベル内またはレベル間誘電体として絶縁材料層を有する構造であって、
    第1の絶縁材料層に埋め込まれた第1の金属領域を有する前処理した半導体基板と、
    第2の絶縁材料層に埋め込まれた第1の導体領域であって、前記第2の絶縁材料層が前記第1の絶縁材料層と密着し、前記第1の導体領域が前記第1の金属領域と電気的に通信状態にある、第1の導体領域と、
    前記第1の導体領域と電気的に通信状態にあり、第3の絶縁材料層に埋め込まれている第2の導体領域であって、前記第3の絶縁材料層が前記第2の絶縁材料層と密着している、第2の導体領域と、
    前記第2の絶縁材料層の上の第1のRIEハード・マスク/研磨ストップ層と、
    前記RIEハード・マスク/研磨ストップ層の上の第1の拡散バリア層と、
    前記第3の絶縁材料層の上の第2のRIEハード・マスク/研磨ストップ層と、
    前記第2のRIEハード・マスク/研磨ストップ層の上の第2の拡散バリア層と、
    を含み、前記RIEハード・マスク/研磨ストップ層および前記拡散バリア層が、Si、C、O、およびH、ならびに多数のナノメートル・サイズの孔を含む超低k材料で形成され、前記超低k材料が2.5以下の誘電率を有し、FTIRスペクトルが3つのピークに解析可能なSi−O吸収帯を有し、前記Si、C、O、およびH元素を含む前記超低k材料が第1の前駆物質および第2の前駆物質をプラズマ化学気相成長(PECVD)リアクタのチャンバ内で反応させることにより堆積され、前記第1の前駆物質がジエトキシジメチルシラン、ジエトキシメチルシラン(DEMS)、エトキシルトリメチルシラン、エトキシジメチルシラン、ジメトキシジメチルシラン、ジメトキシメチルシラン、トリエトキシシランおよびトリメトキシメチルシランからなる群から選択され、前記第2の前駆物質が酸化エチレン、酸化プロピレン、酸化シクロペンテン、酸化イソブチレン、2,2,3−トリメチルオキシラン、一酸化ブタジエン、ビシクロヘプタジエン、1,2−エポキシ−5−ヘキセン、2−メチル−2−ビニルオキシラン、1−イソプロピル−シクロヘキサ−1,3−ジエンおよびtert−ブチルメチルエーテルからなる群から選択される、構造。
  56. レベル間誘電体層とレベル内誘電体層との間に配置された前記超低k材料を含む材料で形成された誘電キャップ層を更に含む、請求項55に記載の構造。
  57. 前記PECVDリアクタが平行板リアクタである、請求項1に記載の方法。
  58. 前記PECVDリアクタ内にプラズマを形成するステップを更に含む、請求項1に記載の方法。
  59. 前記PECVDリアクタが平行板リアクタである、請求項24に記載の方法。
  60. 前記PECVDリアクタ内にプラズマを形成するステップを更に含む、請求項24に記載の方法。
  61. 前記PECVDリアクタが平行板リアクタである、請求項25に記載の方法。
  62. 前記PECVDリアクタ内にプラズマを形成するステップを更に含む、請求項25に記載の方法。
JP2007511362A 2004-05-03 2005-03-23 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造 Expired - Fee Related JP4756036B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/838,849 2004-05-03
US10/838,849 US7049247B2 (en) 2004-05-03 2004-05-03 Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
PCT/US2005/009820 WO2005112095A1 (en) 2004-05-03 2005-03-23 An improved method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Publications (2)

Publication Number Publication Date
JP2007536733A JP2007536733A (ja) 2007-12-13
JP4756036B2 true JP4756036B2 (ja) 2011-08-24

Family

ID=34963531

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007511362A Expired - Fee Related JP4756036B2 (ja) 2004-05-03 2005-03-23 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造

Country Status (7)

Country Link
US (2) US7049247B2 (ja)
EP (1) EP1745504A1 (ja)
JP (1) JP4756036B2 (ja)
KR (1) KR100956580B1 (ja)
CN (1) CN100524648C (ja)
TW (2) TWI353637B (ja)
WO (1) WO2005112095A1 (ja)

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7256124B2 (en) * 2005-03-30 2007-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
JP2007214403A (ja) * 2006-02-10 2007-08-23 Renesas Technology Corp 半導体装置の製造方法
FR2904728B1 (fr) 2006-08-01 2008-11-21 Air Liquide Nouveaux precurseurs porogenes et couches dielectriques poreuses obtenues a partir de ceux-ci
US8053375B1 (en) * 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
US7638443B2 (en) * 2006-11-14 2009-12-29 Asm Japan K.K. Method of forming ultra-thin SiN film by plasma CVD
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
JP5118337B2 (ja) * 2006-11-30 2013-01-16 アペックス株式会社 エキシマ真空紫外光照射処理装置
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
CN101836108B (zh) * 2007-10-05 2013-05-29 3M创新有限公司 具有等离子体沉积微孔层的有机化学传感器及其制备和使用方法
US8258629B2 (en) 2008-04-02 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Curing low-k dielectrics for improving mechanical strength
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
JP2010192520A (ja) 2009-02-16 2010-09-02 Elpida Memory Inc 半導体装置の製造方法
JP5705751B2 (ja) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8314005B2 (en) 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
CN102762763B (zh) 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 SiCOH低K膜的气相沉积法
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
JP2012201658A (ja) * 2011-03-28 2012-10-22 Tosoh Corp 成膜材料、それを用いた膜の製造方法及びその用途
CN102751233B (zh) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 互连结构形成方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
CN107523808B (zh) * 2017-08-23 2019-05-10 江苏菲沃泰纳米科技有限公司 一种有机硅纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10020254B1 (en) 2017-10-09 2018-07-10 International Business Machines Corporation Integration of super via structure in BEOL
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN110255999B (zh) * 2019-06-10 2021-02-12 北京科技大学 一种氮氧双掺杂多孔空心碗形碳材料及其制备方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113390305B (zh) * 2021-08-16 2021-10-29 北京航天天美科技有限公司 疏水憎冰涂层及具有该涂层的弹翼结构
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP2001326224A (ja) * 2000-02-28 2001-11-22 Canon Sales Co Inc 半導体装置及びその製造方法
WO2003095702A2 (en) * 2002-05-08 2003-11-20 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
JP2004006822A (ja) * 2002-04-17 2004-01-08 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2004515057A (ja) * 2000-10-25 2004-05-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
JP2004534373A (ja) * 2000-08-02 2004-11-11 インターナショナル・ビジネス・マシーンズ・コーポレーション 多相低誘電率材料およびその堆積方法
JP2005019980A (ja) * 2003-05-29 2005-01-20 Air Products & Chemicals Inc 低誘電率有機ケイ酸塩ガラス膜の作製方法
JP2005530363A (ja) * 2002-06-19 2005-10-06 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイスの層内または層間誘電体としての超低誘電率材料

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7381441B2 (en) 2002-04-10 2008-06-03 Honeywell International Inc. Low metal porous silica dielectric for integral circuit applications
US20030211244A1 (en) 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US20020034625A1 (en) * 1999-05-26 2002-03-21 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
US20020037442A1 (en) * 1999-05-26 2002-03-28 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
JP2001326224A (ja) * 2000-02-28 2001-11-22 Canon Sales Co Inc 半導体装置及びその製造方法
JP2004534373A (ja) * 2000-08-02 2004-11-11 インターナショナル・ビジネス・マシーンズ・コーポレーション 多相低誘電率材料およびその堆積方法
JP2004515057A (ja) * 2000-10-25 2004-05-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
JP2004006822A (ja) * 2002-04-17 2004-01-08 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
WO2003095702A2 (en) * 2002-05-08 2003-11-20 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
JP2005524983A (ja) * 2002-05-08 2005-08-18 アプライド マテリアルズ インコーポレイテッド 電子ビームによって低誘電率膜を硬化する方法
JP2005530363A (ja) * 2002-06-19 2005-10-06 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイスの層内または層間誘電体としての超低誘電率材料
JP2005019980A (ja) * 2003-05-29 2005-01-20 Air Products & Chemicals Inc 低誘電率有機ケイ酸塩ガラス膜の作製方法

Also Published As

Publication number Publication date
TWI353637B (en) 2011-12-01
TWI374472B (en) 2012-10-11
WO2005112095A1 (en) 2005-11-24
KR100956580B1 (ko) 2010-05-10
US20050245096A1 (en) 2005-11-03
CN100524648C (zh) 2009-08-05
TW201130048A (en) 2011-09-01
CN1950932A (zh) 2007-04-18
TW200603223A (en) 2006-01-16
US7312524B2 (en) 2007-12-25
US7049247B2 (en) 2006-05-23
US20060110937A1 (en) 2006-05-25
EP1745504A1 (en) 2007-01-24
JP2007536733A (ja) 2007-12-13
KR20070004966A (ko) 2007-01-09

Similar Documents

Publication Publication Date Title
JP4756036B2 (ja) 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
KR100724508B1 (ko) 초저 K(ULK) SiCOH 막 및 그 형성 방법
JP4755831B2 (ja) 低誘電率および超低誘電率のSiCOH誘電体膜ならびにその形成方法
US7357977B2 (en) Ultralow dielectric constant layer with controlled biaxial stress
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP2008527757A5 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110322

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110524

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110530

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140603

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees