KR20070004966A - 반도체 디바이스 및 이것으로 제조된 전자 디바이스에있어서 레벨내 또는 레벨간 유전체로서 초저 유전 상수재료를 제조하는 개량 방법 - Google Patents

반도체 디바이스 및 이것으로 제조된 전자 디바이스에있어서 레벨내 또는 레벨간 유전체로서 초저 유전 상수재료를 제조하는 개량 방법 Download PDF

Info

Publication number
KR20070004966A
KR20070004966A KR1020067023662A KR20067023662A KR20070004966A KR 20070004966 A KR20070004966 A KR 20070004966A KR 1020067023662 A KR1020067023662 A KR 1020067023662A KR 20067023662 A KR20067023662 A KR 20067023662A KR 20070004966 A KR20070004966 A KR 20070004966A
Authority
KR
South Korea
Prior art keywords
insulating material
dielectric
ultra low
layer
material layer
Prior art date
Application number
KR1020067023662A
Other languages
English (en)
Other versions
KR100956580B1 (ko
Inventor
스티븐 엠. 게이츠
알프레드 그릴
데이비드 알. 메데이로스
데보라 뉴마이어
손 반 구엔
비시누브하이 브이. 파텔
신후이 왕
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20070004966A publication Critical patent/KR20070004966A/ko
Application granted granted Critical
Publication of KR100956580B1 publication Critical patent/KR100956580B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 플라즈마 강화형 화학 증기 증착("PECVD") 공정을 이용하는 병렬 플레이트 유형의 화학 증착 반응기 내에서 Si, C, O 및 H를 포함하는 열적 안정한 초저 유전 상수 필름을 제조하는 방법을 개시하고 있다. 또한, 본 발명은 상기 방법으로 제조한 열적 안정한 초저 유전 상수 재료의 절연 층을 함유하는 전자 디바이스도 개시하고 있다. 열적 안정한 초저 유전 상수 필름의 제조를 가능하도록 하기 위해서는, 특정한 전구체 재료, 예컨대 실란 유도체, 예를 들면 디에톡시메틸실란(DEMS)와 유기 분자, 예를 들면 바이시클로헵타디엔 및 시클로펜텐 옥사이드를 사용한다.
플라즈마 강화형 화학 증기 증착(PECVD) 공정, 초저 유전 상수(k) 재료. 전자 구조체

Description

반도체 디바이스 및 이것으로 제조된 전자 디바이스에 있어서 레벨내 또는 레벨간 유전체로서 초저 유전 상수 재료를 제조하는 개량 방법{AN IMPROVED METHOD FOR FABRICATING AN ULTRALOW DIELECTRIC CONSTANT MATERIAL AS AN INTRALEVEL OR INTERLEVEL DIELECTRIC IN A SEMICONDUCTOR DEVICE AND ELECTRONIC DEVICE}
본 발명은 일반적으로 초저 유전 상수(또는 초저 k)를 갖는 유전체 재료 및 이러한 유전체 재료를 함유하는 전자 디바이스를 제조하는 방법에 관한 것이다. 보다 구체적으로, 본 발명은 "ULSI"(ultra-large-scale integration) "BEOL"(back-end-of-the-line) 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 사용하기 위한 열적 안정한 초저 k 필름을 제조하는 방법 및 이러한 방법에 의해 형성된 전자 구조체(electronic structure)에 관한 것이다.
최근 ULSI 회로에 사용되는 전자 디바이스의 치수의 지속적인 축소하는 과정은 결과적으로 BEOL 금속화의 레지스턴스를 증가시킬 뿐만 아니라 층내 및 층간 유전체의 커패시턴스를 증가시키고 있다. 이러한 조합 효과는 ULSI 전자 디바이스에서 신호 지연을 증가시킨다. 미래 ULSI 회로의 스위칭 성능(switching performance)을 개선시키기 위해서, 저 유전 상수(k) 절연체, 특히 규소 산화물 보다 현저하게 낮은 k를 갖는 절연체가 커패시턴스를 감소시키는 데 필요하다. 낮은 k 값을 갖는 유전체 재료(즉, 유전체)가 상업적으로 이용가능하다. 이와 같이 상업적으로 이용가능한 한가지 재료로는 예를 들면 폴리테트라플루오로에틸렌("PTFE")이 있으며, 이것은 약 2.0의 유전 상수를 갖고 있다. 그러나, 대부분 상업적으로 이용가능한 유전체 재료는 약 300℃ 이상의 온도에 노출시 열적으로 안정하지 못한다. 현행 ULSI 칩에서 저 k 유전체의 집적화는 400℃ 이상에서 열적 안정성을 필요로 한다.
ULSI 디바아스에서의 용도에 고려되고 있는 저 k 재료는 Si, C, 0 및 H의 원소를 함유하는 중합체, 예컨대 메틸실록산, 메틸실세스퀴옥산, 및 다른 유기 및 무기 중합체를 포함한다. 실제 예를 들면, 논문(N. Hacker et al. "Properties of new low dielectric constant spin-on silicon oxide based dielectrics" Mat. Res. Soc. Symp. Proc. 476 (1997): 25)에는 열적 안정성 요건을 만족하는 것으로 보이는 재료들이 기재되어 있지만, 이들 재료 중 일부는 스핀-온(spin-on) 기법으로 필름을 제조할 경우 인터커넥트 구조체 내에 집적하는 데 요구되는 두께에 도달할 때 균열을 쉽게 전파시킨다. 게다가, 이러한 종래 기술의 전구체 재료는 가격이 매우 높고, 대량 생산에 사용하는 것이 금지되어 있다. 더구나, "VLSI"(very-large-scale-integration) 및 ULSI 칩의 제조 단계 대부분은 플라즈마 강화형 화학 또는 물리 증착 기법에 의해 수행한다.
종래 장치된 이용가능한 공정 장비를 사용하는 플라즈마 강화형 화학 증착(PECVD) 기법으로 저 k 재료를 제조할 수 있다는 점은 제조 공정에서의 그 집적화를 단순화하고, 제조 비용을 감소시키며, 보다 덜 유해한 폐기물을 산출하게 된 다. 미국 특허 제6,147,009호 및 제6,497,963호에는 Si, C, 0 및 H 원자의 원소로 구성되어 있고 3.6 이하의 유전 상수를 가지고 있으며 매우 낮은 균열 전파 속도를 나타내는 저 유전 상수 재료가 기재되어 있다.
미국 특허 제6,312,793호, 제6,441,491호, 제6,541,398 및 제6,479,110 B2호에는 Si, C, 0 및 H의 원소로 구성된 매트릭스 상과 C 및 H로 주구성된 다른 상으로 구성되어 있는 다상 저 k 유전체 재료가 기재되어 있다. 전술한 특허에 개시된 유전체 재료는 3.2 이하의 유전 상수를 갖고 있다.
미국 특허 제6,437,443호에는 2 이상의 상을 갖는 저 k 유전 재료가 기재되어 있으며, 여기서 제1 상은 SiCOH 재료로 형성되어 있다. 상기 저 k 유전체 재료는 플라즈마 강화형 화학 증착 체임버에서 Si, C, 0 및 H의 원자를 함유하는 제1 전구체 기체와 C 및 H의 원자를 주로 함유하고 임의로 F, N 및 0의 원자를 함유하는 하나 이상의 제2 전구체 기체를 반응시킴으로써 제공된다.
저 k 유전체 재료에 대한 다수의 개시내용에도 불구하고, 약 2.5 이하의 유전 상수를 갖고 있고 현행 ULSI 기술에서 사용되는 공정 온도 내에서 낮은 응력 및 열적 안정성을 갖고 있는 유전체 재료를 개발해야 할 필요성은 지속적으로 대두되고 있다.
발명의 개요
본 발명은 약 2.5 이하의 유전 상수를 갖고 있는 초저 유전 상수(즉, 초저 k) 재료를 제조하는 방법을 제공한다. 본 발명에 제공되는 초저 k 재료에 대한 유전 상수는 약 1.5 내지 약 2.5인 것이 보다 바람직하고, 유전 상수는 약 1.8 내지 약 2.25인 것이 가장 바람직하다. 모든 유전 상수는 달리 특정하지 않는 한 진공에 상대적인 것임을 유의해야 한다.
또한, 본 발명은 2 이상의 전구체로 된 혼합물로부터 Si, C, 0 및 H 원자를 포함하는 초저 유전 상수 재료를 제조하는 방법을 제공하며, 상기 방법에서 제1 전구체는 분자식 SiRR'R"R"'을 갖는 실란(SiH4) 유도체로부터 선택되고, 상기 식 중 R, R', R" 및 R"'는 동일하거나 상이할 수 있으며, H, 알킬 및 알콕시 중에서 선택된다. R, R', R" 및 R"'는 동일하거나 상이하며, 메틸, 에틸, 메톡시 및 에톡시인 것이 바람직하다. 바람직한 제1 전구체로는 디에톡시디메틸실란, 디에톡시메틸실란(DEMS), 에톡시트리메틸실란, 에톡시디메틸실란, 디메톡시디메틸실란, 디메톡시메틸실란, 트리에톡시실란, 및 트리메톡시메틸실란을 들 수 있지만, 이에 국한되는 것은 아니다.
본 발명의 용도에서 사용되는 제2 전구체는 하기 화학식의 화합물들 중에서 선택되는 유기 화합물이다.
Figure 112006082525462-PCT00001
상기 식 중에서, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다. 바람직한 제2 전구체로는 에틸렌 옥사이드, 프로필렌 옥사이드, 시클로펜텐 옥사이드, 이소부틸렌 옥사이드, 2,2,3-트리메틸옥시란, 부타디엔모노옥사이드, 바이시클로헵타디엔, 1,2-에폭시-5-헥센, 2-메틸-2-비닐옥시란, 1-이소프로필-시클로헥사-1,3-디엔 및 tert-부틸메틸에테르를 들 수 있지만, 이에 국한되는 것은 아니다.
실란 유도체를 제2 유기 전구체와 조합하여 포함하는 제1 전구체를 사용하는 것은 SiCOH 매트릭스 내로 소공 형성 상을 효율적으로 혼입하는 것 및 종래 기술에서 설명한 전구체로 제조한 것보다 더 저렴한 비용으로 SiCOH 필름을 제조하는 것을 가능하게 한다.
실란 유도체를 제2 유기 전구체와 조합하여 포함하는 제1 전구체를 사용하는 것은 본 발명의 방법에 따라 감소된 인장 응력을 갖고 있는 다공성 SiCOH 유전체를 가능하게 한다.
또한, 본 발명은 병렬 플레이트 유형의 플라즈마 강화형 화학 증착("PECVD") 반응기에서 초저 유전체를 제조 하는 방법을 제공할 뿐만 아니라 "BEOL" 인터커넥트 구조체에 있어서 레벨내 또는 레벨간 유전체로서 전자 구조체 내에 사용하기 위한 초저 k 재료를 제조하는 방법을 제공한다.
다른 양태에서, 또한 본 발명은 저 내부 응력 및 약 2.5 이하의 유전 상수를 갖고 있는 열적 안정한 초저 k 재료를 제공한다. 초저 k 재료에 대한 유전 상수는 약 1.5 내지 약 2.5인 것이 보다 바람직하고, 유전 상수는 약 1.8 내지 약 2.25인 것이 바람직하다.
또다른 양태에서, 본 발명은 "BEOL" 배선 구조체에서 레벨내 또는 레벨간 유전체로서 절연 재료 층을 혼입하고 있는 전자 구조체를 제공하며, 여기서 절연 재료 층 중 2개 이상은 본 발명의 초저 k 재료를 포함한다.
또다른 실시양태에서, 본 발명은 "BEOL" 배선 구조체에서 레벨내 또는 레벨간 유전체로서 본 발명의 초저 k 재료 층을 갖고 있고 "RIE"(reactive ion etch) 정지 층 또는 화학적-기계적 폴리싱 정지 층 또는 확산 차단 층으로서 하나 이상의 유전체 캡 층(dielectric cap layer)을 추가로 함유하고 있는 전자 구조체를 제공한다.
본 발명에 따르면, 본 발명은 Si, C, 0, 및 H 원자를 포함하는 매트릭스 및 원자 레벨 나노다공도(nanoporosity)를 갖고 있는 열적 안정한 유전체 재료를 제조하는 방법을 제공한다. 바람직한 실시양태에서, 그 유전체 재료는 Si, C, O, 및 H로 주구성되는 매트릭스를 갖는다. 추가로, 본 발명은 플라즈마 강화형 화학 증착("PECVD") 반응기에서 Si, C, 0, 및 H의 원자를 포함하는 제1 규소 함유 전구체 기체와 C 및 H의 원자를 포함하고 임의로 0, F 및 N를 함유하는 하나 이상의 제2 유기 함유 전구체 기체를 반응시킴으로써 유전체 재료를 제조하는 방법을 제공한다. 이 본 발명의 유전체 재료는 3개의 피이크로 풀어질(deconvoluted) 수 있는 Si-O 흡수 밴드를 갖고 있는 FTIR 스펙트럼을 갖는다. 추가로, 본 발명은 ("BEOL") 배선 구조체에서 사용된 레벨내 또는 레벨간 유전체로서 절연 재료 층을 갖고 있는 전자 구조체(즉, 기판)를 제공하며, 여기서 절연 재료는 본 발명의 초저 k 필름일 수 있다.
바람직한 실시양태에서, 본 발명은 열적 안정한 초저 k 필름을 제조하는 방법을 제공하며, 상기 방법은 플라즈마 강화형 화학 증착 ("PECVD") 반응기를 제공하는 단계; 이 반응기 내에 전자 구조체(즉, 기판) 배치하는 단계; 반응기 내로 Si, C, 0, 및 H의 원자를 포함하는 제1 규소 함유 전구체 기체를 유동시키는 단계; 반응기 내로 C 및 H의 원자를 포함하고 임의로 0, F 및 N의 원자를 포함하는 제2 유기 함유 전구체 기체 혼합물을 유동시키는 단계; 및 기판에 초저 k 필름을 증착시키는 단계를 포함한다.
바람직하게는, 제1 전구체는 분자식 SiRR'R"R"'을 갖는 실란(SiH4) 유도체로부터 선택되고, 상기 식 중 R, R', R" 및 R"'는 동일하거나 상이할 수 있으며, H, 알킬 및 알콕시, 바람직하게는 메틸, 에틸, 메톡시 및 에톡시 중에서 선택된다. 바람직한 제1 전구체로는 디에톡시디메틸실란, 디에톡시메틸실란(DEMS), 에톡시트리메틸실란, 에톡시디메틸실란, 디메톡시디메틸실란, 디메톡시메틸실란, 트리에톡시실란, 및 트리메톡시메틸실란을 들 수 있지만, 이에 국한되는 것은 아니다.
본 발명의 용도에서 사용되는 제2 전구체는 하기 화학식의 화합물들 중에서 선택되는 유기 화합물이다.
Figure 112006082525462-PCT00002
상기 식 중에서, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다. 바람직한 제2 전구체로는 에틸렌 옥사이드, 프로필렌 옥사이드, 시클로펜텐 옥사이드, 이소부틸렌 옥사이드, 2,2,3-트리메틸옥시란, 부타디엔모노옥사이드, 바이시클로헵타디엔(또한, 2,5-노르보나디엔으로 공지되어 있기도 함), 1,2-에폭시-5-헥센, 2-메틸-2-비닐옥시란, 1-이소프로필-시클로헥사-1,3-디엔 및 tert-부틸메틸에테르를 들 수 있지만, 이에 국한되는 것은 아니다.
임의로, 본 발명의 증착된 필름은 약 0.25 시간 이상의 시간 동안 약 300℃ 이상의 온도에서 열 처리할 수 있다. 대안으로, 본 발명의 증착된 필름은 UV 또는 전자빔 처리할 수 있다.
본 발명의 방법은 병렬 플레이트 유형의 반응기를 제공하는 단계를 더 포함할 수 있으며, 상기 반응기는 약 300 cm2 내지 약 800 cm2의 기판 척(chuck) 면적을 갖고, 약 1 cm 내지 약 10 cm의 기판과 상부 전극 간의 갭을 갖는다.
대안으로, 다중-스테이션 반응기도 필름 증착에 사용할 수 있다. 여기에서는 고주파수 RF 전력을 약 12 MHz 내지 약 15 MHz의 주파수에서 전극 중 하나에 인가한다. 임의로, 추가의 낮은 주파수 전력, 예를 들면 2MHz 또는 그 이하 주파수(350-450 KHz)의 전력을 전극 중 하나에 인가할 수 있다.
열 처리 단계는 추가로 제1 시간 동안 약 300℃ 이하의 온도에서 수행한 후, 제2 시간 동안 약 380℃ 이상의 온도에서 수행할 수 있으며. 상기 제2 시간은 제1 시간보다 더 길다. 제2 시간은 제1 시간의 약 10 배 이상 더 길 수 있다. 열적으로 처리된 필름은 UV 방사선 또는 전자빔에 노출시킴으로써 임의로 처리할 수 있다.
본 발명의 초저 k 필름의 증착 단계는 추가로 약 25℃ 내지 약 400℃로 기판 온도를 설정하는 단계; 약 0.05 W/cm2 내지 약 3.5 W/cm2로 고주파수 RF 전력 밀도를 설정하는 단계; 약 5 sccm 내지 약 1,000 sccm으로 제1 전구체 유속을 설정하는 단계; 약 5 sccm 내지 약 1,000 sccm으로 제2 전구체 유속을 설정하는 단계; 0 sccm 내지 약 1,000 sccm으로 캐리어 기체(He) 유속을 설정하는 단계; 및 약 50 mtorr 내지 약 8,000 mtorr의 압력으로 반응기 압력을 설정하는 단계를 더 포함한다. 임의로, 초저 주파수 전력은 약 10 W 내지 약 300 W로 플라즈마에 첨가할 수 있다.
다른 바람직한 실시양태에서, 본 발명은 초저 k 필름을 제조하는 방법을 제공하며, 상기 방법은 플라즈마 강화를 갖는 병렬 플레이트 유형 화학 증착 반응기를 제공하는 단계; 약 300 cm2 내지 약 800 cm2의 면적을 보유하는 기판 척 상에 예비 처리된 웨이퍼를 배치하고 그 웨이퍼와 상부 전극 간의 갭을 약 1 cm 내지 약 10 cm으로 유지하는 단계; 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택되고, R, R', R" 및 R"'는 각각 독립적으로 메틸, 에틸, 메톡시 또는 에톡시임)을 갖는 실란 유도체 분자를 포함하는 제1 전구체 기체를 반응기 내로 유동시키는 단계; 하기 화학식의 화합물들로 이루어진 군 중에서 선택된 유기 분자를 포함하는 하나 이상의 전구체 기체를 반응기 내로 유동시키는 단계; 및 웨이퍼 상에 초저 k 필름을 증착시키는 단계를 포함한다.
Figure 112006082525462-PCT00003
상기 식 중에서, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
상기 방법은 추가로 증착 단계 후 약 0.25 시간 이상의 시간 동안 약 300℃ 이상의 온도에서 필름을 열 처리하는 단계 또는 증착 단계 후 필름을 UV 또는 전자빔 처리하는 단계를 더 포함할 수 있다. 상기 방법은 추가로 웨이퍼에 RF 전력을 인가하는 단계를 더 포함할 수 있다. 상기 열 처리 단계는 추가로 제1 시간 동안 약 300℃ 이하의 온도에서 수행한 후, 제2 시간 동안 약 380℃ 이상의 온도에서 수행할 수 있으며. 상기 제2 시간은 제1 시간보다 더 길다. 제2 시간은 제1 시간의 약 10 배 이상 더 길 수 있다.
사용된 실란 유도체 전구체는 디에톡시메틸실란(DEMS)일 수 있으며, 유기 전구체는 바이시클로헵타디엔(BCHD)일 수 있다. 초저 k 필름을 위한 증착 단계는 추가로 약 25℃ 내지 약 400℃로 웨이퍼 온도를 설정하는 단계; 약 0.05 W/cm2 내지 약 3.5 W/cm2로 고주파수 RF 전력 밀도를 설정하는 단계; 약 5 sccm 내지 약 1,000 sccm으로 실란 유도체 유속을 설정하는 단계; 약 5 sccm 내지 약 1,000 sccm으로 유기 전구체 유속을 설정하는 단계; 0 sccm 내지 약 1,000 sccm으로 캐리어 기체(He) 유속을 설정하는 단계; 및 약 50 mtorr 내지 약 8000 mtorr의 압력으로 반응기 압력을 설정하는 단계를 더 포함한다. 부가적으로, 증착 단계는 디에톡시메틸실란에 대한 바이시클로헵타디엔의 유속 비율을 약 0.1 내지 약 3, 바람직하게는 약 0.2 내지 0.6으로 설정하는 단계를 더 포함할 수 있다. 기판 척의 전도성 면적은 인자 X에 의해 변할 수 있으며, 인자 X는 동일 인자 X에 의한 RF 전력 변화를 유도한다.
다른 바람직한 실시양태에서, 본 발명은 열적 안정한 초저 k 유전체 필름을 제조하는 방법을 제공하며, 상기 방법은 병렬 플레이트 유형의 플라즈마 강화형 화학 증착 반응기를 제공하는 단계; 약 300 cm2 내지 약 800 cm2의 전도성 면적을 보유하는 기판 척 상에 웨이퍼를 배치하고 그 웨이퍼와 상부 전극 간의 갭을 약 1 cm 내지 약 10 cm으로 유지하는 단계; 전술한 실란 유도체와 유기 분자와의 전구체 기체 혼합물을 반응기내 웨이퍼 위로 유동시키는데, 약 100 mtorr 내지 약 8,000 mtorr로 반응기 압력을 유지하면서 약 25℃ 내지 약 400℃의 온도에서 약 25 sccm 내지 약 1,000 sccm의 총 유속으로 유지하는 것인 단계; 약 0.25 W/cm2 내지 약 3 W/cm2의 RF 전력 밀도 하에서 웨이퍼 상에 유전체 필름을 증착시키는 단계; 및 임의로 약 0.25 시간 이상 동안 약 300℃ 이상의 온도에서 초저 k 필름을 어닐링 처리하는 단계를 포함한다.
본 발명의 방법은 추가로 제1 시간 동안 약 300℃ 이하의 온도에서, 이어서 제2 시간 동안 약 380℃ 이상의 온도에서 필름을 어닐링 처리하는 단계를 더 포함할 수 있으며, 여기서 상기 제2 시간은 제1 시간보다 더 길다. 제2 시간은 제1 시간의 약 10 배 이상 더 길게 설정할 수 있다. 실란 유도체는 디에톡시메틸실란(DEMS)일 수 있고, 유기 전구체는 바이시클로헵타디엔(BCHD)일 수 있다.
또한, 발명은 "BEOL" 인터커넥트 구조체에서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체에 관한 것이며, 상기 전자 구조체는 제1 절연 재료 층에 매립된 제1 금속 영역, Si, C, 0 및 H의 원자 및 다수의 나노미터 크기 소공을 포함하고 약 2.5 이하의 유전 상수를 갖는 본 발명의 초저 k 유전체의 제2 절연 재료 층에 매립된 제1 전도체 영역, 및 제1 전도체 영역과 전기 소통하고 본 발명의 초저 k 유전체를 포함하는 제3 절연 재료 층에 매립된 제2 전도체 영역을 보유하고, 여기서 제2 절연 재료 층은 제1 절연 재료 층과 친밀한 접촉 상태로 존재하고, 제1 전도체 영역은 제1 금속 영역과 전기 소통하며, 제3 절연 재료 층은 제2 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 예비 처리된 반도체 기판을 포함한다. 상기 전자 구조체는 추가로 제2 절연 재료 층과 제3 절연 재료 층 사이에 위치한 유전체 캡 층을 더 포함할 수 있다. 상기 전자 구조체는 추가로 제2 절연 재료 층과 제3 절연 재료 층 사이에 위치한 제1 유전체 캡 층, 및 제3 절연 재료 층의 상부에 위치한 제2 유전체 캡 층을 더 포함할 수 있다.
상기 유전체 캡 재료는 규소 산화물(silon oxide), 규소 질화물(silicon nitride), 규소 옥시질화물(silicon oxynitride), 규소 탄소 질화물(silicon carbon nitride)(SiCN), 규소 탄소 옥시질화물(silicon carbon oxynitride)(SiCON), 내화성 금속 규소 질화물(rafractory metal silicon nitride)(여기서, 내화성 금속은 Ta, Zr, Hf 및 W로 이루어진 군 중에서 선택됨), 규소 탄화물, 탄소 도핑된 산화물 또는 이들의 수소화 화합물 중에서 선택할 수 있다. 제1 및 2제2 유전체 캡 층은 유전체 재료의 동일 군으로부터 선택할 수 있다. 제1 절연 재료 층은 규소 산화물 또는 규소 질화물 또는 이들 재료의 도핑된 변형, 예컨대 포스포러스 실리케이트 유리("PSG": phosphorous silicate glass) 또는 보론 포스포러스 실리케이트 유리("BPSG": boron phosphorus silicate glass)일 수 있다. 전자 구조체는 추가로 제2 및 제3 절연 재료 층 중 하나 이상에 증착된 유전체 재료의 확산 차단 층을 더 포함할 수 있다. 전자 구조체는 추가로 제2 절연 재료 층의 상부에 유전체를 더 포함할 수 있으며, 그 유전체는 "RIE" 하드 마스크 및 폴리싱 정지 층으로서 또는 이 유전체 RIE 하드 마스크 및 폴리싱 정지 층에 위치한 유전체 확산 차단 층으로서 작용을 한다. 전자 구조체는 추가로 제2 절연 재료 층의 상부에 위치한 제1 유전체 RIE 하드 마스크/폴리싱 정지 층, 제1 유전체 폴리싱 정지 층의 상부에 위치한 제1 유전체 RIE 하드 마스크/확산 차단 층, 제3 절연 재료 층의 상부에 위치한 제2 유전체 RIE 하드 마스크/폴리싱 정지 층, 및 제2 유전체 폴리싱 정지 층 상에 위치한 제2 유전체 확산 차단 층을 더 포함할 수 있다. 전자 구조체는 추가로 초저 k 유전체의 레벨간 유전체와 초저 k 유전체의 레벨내 유전체 사이에, 상기 언급한 바와 같은 동일 물질의 유전체 갭 층을 더 포함할 수 있다.
도면의 간단한 설명
본 발명의 전술한 목적, 특징 및 이점은 하기 설명하는 발명의 상세한 설명 및 첨부된 도면으로부터 명백하게 이해할 수 있으며, 상기 도면은 간단히 설명하면 다음과 같다.
도 1은 본 발명에 사용될 수 있는 병렬 플레이트 유형 화학 증착 반응기의 단면도를 도시한 것이다.
도 2는 디에톡시메틸실란("DEMS")와 바이시클로헵타디엔("BCHD")의 혼합물로부터 증착된 본 발명의 초저 k 재료로부터 얻어지는 "FTIR"(Fourier Transform Infrared) 스펙트럼을 도시한 것이다.
도 3은 디에톡시메틸실란 ("DEMS")과 시클로펜탄 옥사이드("CPO")의 혼합물로부터 증착된 또다른 본 발명의 초저 k 재료로부터 얻어지는 "FTIR" 스펙트럼을 도시한 것이다.
도 4는 본 발명에 따른 초저 k 물질의 레벨내 유전체 층 및 레벨간 유전체 층을 보유하는 전자 디바이스의 확대된 단면도를 도시한 것이다.
도 5는 본 발명에 따른 초저 k 재료 필름의 상부에 위치한 추가의 확산 차단 유전체 캡 층을 보유하는 도 4의 전자 구조체의 확대된 단면도를 도시한 것이다.
도 6은 본 발명에 따른 폴리싱 정지 층의 상부에 위치한 추가의 RIE 하드 마스크/폴리싱 정지 유전체 캡 층 및 유전체 캡 확산 차단층을 보유하는 도 5의 전자 구조체의 확대된 단면도를 도시한 것이다.
도 7은 본 발명에 따른 레벨간 초저 k 재료 필름의 상부에 위치한 추가의 RIE 하드 마스크/폴리싱 정지 유전체 층을 보유하는 도 6의 전자 구조체의 확대된 단면도를 도시한 것이다.
도 8은 다상 재료 필름의 상부에 배치된 추가의 RIE 하드 마스크/폴리싱 정지 유전체 층을 보유하는 도 7의 본 발명의 전자 구조체의 확대된 단면도를 도시한 것이다.
발명의 상세한 설명
본 발명은 병렬 플레이트 유형의 플라즈마 강화형 화학 증착("PECVD") 반응기에서 열적 안정한 초저 유전 상수 필름을 제조하는 방법을 개시하고 있다. 바람직한 실시양태에서 개시된 재료는 무작위 공유 결합된 네트워크 내에 Si, C, 0 및 H를 포함하고 약 2.5 이하의 유전 상수를 가지며, 추가로 분자 크기 공극, 대략 0.5 내지 20 나노미터의 직경을 갖는 것을 보유할 수 있고, 추가로 유전 상수를 약 2.0 이하의 값으로 감소시키는 수소화된 산화 규소 탄소 재료(SiCOH)의 매트릭스를 함유한다. 초저 k 필름에 대한 유전 상수는 약 1.5 내지 약 2.5인 것이 보다 바람직하고, 유전 상수는 약 1.8 내지 약 2.25인 것이 가장 바람직하다. 본 발명의 초저 k 유전체 재료는 전형적으로 Si, C, 0 및 H로 주구성되는 제1 상 및 C 및 H로 주구성되는 제2 상, 및 다수의 나노미터 크기의 소공을 포함하는 다상 필름인 것을 특징으로 한다.
초저 k의 열 안정성 필름을 제조하기 위해서, 구체적 성장 조건에 따라 증착 반응기의 특이적 기하구조가 필요하다. 실제 예를 들면, 병렬 플레이트 유형 반응기에서, 기판 척의 전도성 면적은 약 300 cm2 내지 약 800 cm2가 되어야 하고, 기판과 정상 전극 간의 갭은 약 1 cm 내지 약 10 cm가 되어야 한다. 기판에는 RF 전력이 인가된다. 본 발명에 따르면, 초저 k 필름은 구체적인 반응 조건 하에 특이적으로 배치된 증착 반응기에서 실란 유도체, 예컨대 DEMS와 하기 화학식의 화합물들로 이루어진 군 중에서 선택된 유기 분자인 제2 전구체, 예컨대 바이시클로헵타디엔(BCHD)와의 혼합물로부터 형성된다.
Figure 112006082525462-PCT00004
상기 식 중에서, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다. 본 발명의 초저 k 필름은 추가로 약 0.25 이상의 시간 동안 약 300℃ 이상의 온도에서 열 처리하여 유전 상수를 감소시킬 수 있다. 또한, 필름은 증착 후 또는 열 처리 후 UV 또는 전자빔 처리도 할 수 있다. 이러한 증착후 처리 단계 동안, 탄소 및 수소를 포함하고 임의로 산소 원자를 포함하는 제2 전구체 기체(또는 기체 혼합물)로부터 유도된 분자 단편은 열적으로 분해될 수 있고, 필름으로부터 방출되는 보다 작은 분자로 전환될 수 있다. 임의로, 공극의 추가 발생이 분자 단편의 전환 및 방출 과정에 의해 필름 내에서 일어날 수 있다. 따라서, 필름 밀도가 감소되고, 이에 상응하게 유전 상수와 굴절율이 감소하게 된다.
본 발명은 BEOL 배선 구조체에서 집적화하기에 적합한, 초저 k, 즉 2.5 이하인 k를 갖는 재료를 제조하는 방법을 제공한다. 본 발명의 초저 k 필름을 위한 유전 상수는 약 1.5 내지 약 2.5인 것이 보다 바람직하고, 그 유전 상수는 약 1.8 내지 약 2.25인 것이 가장 바람직하다. 본 발명의 필름은 2 이상의 적합한 전구체 및 하기 설명한 바와 같은 공정 파라미터들의 특이적 조합을 선택함으로써 제조할 수 있다. 바람직하게는, 제1 전구체는 분자식 SiRR'R"R"'을 갖는 실란(SiH4) 유도체로부터 선택되고, 상기 식 중 R, R', R" 및 R"'는 동일하거나 상이할 수 있으며, H, 알킬 및 알콕시, 바람직하게는 메틸, 에틸, 메톡시 및 에톡시 중에서 선택된다. 바람직한 제1 전구체로는 디에톡시디메틸실란, 디에톡시메틸실란(DEMS), 에톡시트리메틸실란, 에톡시디메틸실란, 디메톡시디메틸실란, 디메톡시메틸실란, 트리에톡시실란, 및 트리메톡시메틸실란을 들 수 있지만, 이에 국한되는 것은 아니다.
본 발명의 용도에서 사용되는 제2 전구체는 하기 화학식의 화합물들 중에서 선택되는 유기 화합물이다.
Figure 112006082525462-PCT00005
상기 식 중에서, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다. 부가적으로, 제2 전구체 분자 내에는 다른 원자, 예컨대 S, Si, 또는 다른 할로겐도 함유될 수 있다. 이러한 전구체 분자 화학종 중 가장 적합한 것은 에틸렌 옥사이드, 프로필렌 옥사이드, 시클로펜텐 옥사이드, 이소부틸렌 옥사이드, 2,2,3-트리메틸옥시란, 부타디엔모노옥사이드, 바이시클로헵타디엔, 1,2-에폭시-5-헥센, 2-메틸-2-비닐옥시란, 1-이소프로필-시클로헥사-1,3-디엔 및 tert-부틸메틸에테르가 있다.
도 1에 도시되어 있는 바와 같이, 병렬 플레이트 유형의 플라즈마 강화형 화학 증착("PECVD) 반응기(10)는 200 mm 웨이퍼를 공정 처리하는 데 적합한 유형이다. 반응기(10)의 내부 직경, X는 대략 13 인치이고, 그 높이는, Y는 대략 8.5 인치이다. 기판 척(12)의 직경은 대략 10.8 인치이다. 반응물 기체는 약 1 인치의 갭(Z)으로 기판 척(12)으로부터 이격되어 있는 기체 분배 플레이트("GDP")(16)를 통해 반응기(10) 내로 도입되고, 3 인치 배출구(18)를 통해 반응기(10) 외부로 배출된다. RF 전력(20)은 GDP(16)에 연결되어 있고, 반응기(10)로부터 전기 절연되어 있으며, 기판 척(12)은 접지되어 있다. 실제 목적을 위해서는, 반응기의 모든 부품은 접지되어 있다. 다른 실시양태에서, RF 전력(20)은 기판 척(12)에 연결되어 기판(22)에 전달될 수 있다. 이러한 경우, 기판은 음의 바이어스(bias)를 수득하게 되는데, 그 값은 반응기 기하구조 및 플라즈마 파라미터에 의존적이다. 또다른 실시양태에서, 하나 이상의 전기력 공급원이 사용될 수 있다. 실제 예를 들면, 2개의 전력 공급원이 동일한 RF 주파수로 작동할 수 있거나, 하나가 저 주파수로 작동하고 나머지 하나가 고 주파수로 작동할 수 있다. 2개의 전력 공급원은 동일 전극에 연결되거나 개별 전극에 연결될 수 있다. 다른 실시양태에서, RF 전력 공급원은 증착 동안 펄스 온 및 오프로 펄스화할 수 있다. 저 k 필름의 증착 동안 제어된 공정 변수가 RF 전력 밀도, 전구체 혼합물 및 유속, 반응기내 압력, 전극 이격(spacing), 및 기판 온도이다.(가열된 웨이퍼 척의 온도는 기판 온도를 제어한다).
본 발명에 따르면, 적합한 제1 및 2 전구체 및 상기 설명한 공정 파라미터의 특이적 조합은 제조되는 본 발명의 초저 k 재료가 약 5 원자% 내지 40 원자%의 Si; 약 5 원자% 내지 약 70 원자%의 C; 0 내지 약 50 원자%의 O; 및 약 5 원자% 내지 약 55 원자%의 H를 포함하도록 사용된다. 본 발명의 일부 실시양태에서, C 함량은 약 70% 정도로 높을 수 있다.
필름을 위한 증착 공정 동안 제어되는 주요 공정 변수는 RF 전력, 전구체의유속, 반응기 압력 및 기판 온도이다. 하기 설명에는 본 발명에 따른 제1 전구체 디에톡시메틸실란(DEMS) 및 제2 전구체 바이시클로헵타디엔(BCHD)의 증착에 대한 몇가지 실시예가 제시되어 있다. 일부 실시예에서는, DEMS 전구체 증기를 캐리어 기체로서 He(또는 Ar)을 사용하여 반응기 내로 수송한다. 임의로, 필름은 증착 후 400℃에서 열 처리하여 k를 감소시킨다. 대안으로, 예를 들면 미국 출원 연속 번호 제10/758,724호에서 설명하고 있는 바와 같이, 필름은 UV 또는 전자빔 처리하여 k를 감소시키고 가교 결합을 증가시킨다. 열 처리는 단독으로 이용할 수 있거나, 또는 상기 제10/758.724호의 출원에서 개시한 처리 방법 중 하나와 조합하여 이용할 수 있다.
구체적으로, As 증착된 필름은, 상기 제10/758,724호의 출원에 따라, 임의로 에너지 공급원을 사용하여 처리하여 필름을 안정화시키고 그 필름 특성(전기, 기계, 접착 특성)을 개선시킬 수 있는데, 이는 결과적으로 최종 최적합 필름을 형성하게 된다. 적합한 에너지 공급원은 열, 화학, 자외선(UV), 전자빔(e-빔), 마이크로파 및 플라즈마 에너지 공급원을 포함한다. 또한, 상기 에너지 공급원들의 조합도 본 발명에 이용할 수 있다. 본 발명에 사용된 에너지 공급원은 As 증착된 유전체의 Si-O 결합 네트워크를 변형시키고, 그 재료내 다른 결합을 변형시키며, 보다 많은 Si-O 가교 결합을 발생시키고, 일부 경우 탄화수소 상을 제거하는 데 이용하며, 상기 모든 변형은 결과적으로 보다 높은 탄성 모듈러스, 보다 높은 경도, 또는 보다 낮은 내부 응력 또는 상기 특성들의 조합을 형성하게 된다. 보다 높은 모듈러스 또는 보다 낮은 응력은 결과적으로 보다 낮은 균열 전파 속도를 형성하게 되고, 보다 높은 모듈러스와 보다 낮은 응력의 조합은 에너지 처리의 바람직한 결과가 된다.
열 에너지 공급원은 예를 들면 증착된 재료를 약 300℃ 내지 약 500℃의 온도로 가열할 수 있는 가열 부재 또는 램프와 같은 임의의 공급원을 포함한다. 보다 바람직하게는, 열 에너지 공급원은 증착된 유전체 재료를 약 350℃ 내지 약 430℃의 온도로 가열할 수 있다. 이 열 처리 공정은 다양한 시간 동안 수행할 수 있으며, 전형적으로는 약 1 분 내지 약 300 분 시간이다. 열 처리 단계는 전형적으로 He 및 Ar과 같은 불활성 기체의 존재 하에 수행한다. 열 처리 단계는 급속한 열적 어닐링, 퍼니스 어닐링, 레이저 어닐링 또는 스파이크 어닐링 조건이 이용되는 어닐링 단계에 관한 것일 수 있다.
UV 처리 단계는 약 500 nm 내지 약 150 nm의 파장을 갖는 광을 발생하여 기판을 조사할 수 있는 공급원을 이용하여 수행하고, 동시에 웨이퍼 온도를 250℃ 내지 500℃의 온도, 바람직하게는 300℃ 내지 450℃의 온도를 유지한다. > 370 nm를 지닌 방사선은 중요한 결합을 해리 또는 활성화시키는 데 불충분한 에너지이므로, 파장 범위는 150-370 nm가 바람직한 범위이다. As 증착된 필름에 대하여 측정한 문헌상 데이터 및 흡광도 스펙트럼을 이용할 경우, 본 발명은 < 170 nm 방사선이 SiCOH 필름의 분해 때문에 유리하지 못할 수 있는 것으로 밝혀졌다. 추가로, 에너지 범위 310 - 370 nm는, 이 310 - 370 nm의 광자에 대한 에너지가 상대적으로 낮기 때문에, 범위 150 - 310 nm보다 덜 유용하다. 150 - 310 nm 범위 내에서, As 증착된 필름의 흡광도 스펙트럼 및 필름 특성(예컨대, 소수성)의 최소 열화에 의한 최적합한 오버랩은 SiCOH 특성을 변화시키기 위한 UV 스펙트럼의 가장 효과적인 범위를 선택하는 데 임의로 이용할 수 있다.
전자빔 처리 단계는 0.5 내지 25 keV의 에너지 및 0.1 내지 100 마microAmp/cm2(바람직하게는 1 내지 5 microAmp/cm2)의 전류 밀도로 웨이퍼에 걸쳐 균일한 전자 플럭스를 발생시킬 수 있는 공급원을 이용하여 수행하고, 동시에 웨이퍼 온도를 25℃ 내지 500℃의 온도, 바람직하게는 300℃ 내지 450℃의 온도로 유지한다. 전자 빔 처리 단계에서 사용된 전자의 선량은 50 내지 500 microcoulombs/cm2인 것이 바람직하고, 100 내지 300 microcoulombs/cm2인 것이 가장 바람직하다.
플라즈마 처리 단계는 원자 수소(H), 및 임의로 CH3 또는 다른 탄화수소 라디칼을 발생시킬 수 있는 공급원을 이용하여 수행한다. 다운스트림 플라즈마 공급원은 직접 플라즈마 노출보다 바람직하다. 플라즈마 처리 동안, 웨이퍼 온도는 25℃ 내지 500℃의 온도, 바람직하게는 300℃ 내지 450℃의 온도로 유지한다.
플라즈마 처리 단계는 플라즈마를 발생시킬 수 있는 반응기 내로 기체를 도입하여 수행하며, 그 도입 이후 기체는 플라즈마로 전환된다. 플라즈마 처리에 사용될 수 있는 기체는 불활성 기체, 예컨대 Ar, N, He, Xe 또는 Kr, 바람직하게는 He; 원자 수소, 메탄, 메틸실란의 수소 또는 관련 공급원, CH3 기의 관련 공급원 및 이들 공급원의 혼합물을 포함한다. 플라즈마 처리 기체의 유속은 사용되는 반응기 시스템에 따라 다양할 수 있다. 체임버 압력은 0.05 torr 내지 20 torr 범위에 속하는 임의의 범위일 수 있지만, 바람직한 압력 조작은 1 torr 내지 10 torr이다. 플라즈마 처리 단계는 일정 시간 동안 수행하며, 그 시간은 전형적으로 1/2 분 내지 약 10 분이고, 한편 이보다 더 긴 시간이 본 발명 내에서 사용될 수 있다.
RF 또는 마이크파 전력 공급원은 전형적으로 상기 플라즈마를 발생시키는 데 사용된다. RF 전력 공급원은 고 주파수 범위(약 100 W 또는 그 이상의 크기); 저 주파수 범위(250 W 미만)로 작동할 수 있거나, 또는 양 주파수의 조합도 사용할 수 있다. 고 주파수 전력 밀도는 0.1 내지 2.0 W/cm2에 속하는 임의의 범위이지만, 바람직한 작동 범위가 0.2 내지 1.0 W/cm2이다. 저 주파수 전력 밀도는 0.1 내지 1.0 W/cm2에 속하는 임의의 범위일 수 있지만, 바람직한 작동 범위는 0.2 내지 0.5 W/cm2이다. 선택된 전력 레벨은 노출된 유전체 표면의 유의적인 스퍼터 에칭(< 5 나노미터 제거)을 피하도록 충분히 낮아야 한다.
이용되는 증착 조건은 또한 본 발명에 따른 증착 공정의 성공적인 실시를 가능하게 하는 데에도 중요하다. 실제 예를 들면, 약 25℃ 내지 약 420℃, 바람직하게는 60℃ 내지 약 350℃의 웨이퍼 온도를 사용한다. 약 0.05 W/cm2 내지 약 3.5 W/cm2, 바람직하게는 약 0.25 W/cm2 내지 약 1 W/cm2의 RF 전력 밀도를 사용한다. 바람직한 공정에서, 라디오 주파수 에너지는 13.6 MHz의 주파수 및 (200 - 450 W를 사용할 수 있긴 하지만) 약 350 W의 전력으로 기체 도입 플레이트("샤워헤드")에, 또한 13.6 MHz의 주파수 및 (50 - 200 W를 사용할 수 있긴 하지만) 약 100 W의 전력으로 웨이퍼 척에 인가한다. 해당 기술 분야에 공지되어 있는 바와 같이, 상이한 RF 주파수(0.26,0.35, 0.45 MHz)도 본 발명에서는 사용할 수 있다.
약 5 sccm 내지 약 1,000 sccm, 바람직하게는 약 25 sccm 내지 약 200 sccm의 DEMS 반응물 기체 유속을 사용한다. 약 5 sccm 내지 약 1,000 sccm, 바람직하게는 약 10 sccm 내지 약 120 sccm의 BCHD 반응물 기체 유속을 사용한다. 액체 전구체 전달을 이용하는 경우, 액체 유속은 각 전구체 당 500 - 5,000 mg/분의 범위로 사용된다. 임의로, He를 첨가할 수 있으며, 바람직한 He 유속은 100 - 2000 sccm 범위 내에 있지만, 다른 유속도 본 발명 내에서 사용할 수 있다. 증착 공정 동안 반응기 압력은 전형적으로 약 50 mtorr 내지 약 10,000 mtorr이다.
다중-스테이션 증착 반응기를 사용하는 경우,기판의 면적은 개별 기판 척에 관한 것이고, 기체의 유속은 1개의 개별 증착 스테이션에 관한 것이다. 따라서, 반응기에 대한 전체 유속 및 전체 전력 입력은 반응기 내부에 있는 증착 스테이션의 총 수로 곱한 것이 된다.
증착된 필름은 추가 집적화 공정 처리를 수행하기 전에 안정화시킨다. 안정화 공정은 퍼니스-어닐링 단계에서 약 0.5 시간 내지 약 4 시간 동안 약 300℃ 내지 약 430℃에서 수행할 수 있다. 이 안정화 공정은 또한 급속 어닐링 공정에서 약 300℃ 이상의 온도에서도 수행할 수 있다. 또한, 안정화 공정은 UV 또는 전자빔 체임버에서 약 300℃ 이상의 온도에서도 수행할 수 있다. 본 발명에 따라 얻어지는 필름의 유전 상수는 약 2.5 이하이다. 본 발명에 따라 얻어지는 필름의 열적 안정성은 비산화 주위에서 적어도 약 430℃까지 이른다.
본 발명에 따라 제조된 유전체 디바이스는 도 4 내지 7에 도시되어 있다. 도 4 내지 7에 도시된 디바이스는 단지 본 발명에 따른 실시예를 예시하기 위한 것이고, 한편 본 발명에 따라 무수한 다른 디바이스도 제조할 수 있다는 점을 유의해야 한다.
도 4는 규소 기판(32)에 형성된 전자 디바이스(30)를 도시한 것이다. 규소 기판(32)의 상부에는 제1 절연 재료 층(34)이 이 층에 매립된 제1 금속 영역(36)과 함께 형성된다. 화학적 기계적 폴리싱("CMP") 공정을 제1 금속 영역(36)에 수행한 후, 제1 절연 재료 층(34) 및 제1 금속 영역(36)의 상부에는 초저 k 필름(38)과 같은 필름을 증착시킨다. 임의로, 층(34)과 층(38) 사이에는 추가의 유전체 캡 층(도시되어 있지 않음)을 추가할 수 있다. 제1 절연 재료 층(34)은 규소 산화물, 규소 질화물, 이들 물질의 도핑된 변형 또는 임의의 다른 적합한 절연 재료로 형성되는 것이 적합할 수 있다. 초저 k 필름(38)은 포토리쏘그래피 공정으로 패턴화하고, 그 위에는 전도체 층(40)을 증착시킨다. 제1 전도체 층(40)에 대하여 CMP 공정을 수행한 후, 제2 초저 k 필름 층(44)은 제1 초저 k 필름(38) 및 제1 전도체 층(40) 위에 플라즈마 강화형 화학 증착("PECVD") 공정에 의해 증착된다. 전도체 층(40)은 금속류 전도성 재료 및 비금속류 전도성 재료로 증착될 수 있다. 실제 예를 들면, 금속류 전도성 재료, 예컨대 알루미나 또는 구리, 또는 비금속류 전도성 재료, 예컨대 질화물 또는 폴리규소를 사용할 수 있다. 제1 전도체(40)는 제1 금속 영역(36)과 전기 소통한다.
제2 초저 k 필름 층(44)에서 포토리쏘그래피 공정을 수행한 후, 제2 전도체 재료에 대한 증착 공정을 수행하여 제2 전도체 영역(50)을 형성시킨다. 또한, 제2 전도체(50)는 제1 전도체 층(40)을 증착할 때 이용된 것과 유사한 금속류 재료 및 비금속류 재료로도 증착시킬 수 있다. 제2 전도체 영역(50)은 제1 전도체 영역(40)과 전기 소통하고, 제2 초저 k 절연체 층(44)에 매립되어 있다. 제2 초저 k 필름 층은 제1 절연 재료 층(38)과 친밀한 접촉 상태로 존재한다. 이러한 구체적인 예에서, 본 발명에 따른 초저 k 재료인 제1 절연 재료 층(38)은 레벨내 유전체 재료로서 작용을 하고, 반면에 제2 절연 재료 층, 즉 초저 k 필름(44)은 레벨내 및 레벨간 유전체로서 모두 작용을 한다. 초저 k 필름의 저 유전 상수에 기초하여, 매우 우수한 절연 특성은 제1 절연 재료 층(38)과 제2 절연체 층(44)에 의해 달성될 수 있다.
도 5는 본 발명에 따른 전자 디비아스(60)를 도시한 것으로, 이것은 도 4에 도시된 전자 디바이스(30)와 유사하고, 다만 제1 절연 재료 층(38)과 제2 절연 재료 층(44) 사이에 추가의 유전체 캡 층(62)이 배치되어 있다는 점을 예외로 한다. 유전체 캡 층(62)은 규소 산화물, 규소 질화물, 규소 옥시질화물, 규소 탄화물, 규소 탄소 질화물(SiCN), 규소 탄소 산화물(SiCO), 변형된 초저 k 화합물 및 이것의 수소화 화합물 뿐만 아니라 내화성 금속 규소 질화물과 같은 재료로 형성되는 것이 적합할 수 있으며, 여기서 내화성 금속은 Ta, Zr, Hf, 및 W으로 이루어진 군 중에서 선택된다. 부가적으로, 유전체 캡 층(62)은 제1 전도체 층(40)이 제2 절연 재료 층(44) 내로 또는 하부 층, 특히 층(34) 및 층(32) 내로 확산되는 것을 방지하기 위한 확산 차단 층으로서 작용을 한다.
도 6은 본 발명에 따른 전자 디바이스(70)의 또다른 대안 실시양태를 도시한 것이다. 전자 디바이스(70)에서는, RIE 마스크 및 CMP(chemical-mechanical polishing) 폴리싱 정지 층으로서 작용을 하는 2개의 추가 유전체 캡 층(72 및 74)을 사용한다. 제1 유전체 캡 층(72)은 제1 절연 재료 층(38)의 상부에 증착시킨다. 유전체 층(72)의 기능은 제1 전도체 층(40)을 평탄화하는 경우에 이용되는 CMP 공정에 대한 종점을 제공하는 것이다. 폴리싱 정지 층(72)은 규소 산화물, 규소 질화물, 규소 옥시질화물, 규소 탄화물, 규소 탄소 산화물(SiCO), 규소 탄소 질화물(SiCN), 변형된 초저 k 화합물 및 이것의 수소화 화합물 뿐만 아니라 내화성 금속 규소 질화물과 같은 적합한 유전체 재료로 증착시킬 수 있으며, 여기서 내화성 금속은 Ta, Zr, Hf, 및 W으로 이루어진 군 중에서 선택된다. 유전체 층(72)의 상부 표면은 제1 전도체 층(40)과 동일한 레벨에서 존재한다. 제2 유전체 층(74)은 동일한 목적으로 제2 절연 재료 층(44)의 상부에 추가할 수 있다.
도 7은 본 발명에 따른 전자 디바이스(80)의 또다른 대안 실시양태를 도시한 것이다. 이 대안 실시양태에서는 추가의 유전체 층(82)을 증착시키고, 제2 절연 재료 층(44)을 2개의 개별 층(84)과 층(86)으로 분할한다. 그러므로, 도 7에 도시되어 있는 바와 같은 레벨내 또는 레벨간 유전체 층(44)은, 도 8에 도시되어 있는 바와 같이, 인터커넥트(92)와 인터커넥트(94) 사이의 경계부에서 층간 유전체 층(84)과 레벨내 유전체 층(86)으로 분할된다. 추가의 확산 차단 층(96)이 상부 유전체 층(74)의 상부에 추가 증착될 수 있다. 전자 구조체(80)의 이러한 대안 실시양태에 의해 제공된 추가 이점은 유전체 층(82)이 매우 우수한 인터커넥트 깊이 제어를 제공하므로, 전도체 저항에 비하여 매우 우수한 제어를 제공하는 RIE 에칭 정지층으로서 작용을 한다는 점이다.
다음의 실시예는 본 발명에 따른 초저 k 유전체 필름의 제조 방법을 예시할 뿐만 아니라 그 방법으로부터 얻을 수 있는 이점을 입증하기 위해서 제시한 것이다.
실시예 1
본 실시예에서는, 도 1에 따라, 먼저 슬릿 밸브(14)를 통해 반응기(10) 내로 웨이퍼를 도입하여 웨이퍼를 제조하고, 그 웨이퍼를 임의로 아르곤 기체로 예비 에칭 처리하였다. 이러한 웨이퍼 제조 공정에서는 웨이퍼 온도를 약 180℃로 설정하고, 아르곤 유속을 약 25 sccm으로 설정하여 약 100 mtorr의 압력을 달성하였다. 이어서, RF 전력을 약 60 초 동안 약 125 W로 공급하였다. 이어서, RF 전력 및 아르곤 기체 유속을 중단하였다.
DEMS 전구체를 반응기 내로 운반하여 넣었다. 본 발명에 따른 초저 k 필름은 먼저 DEMS 및 BCHD의 유속을 소정의 유속 및 압력, 즉 약 약 4 sccm의 DEMS 및 약 3 sccm의 BCHD와 약 500 mtorr로 달성함으로써 증착하였다. 이어서, RF 전력을 약 50 분의 시간 동안 약 30 W로 공급하였다. 이어서, RF 전력 및 기체 유속을 중단하였다. 이어서, 웨이퍼를 반응기(10)로부터 제거하였다.
증착된 필름의 유전 상수를 감소시키기 위해서, 그리고 그 필름의 열적 안정성을 더욱 개선시키기 위해서, 즉 그 필름을 300℃ 이상의 온도에서 안정화시키기 위해서, 필름을 후 처리하여 휘발성 내용물을 증발시키고 필름을 치수적으로 안정화시켰다. 후 처리 공정은 다음의 단계들에 의해 어닐링 퍼니스에서 수행할 수 있다. 먼저 퍼니스는 (장입 스테인션 내에 필름 샘플을 함유한 채로) 약 5 분 동안 질소를 사용하여 약 10 리터/분으로 퍼지 처리하였다. 이어서, 필름 샘플을 퍼니스 반응기 내로 옮긴 후, 필름을 약 5℃/분의 가열 속도로 하여 약 280℃로 가열하고, 약 5 분 동안 약 280℃로 유지하며, 약 5℃/분의 제2 가열 속도로 하여 약 400℃로 가열하고, 약 4 시간 동안 약 400℃에서 유지하며, 퍼니스의 전원을 끄고, 필름 샘플을 약 100℃ 이하의 온도로 냉각시키는, 후 어닐링 주기를 개시하였다. 적합한 제1 유지 시간은 약 280℃ 내지 약 300℃일 수 있지만, 적합한 제2 유지 시간은 약 300℃ 내지 약 400℃일 수 있다. 이와 같이 얻어지는 필름은 유전 상수가 1.81이었다. 대안으로, 필름은 30 분 이하 동안 300℃ 이상의 온도에서 UV 또는 전자빔 처리할 수 있다.
제1 실시양태의 결과는 도 2을 참조하여 이하 논의한다. 도 2는 본 발명에 따른 DEMS + BCHD의 혼합물로부터 제조된 초저 k 필름으로부터 얻어지는 FTIR 스 펙트럼을 제시한 것이다. 스펙트럼은 약 1,000-1100 cm-1에서 Si-O 흡수 밴드, 약 1268 cm-1 에서 Si-CH3 흡수 피이크, 그리고 약 2900-3000 cm-1에서 C-H 흡수 피이크를 나타낸다. 초저 k 필름의 FTIR 스펙트럼의 한가지 특성은, 구체적으로 도 2에 예시되어 있는 바와 같이, Si-O 피이크가 1141 cm-1, 1064 cm-1 및 1030 cm-1에서 중심을 이루는 3개의 피이크로 풀어질 수 있다는 점이다.
실시예 2
본 실시예에서, 웨이퍼는 실시예 1에서와 같은 도구로 제조하였다. 먼저 웨이퍼를 반응 체임버 내로 도입하였다. 웨이퍼 온도를 약 180℃로 설정하였다. DEMS 전구체를 반응기 내로 운반해 넣었다. 본 발명에 따른 초저 k 필름은 먼저 DEMS 및 부타디엔모노옥사이드(BMO)의 유속을 소정의 유속 및 압력, 즉 1 sccm의 DEMS 및 약 4 sccm의 BMO 및 약 500 mtorr의 압력으로 달성함으로써 증착시켰다. 이어서, RF 전력을 약 50 분의 시간 동안 약 30 W로 공급하였다. 이어서, RF 전력 및 기체 유속을 중단하였다. 이어서, 웨이퍼를 반응기(10)로부터 제거하였다.
이어서, 필름을 실시예 1에서 설명한 것과 동일한 방법으로 처리하였다. 형성된 필름은 유전 상수가 1.77이었다.
실시예 3
본 실시예에서, 웨이퍼는 실시예 1에서와 같은 도구로 제조하였다. 먼저 웨이퍼를 반응 체임버 내로 도입하였다. 웨이퍼 온도를 약 180℃로 설정하였다. DEMS 전구체를 반응기 내로 운반해 넣었다. 본 발명에 따른 초저 k 필름은 먼저 DEMS 및 2-메틸-2-비닐옥시란(MVOX)의 유속을 소정의 유속 및 압력, 즉 2 sccm의 DEMS 및 약 3 sccm의 MVOX 및 약 500 mtorr의 압력으로 달성함으로써 증착시켰다. 이어서, RF 전력을 약 50 분의 시간 동안 약 30 W로 공급하였다. 이어서, RF 전력 및 기체 유속을 중단하였다. 이어서, 웨이퍼를 반응기(10)로부터 제거하였다.
이어서, 필름을 실시예 1에서 설명한 것과 동일한 방법으로 처리하였다. 형성된 필름은 유전 상수가 2.08이었다.
실시예 4
본 실시예에서는 웨이퍼를 8-인치 PECVD 도구에서 제조하였다. 먼저 웨이퍼를 반응 체임버 내로 도입하였다. 웨이퍼 온도를 약 200℃로 설정하였다. DEMS 및 시클로펜텐 옥사이드(CPO)는 캐리어 기체로서 He를 사용하여 반응기 내로 운반해 넣었다. 본 발명에 따른 초저 k 필름은 먼저 DEMS 및 CPO와 He의 기체 유속을 소정의 유속 및 압력, 즉 약 70 sccm의 DEMS 및 약 320 sccm의 CPO와 약 300 sccm의 He, 그리고 약 2000 mtorr의 압력으로 달성함으로써 증착시켰다. 이어서, RF 전력을 약 10 분의 시간 동안 약 300 W로 공급하였다. 이어서, RF 전력 및 유속을 중단하였다. 이어서, 웨이퍼를 반응 체임버로부터 제거하였다.
이어서, 필름을 실시예 1에서 설명한 것과 동일한 방법으로 처리하였다. 형성된 필름은 유전 상수가 2.19이었다.
결과는 도 3을 참조하여 이하 논의한다. 도 3은 본 발명에 따른 DEMS/CPO 및 He으로부터 제조된 초저 k 필름으로부터 얻어지는 FTIR 스펙트럼을 제시한 것이 다. 스펙트럼은 약 1,000-1100 cm-1에서 Si-O 흡수 밴드, 약 1267 cm-1 에서 Si-CH3 흡수 피이크, 그리고 약 2900-3000 cm-1에서 C-H 흡수 피이크를 나타낸다. 초저 k 필름의 FTIR 스펙트럼의 한가지 특성은, 구체적으로 도 3에 예시되어 있는 바와 같이, Si-O 피이크가 1132 cm-1, 1058 cm-1 및 1024 cm-1에서 중심을 이루는 3개의 피이크로 풀어질 수 있다는 점이다.
또한, 급속 열적 어닐링("RTA") 공정은 초저 k 필름을 안정시키는 데 이용할 수 있다. 본 발명에 따라 얻어지는 필름은 유전 상수가 약 2.5 이하인 것을 특징으로 하고, 정상적으로 약 400℃까지의 온도에서 처리되는 "BEOL" 인터커넥트 구조체에서 집적화하는 데 열적으로 안정하다. 그러므로, 본 발명의 교시내용은 로직 및 메모리 디바이스의 경우 "BEOL" 공정에서 레벨내 또는 레벨간 유전체로서의 필름을 제조할 때 용이하게 적용할 수 있다.
그러므로, 본 발명에 따른 방법 및 이 방법으로부터 제조된 전자 다바이스는 전술한 상세한 설명에서 그리고 첨부된 도면 4 내지 8에서 완전히 입증되어 있다. 도 4 내지 8에 도시된 전자 구조체의 예는 단지 무수한 전자 디바아스의 제조에서 적용될 수 있는 본 발명의 방법을 예시하기 위해서만 사용한 것임을 강조하고자 한다.
해당 기술 분야에 공지되어 있는 바와 같이, 상기 4개의 방법 실시예에서 열거한 기체 유속 단위는 액체 유량 제어(liquid mass flow control)를 이용하는 경 우 액체 유량 단위로 대체할 수 있다.
이상, 본 발명은 예시적인 방식으로 설명하기 했지만, 사용된 용어는 한정하는 용어이기보다는 설명하는 용어로 기재된 것임을 이해해야 한다.
더구나, 본 발명은 바람직한 실시양태 및 몇가지 대안 실시양태에 대하여 구체적으로 제시하고 설명하긴 했지만, 해당 기술 분야의 당업자면 본 발명의 기술적 사상 및 영역으로부터 벗어나는 일 없이 본 발명의 다른 가능한 변형예에 본 발명의 교시내용을 용이하게 적용할 수 있다는 점을 이해해야 한다.
배타적인 소유권 또는 특허권이 특허청구되는 본 발명의 실시양태는 하기 첨부된 청구의 범위에 한정된다.

Claims (64)

  1. 초저 유전 상수(k) 필름의 제조 방법으로서,
    플라즈마 강화형 화학 증착(PECVD) 반응기의 체임버 내로 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체 기체를 유동시키는 단계;
    상기 반응기 내로 하기 화학식 중 하나를 갖는 제2 전구체 기체를 유동시키는 단계; 및
    Figure 112006082525462-PCT00006
    [상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있음]
    상기 전구체 기체로부터 초저 k 필름을 기판 상에 증착시키는 단계
    를 포함하는 방법
  2. 제1항에 있어서, 상기 초저 k 필름은 Si, C, 0 및 H로 주구성되는 제1 상 및 C 및 H로 주구성되는 하나 이상의 제2 상, 및 상기 기판 상의 다수의 나노미터 크기의 소공을 포함하는 다상 필름인 것인 방법.
  3. 제1항에 있어서, 상기 전구체 기체 중 하나 또는 모두와 불활성 기체를 혼합하는 단계를 더 포함하는 방법.
  4. 제1항에 있어서, 증착 후 상기 초저 k 필름을 약 0.25 시간 이상 동안 약 300℃ 이상의 온도에서 열 처리하는 단계를 더 포함하는 방법.
  5. 제1항에 있어서, 증착 후 상기 초저 k 필름을 에너지 공급원으로 처리하여 초저 k 필름을 안정화시키고 그 필름의 특성을 개선시키는 단계로서, 상기 에너지 공급원은 열 공급원, 화학 공급원, 자외선(UV) 공급원, 전자빔(e-빔) 공급원, 마이크로파 공급원 또는 플라즈마 공급원 중 하나를 포함하는 것인 단계를 더 포함하는 방법.
  6. 제1항에 있어서, 상기 초저 k 필름의 유전 상수가 약 2.5 이하인 방법.
  7. 제1항에 있어서, 상기 초저 k 필름의 유전 상수가 약 1.5 내지 약 2.5인 방 법.
  8. 제1항에 있어서, 상기 초저 k 필름은 약 5 원자% 내지 40 원자%의 Si; 약 5 원자% 내지 약 45 원자%의 C; 0 내지 약 50 원자%의 O; 및 약 10 원자% 내지 약 55 원자%의 H를 포함하는 것인 방법.
  9. 제1항에 있어서, 상기 PECVD 반응기는 약 300 cm2 내지 약 800 cm2의 기판 척(chuck) 면적을 보유하고, 약 1 cm 내지 약 10 cm의 기판과 상부 전극 간의 갭을 보유하는 것인 방법.
  10. 제1항에 있어서, 상기 PECVD 반응기의 전극에 RF 전력을 인가하는 단계를 더 포함하는 방법.
  11. 제1항에 있어서, 상기 초저 k 필름을 30 분 이하의 시간 동안 약 300℃ 이상의 온도에서 UV 또는 전자빔 처리하는 단계를 더 포함하는 방법.
  12. 제1항에 있어서, 상기 초저 k 필름을 열 처리하는 것과 상기 초저 k 필름을 UV 또는 전자빔 처리하는 것의 조합 단계를 더 포함하는 방법.
  13. 제1항에 있어서, 상기 제1 전구체 기체가 디에톡시메틸실란(DEMS)인 방법.
  14. 제1항에 있어서, 상기 제2 전구체는 바이시클로헵타디엔(BCHD), 시클로펜텐 옥사이드(CPO), 에틸렌 옥사이드, 프로필렌 옥사이드, 이소부틸렌 옥사이드, 2,2,3-트리메틸옥시란, 부타디엔모노옥사이드, 1,2-에폭시-5-헥센, 2-메틸-2-비닐옥시란, tert-부틸메틸에테르, 1-이소프로필-시클로헥사-1,3-디엔 또는 이들의 혼합물을 포함하는 것인 방법.
  15. 제1항에 있어서, 상기 초저 k 필름의 증착 단계는
    상기 기판에 대한 온도를 약 25℃ 내지 약 400℃로 설정하는 단계; 및
    RF 전력 밀도를 약 0.05 W/cm2 내지 약 3.5 W/cm2로 설정하는 단계
    를 더 포함하는 것인 방법.
  16. 제1항에 있어서, 상기 초저 k 필름의 증착 단계는
    상기 제1 전구체 기체에 대한 유속을 약 5 sccm 내지 약 1,000 sccm으로 설정하거나, 또는 액체 전달을 이용하는 경우 약 30 mg/분 내지 약 6,000 mg/분으로 설정하는 단계
    를 더 포함하는 것인 방법.
  17. 제16항에 있어서, 상기 제1 전구체 기체에 대한 유속이 약 25 sccm 내지 약 200 sccm이거나, 또는 액체 전달을 이용하는 경우 약 150 mg/분 내지 약 1,200 mg/분인 방법.
  18. 제1항에 있어서, 상기 초저 k 필름의 증착 단계는
    상기 제2 전구체 기체에 대한 유속을 약 5 sccm 내지 약 1,000 sccm으로 설정하거나, 또는 액체 전달을 이용하는 경우 약 30 mg/분 내지 약 6,000 mg/분으로 설정하는 단계
    를 더 포함하는 것인 방법.
  19. 제18항에 있어서, 상기 제2 전구체 기체에 대한 유속이 약 25 sccm 내지 약 200 sccm이거나, 또는 액체 전달을 이용하는 경우 약 150 mg/분 내지 약 1,200 mg/분인 방법.
  20. 제1항에 있어서, 상기 초저 k 필름의 증착 단계는
    상기 PECVD 반응기의 압력을 약 50 mtorr 내지 약 10,000 mtorr로 설정하는 단계
    를 더 포함하는 것인 방법.
  21. 제20항에 있어서, 상기 PECVD 반응기에 대한 압력이 약 100 mtorr 내지 약 5,000 mtorr인 방법.
  22. 제1항에 있어서, 상기 초저 k 필름의 증착 단계는
    상기 제1 전구체 기체인 디에톡시메틸실란에 대한 상기 제2 전구체 기체인 바이시클로헵타디엔의 유속 비율을 약 0.1 내지 약 3으로 설정하는 단계
    를 더 포함하는 것인 방법.
  23. 제22항에 있어서, 상기 디에톡시메틸실란에 대한 바이시클로헵타디엔의 유속 비율이 약 0.2 내지 약 0.6인 방법.
  24. 제1항에 있어서, 상기 PECVD 반응기는 연속 모드로 운전하는 것인 방법.
  25. 제1항에 있어서, 상기 PECVD 반응기는 펄스화 모드로 운전하는 것인 방법.
  26. 열적 안정한 초저 k 필름의 제조 방법으로서,
    소정 유형의 플라즈마 강화형 화학 증착(PECVD) 반응기를 제공하는 단계;
    약 300 cm2 내지 약 800 cm2의 면적을 보유하는 기판 척(chuck) 상에 예비 처리된 웨이퍼를 배치하고, 상기 웨이퍼와 상부 전극 간의 갭을 약 1 cm 내지 약 10 cm로 유지하는 단계;
    상기 PECVD 반응기 내로 실란 유도체 분자를 포함하는 제1 전구체 기체를 유동시키는 단계;
    상기 PECVD 반응기 내로 하기 화학식 중 하나를 갖는 화합물을 포함하는 하나 이상의 제2 전구체 기체를 유동시키는 단계; 및
    Figure 112006082525462-PCT00007
    [상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있음]
    상기 웨이퍼 상에 초저 k 필름을 증착시키는 단계
    를 포함하는 방법.
  27. 열적 안정한 초저 k 필름의 제조 방법으로서,
    소정 유형의 플라즈마 강화형 화학 증착(PECVD) 반응기를 제공하는 단계;
    약 300 cm2 내지 약 800 cm2의 면적을 보유하는 기판 척에 웨이퍼를 배치하고, 상기 웨이퍼와 상부 전극 간의 갭을 약 1 cm 내지 약 10 cm로 유지하는 단계;
    상기 반응기내로 약 25℃ 내지 약 400℃의 온도로 유지된 상기 웨이퍼 위에 실란 유도체의 제1 전구체 기체를 약 5 sccm 내지 약 1,000 sccm의 유속으로, 그리고 제2 전구체 기체를 약 5 sccm 내지 약 1,000 sccm의 유속으로 유동시키고, 동시에 상기 반응기내 압력을 약 50 mtorr 내지 약 8,000 mtorr로 유지하는 단계로서, 상기 제2 전구체 기체는 하기 화학식 중 하나를 갖는 화합물을 포함하는 것인 단계;
    Figure 112006082525462-PCT00008
    [상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있음]
    약 0.05 W/cm2 내지 약 3.0 W/cm2의 RF 전력 밀도 하에 상기 웨이퍼 상에 초 저 k 필름을 증착시키는 단계; 및
    상기 초저 k 필름을 처리하여 상기 필름의 안정성을 개선시키는 단계로서, 상기 처리는 열 공급원, 화학 공급원, 자외선(UV) 공급원, 전자빔(e-빔) 공급원, 마이크로파 공급원, 플라즈마 공급원 및 이들의 조합 중에서 선택된 에너지 공급원을 포함하는 것인 단계
    를 포함하는 방법.
  28. 제27항에 있어서, 상기 열 처리 단계는 약 0.25 시간 이상 동안 약 300℃ 이상의 온도에서의 어닐링 단계를 포함하는 것인 방법.
  29. Si, C, O 및 H의 원소를 포함하고, 무작위 공유 결합된 3차원 네트워크 구조, 2.5 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하는 유전체 재료로서,
    상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 유전체 재료:
    Figure 112006082525462-PCT00009
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  30. 제29항에 있어서, 상기 무작위 공유 결합된 3차원 구조는 Si-O, Si-C, Si-H, C-H 및 C-C 결합을 포함하는 것인 유전체 재료.
  31. 제29항에 있어서, 상기 유전체 재료는 430℃ 이상의 온도에서 열적으로 안정한 것인 유전체 재료.
  32. 제29항에 있어서, 상기 유전체 재료는 다수의 나노미터 크기의 소공을 더 포함하는 유전체 재료.
  33. 제32항에 있어서, 상기 다수의 나노미터 크기의 소공의 직경이 약 0.3 나노미터 내지 약 50 나노미터인 유전체 재료.
  34. BEOL(back-end-of-the-line) 절연체, 캡 또는 하드 마스크 층으로서 유전체 재료를 포함하는 BEOL 인터커넥트 구조체로서,
    상기 유전체 재료는 Si, C, O 및 H의 원소를 포함하고, 무작위 공유 결합된 3차원 네트워크 구조, 약 2.5 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하며, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 BEOL 인터커넥트 구조체:
    Figure 112006082525462-PCT00010
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  35. 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체로서,
    제1 절연 재료 층에 매립된 제1 금속 영역을 갖는 예비 처리된 반도체 기판;
    상기 제1 금속 영역과 전기 소통하고 초저 k 재료로 형성된 제2 절연 재료 층에 매립된 제1 전도체 영역으로서, 상기 제2 절연 재료 층은 상기 제1 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제1 전도체 영역; 및
    상기 제1 전도체 영역과 전기 소통하고 상기 초저 k 필름을 포함하는 제3 절연 재료 층에 매립된 제2 전도체 영역으로서, 상기 제3 절연 재료 층은 상기 제2 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제2 전도체 영역
    을 포함하고,
    상기 초저 k 재료는 Si, C, O 및 H의 원소를 포함하고, 다수의 나노미터 크기의 소공을 보유하며, 상기 초저 k 재료는 약 2.5 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하고, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 전자 구조체:
    Figure 112006082525462-PCT00011
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  36. 제35항에 있어서, 상기 제2 절연 재료 층과 상기 제3 절연 재료 층 사이에 위치한 유전체 캡 층을 더 포함하는 전자 구조체.
  37. 제35항에 있어서, 상기 제2 절연 재료 층과 상기 제3 절연 재료 층 사이에 위치한 제1 유전체 캡 층; 및 상기 제3 절연 재료 층의 상부에 위치한 제2 유전체 캡 층을 더 포함하는 전자 구조체.
  38. 제36항에 있어서, 상기 유전체 캡 층은 규소 산화물, 규소 질화물, 규소 옥시질화물, 내화성 금속 규소 질화물, 규소 탄화물, 규소 탄소 질화물, 규소 탄소 산화물, 탄소 도핑된 산화물 및 이들의 수소 함유 화합물로 이루어진 군 중에서 선택되는 재료로 형성되는 것인 전자 구조체.
  39. 제35항에 있어서, 상기 내화성 금속 규소 질화물은 Ta, Zr, Hf 및 W로 이루어진 군 중에서 선택된 내화성 금속을 포함하는 것인 전자 구조체.
  40. 제37항에 있어서, 상기 제1 유전체 캡 층 및 상기 제2 유전체 캡 층은 규소 산화물, 규소 질화물, 규소 옥시질화물, 내화성 금속 규소 질화물, 규소 탄화물, 규소 탄소 질화물, 규소 탄소 산화물, 탄소 도핑된 산화물 및 이들의 수소 함유 화합물로 이루어진 군 중에서 선택되는 재료로 형성되는 것인 전자 구조체.
  41. 제40항에 있어서, 상기 내화성 금속 규소 질화물은 Ta, Zr, Hf 및 W로 이루어진 군 중에서 선택된 내화성 금속을 포함하는 것인 전자 구조체.
  42. 제35항에 있어서, 상기 제1 절연 재료 층은 규소 산화물, 규소 질화물, 포스포실리케이트 유리(PSG), 보로포스포실리케이트 유리(BPSG) 및 이들 재료의 다른 도핑된 변형물로 이루어진 군 중에서 선택된 하나인 것인 전자 구조체.
  43. 제35항에 있어서, 상기 제2 절연 재료 층과 상기 제3 절연 재료 층 중 하나 이상에 증착된 유전체 재료의 확산 차단 층을 더 포함하는 전자 구조체.
  44. 제35항에 있어서, 상기 제2 절연 재료 층의 상부에 위치한 유전체 반응성 이온 에칭(RIE) 하드 마스크/폴리싱 정지 층, 및 상기 RIE 하드 마스크/폴리싱 정지 층의 상부에 위치한 유전체 확산 차단 층을 더 포함하는 전자 구조체.
  45. 제35항에 있어서, 상기 제2 절연 재료 층의 상부에 위치한 제1 유전체 RIE 하드 마스크/폴리싱 정지 층; 상기 제1 유전체 RIE 하드 마스크/폴리싱 정지 층의 상부에 위치한 제1 유전체 차단 층; 상기 제3 절연 재료 층의 상부에 위치한 제2 유전체 RIE 하드 마스크/폴리싱 정지 층; 및 상기 제2 유전체 RIE 하드 마스크/폴리싱 정지 층의 상부에 위치한 제2 유전체 확산 차단 층을 더 포함하는 전자 구조체.
  46. 제45항에 있어서, 초저 k 재료의 레벨간 유전체와 초저 k 재료의 레벨내 유전체 사이에 유전체 캡 층을 더 포함하는 전자 구조체.
  47. 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체로서,
    제1 절연 재료 층에 매립된 제1 금속 영역을 갖는 예비 처리된 반도체 기판; 및
    상기 제1 금속 영역과 전기 소통하고 초저 k 재료로 형성된 하나 이상의 제2 절연 재료 층에 매립된 하나 이상의 제1 전도체 영역으로서, 상기 하나 이상의 제2 전도체 영역 중 하나는 상기 제1 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 하나 이상의 제1 전도체 영역
    을 포함하고,
    상기 초저 k 재료는 Si, C, O 및 H로 주구성되고, 다수의 나노미터 크기의 소공을 보유하며, 상기 초저 k 재료는 약 2.8 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하며, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 전자 구체제:
    Figure 112006082525462-PCT00012
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  48. 제47항에 있어서, 상기 하나 이상의 제2 절연 재료 층 각 사이에 위치한 유전체 캡 층을 더 포함하는 전자 구조체.
  49. 제47항에 있어서, 상기 하나 이상의 제2 절연 재료 층 각각 사이에 위치한 제1 유전체 캡 층; 및 상기 최고 제2 절연 재료 층의 상부에 위치한 제2 유전체 캡 층을 더 포함하는 전자 구조체.
  50. 제49항에 있어서, 상기 제1 유전체 캡 층 및 상기 제2 유전체 캡 층은 초저 k 재료로 형성되는 것인 전자 구조체.
  51. 제49항에 있어서, 상기 제1 유전체 캡 층 및 상기 제2 유전체 캡 층은 변형된 초저 k 재료로 형성되는 것인 전자 구조체.
  52. 제49항에 있어서, 상기 유전체 캡 층은 규소 산화물, 규소 질화물, 규소 옥시질화물, 내화성 금속 규소 질화물, 규소 탄화물, 규소 탄소 질화물, 규소 탄소 산화물, 탄소 도핑된 산화물 및 이들의 수소 함유 화합물로 이루어진 군 중에서 선택되는 재료로 형성되는 것인 전자 구조체.
  53. 제47항에 있어서, 상기 내화성 금속 규소 질화물은 Ta, Zr, Hf 및 W로 이루 어진 군 중에서 선택된 내화성 금속을 포함하는 것인 전자 구조체.
  54. 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체로서,
    제1 절연 재료 층에 매립된 제1 금속 영역을 갖는 예비 처리된 반도체 기판;
    상기 제1 금속 영역과 전기 소통하고 제2 절연 재료 층에 매립된 제1 전도체 영역으로서, 상기 제2 절연 재료 층은 상기 제1 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제1 전도체 영역;
    상기 제1 전도체 영역과 전기 소통하고 제3 절연 재료 층에 매립된 제2 전도체 영역으로서, 상기 제3 절연 재료 층은 상기 제2 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제2 전도체 영역;
    상기 제2 절연 재료 층과 상기 제3 절연 재료 층 사이에 위치한 제1 유전체 캡 층; 및
    상기 제3 절연 재료 층의 상부에 위치한 제2 유전체 캡 층
    을 포함하고,
    상기 제1 유전체 캡 층 및 상기 제2 유전체 캡 층은 초저 k 유전체 재료로 형성되고, 상기 초저 k 재료는 Si, C, O 및 H를 포함하고, 다수의 나노미터 크기의 소공을 보유하며, 상기 초저 k 재료는 약 2.5 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하며, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하 며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 전자 구조체:
    Figure 112006082525462-PCT00013
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  55. 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체로서,
    제1 절연 재료 층에 매립된 제1 금속 영역을 갖는 예비 처리된 반도체 기판;
    상기 제1 금속 영역과 전기 소통하고 제2 절연 재료 층에 매립된 제1 전도체 영역으로서, 상기 제2 절연 재료 층은 상기 제1 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제1 전도체 영역;
    상기 제1 전도체 영역과 전기 소통하고 제3 절연 재료 층에 매립된 제2 전도 체 영역으로서, 상기 제3 절연 재료 층은 상기 제2 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제2 전도체 영역; 및
    상기 제2 절연 재료 층과 상기 제3 절연 재료 층 중 하나 이상에 증착된 초저 k 유전체 재료를 포함하는 재료로 형성된 확산 차단 층
    을 포함하고,
    상기 초저 k 재료는 Si, C, O 및 H를 포함하고, 다수의 나노미터 크기의 소공을 보유하며, 상기 초저 k 재료는 약 2.5 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하며, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 전자 구조체:
    Figure 112006082525462-PCT00014
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  56. 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체로서,
    제1 절연 재료 층에 매립된 제1 금속 영역을 갖는 예비 처리된 반도체 기판; 및
    상기 제1 금속 영역과 전기 소통하고 제2 절연 재료 층에 매립된 제1 전도체 영역으로서, 상기 제2 절연 재료 층은 상기 제1 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제1 전도체 영역;
    상기 제1 전도체 영역과 전기 소통하고 제3 절연 재료 층에 매립된 제2 전도체 영역으로서, 상기 제3 절연 재료 층은 상기 제2 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제2 전도체 영역;
    상기 제2 절연 재료 층의 상부에 위치한 반응성 이온 에칭(RIE) 하드 마스크/폴리싱 정지 층; 및
    상기 RIE 하드 마스크/폴리싱 정지 층의 상부에 위치한 확산 차단 층
    을 포함하고,
    상기 RIE 하드 마스크/폴리싱 정지 층 및 상기 확산 차단 층은 초저 k 유전체 재료로 형성되고, 상기 초저 k 유전체 재료는 Si, C, O 및 H를 포함하고, 다수의 나노미터 크기의 소공을 보유하며, 상기 초저 k 재료는 약 2.5 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보 유하며, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 전자 구조체:
    Figure 112006082525462-PCT00015
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  57. 배선 구조체에 있어서 레벨내 또는 레벨간 유전체로서 절연 재료 층들을 보유하는 전자 구조체로서,
    제1 절연 재료 층에 매립된 제1 금속 영역을 갖는 예비 처리된 반도체 기판; 및
    상기 제1 금속 영역과 전기 소통하고 제2 절연 재료 층에 매립된 제1 전도체 영역으로서, 상기 제2 절연 재료 층은 상기 제1 절연 재료 층과 친밀한 접촉 상태 로 존재하는 것인 제1 전도체 영역;
    상기 제1 전도체 영역과 전기 소통하고 제3 절연 재료 층에 매립된 제2 전도체 영역으로서, 상기 제3 절연 재료 층은 상기 제2 절연 재료 층과 친밀한 접촉 상태로 존재하는 것인 제2 전도체 영역;
    상기 제2 절연 재료 층의 상부에 위치한 제1 RIE 하드 마스크/폴리싱 정지 층;
    상기 제1 RIE 하드 마스크/폴리싱 정지 층의 상부에 위치한 제1 확산 차단 층 ;
    상기 제3 절연 재료 층의 상부에 위치한 제2 RIE 하드 마스크/폴리싱 정지 층; 및
    상기 제2 RIE 하드 마스크/폴리싱 정지 층의 상부에 위치한 제2 확산 차단 층
    을 포함하고,
    상기 RIE 하드 마스크/폴리싱 정지 층 및 상기 확산 차단 층은 상기 초저 k 유전체 재료로 형성되고, 상기 초저 k 유전체 재료는 Si, C, O 및 H를 포함하고, 다수의 나노미터 크기의 소공을 보유하며, 상기 초저 k 재료는 약 2.8 이하의 유전 상수 및 3개의 피이크로 풀어질 수 있는 Si-O 흡수 밴드를 갖는 FTIR 스펙트럼을 보유하며, 상기 Si, C, O 및 H의 원소는 분자식 SiRR'R"R"'(식 중, R, R', R" 및 R"'는 동일하거나 상이하며, H, 알킬 및 알콕시 중에서 선택됨)을 갖는 제1 전구체, 및 하기 화학식 중 하나를 갖는 제2 전구체로부터 유도되는 것인 전자 구조체:
    Figure 112006082525462-PCT00016
    상기 식 중, R1, R2, R3, R4, R5 및 R6은 동일하거나 상이할 수 있고, 수소, 알킬, 알케닐 또는 알키닐 기 중에서 선택되며, 이들 기는 선형, 분지형, 고리형, 다고리형일 수 있고, 산소, 질소 또는 불소 함유 치환체에 의해 작용화될 수 있다.
  58. 제57항에 있어서, 레벨간 유전체 층과 레벨내 유전체 층 사이에 위치한 상기 초저 k 유전체 재료를 포함하는 재료로 형성되는 유전체 캡 층을 더 포함하는 전자 구조체.
  59. 제1항에 있어서, 상기 PECVD 반응기가 병렬 플레이트 반응기인 방법.
  60. 제1항에 있어서, 상기 PECVD 반응기 내에 플라즈마를 형성시키는 단계를 더 포함하는 방법.
  61. 제26항에 있어서, 상기 PECVD 반응기가 병렬 플레이트 반응기인 방법.
  62. 제26항에 있어서, 상기 PECVD 반응기 내에 플라즈마를 형성시키는 단계를 더 포함하는 방법.
  63. 제27항에 있어서, 상기 PECVD 반응기가 병렬 플레이트 반응기인 방법.
  64. 제27항에 있어서, 상기 PECVD 반응기 내에 플라즈마를 형성시키는 단계를 더 포함하는 방법.
KR1020067023662A 2004-05-03 2005-03-23 반도체 디바이스 및 이것으로 제조된 전자 디바이스에있어서 레벨내 또는 레벨간 유전체로서 초저 유전 상수재료를 제조하는 개량 방법 KR100956580B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/838,849 2004-05-03
US10/838,849 US7049247B2 (en) 2004-05-03 2004-05-03 Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Publications (2)

Publication Number Publication Date
KR20070004966A true KR20070004966A (ko) 2007-01-09
KR100956580B1 KR100956580B1 (ko) 2010-05-10

Family

ID=34963531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067023662A KR100956580B1 (ko) 2004-05-03 2005-03-23 반도체 디바이스 및 이것으로 제조된 전자 디바이스에있어서 레벨내 또는 레벨간 유전체로서 초저 유전 상수재료를 제조하는 개량 방법

Country Status (7)

Country Link
US (2) US7049247B2 (ko)
EP (1) EP1745504A1 (ko)
JP (1) JP4756036B2 (ko)
KR (1) KR100956580B1 (ko)
CN (1) CN100524648C (ko)
TW (2) TWI374472B (ko)
WO (1) WO2005112095A1 (ko)

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7256124B2 (en) * 2005-03-30 2007-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
JP2007214403A (ja) * 2006-02-10 2007-08-23 Renesas Technology Corp 半導体装置の製造方法
FR2904728B1 (fr) 2006-08-01 2008-11-21 Air Liquide Nouveaux precurseurs porogenes et couches dielectriques poreuses obtenues a partir de ceux-ci
US8053375B1 (en) * 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
US7638443B2 (en) * 2006-11-14 2009-12-29 Asm Japan K.K. Method of forming ultra-thin SiN film by plasma CVD
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
JP5118337B2 (ja) * 2006-11-30 2013-01-16 アペックス株式会社 エキシマ真空紫外光照射処理装置
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
BRPI0816493A2 (pt) * 2007-10-05 2019-02-26 3M Innovatie Properties Company sensor e método para detectar um analito químico orgânico e métodos de fabricação de um elemento de detecção de analito químico orgânico
US8258629B2 (en) 2008-04-02 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Curing low-k dielectrics for improving mechanical strength
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
JP2010192520A (ja) 2009-02-16 2010-09-02 Elpida Memory Inc 半導体装置の製造方法
US8999734B2 (en) * 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
CN102762763B (zh) 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 SiCOH低K膜的气相沉积法
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
JP2012201658A (ja) * 2011-03-28 2012-10-22 Tosoh Corp 成膜材料、それを用いた膜の製造方法及びその用途
CN102751233B (zh) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 互连结构形成方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
CN107523808B (zh) * 2017-08-23 2019-05-10 江苏菲沃泰纳米科技有限公司 一种有机硅纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10020254B1 (en) 2017-10-09 2018-07-10 International Business Machines Corporation Integration of super via structure in BEOL
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN110255999B (zh) * 2019-06-10 2021-02-12 北京科技大学 一种氮氧双掺杂多孔空心碗形碳材料及其制备方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113390305B (zh) * 2021-08-16 2021-10-29 北京航天天美科技有限公司 疏水憎冰涂层及具有该涂层的弹翼结构
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3882914B2 (ja) * 2000-08-02 2007-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 多相低誘電率材料およびその堆積方法
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7381441B2 (en) 2002-04-10 2008-06-03 Honeywell International Inc. Low metal porous silica dielectric for integral circuit applications
US20030211244A1 (en) 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Also Published As

Publication number Publication date
CN100524648C (zh) 2009-08-05
TWI353637B (en) 2011-12-01
US7312524B2 (en) 2007-12-25
US20060110937A1 (en) 2006-05-25
TW201130048A (en) 2011-09-01
KR100956580B1 (ko) 2010-05-10
CN1950932A (zh) 2007-04-18
US7049247B2 (en) 2006-05-23
WO2005112095A1 (en) 2005-11-24
JP4756036B2 (ja) 2011-08-24
TWI374472B (en) 2012-10-11
EP1745504A1 (en) 2007-01-24
JP2007536733A (ja) 2007-12-13
US20050245096A1 (en) 2005-11-03
TW200603223A (en) 2006-01-16

Similar Documents

Publication Publication Date Title
KR100956580B1 (ko) 반도체 디바이스 및 이것으로 제조된 전자 디바이스에있어서 레벨내 또는 레벨간 유전체로서 초저 유전 상수재료를 제조하는 개량 방법
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
KR100586133B1 (ko) 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
EP1617957B1 (en) Method of forming an ultra low dielectric constant film
US7282458B2 (en) Low K and ultra low K SiCOH dielectric films and methods to form the same
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
WO2006022856A2 (en) DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS
JP2004534373A (ja) 多相低誘電率材料およびその堆積方法
TW563202B (en) An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 10