TWI374472B - An improved method for fabricating an ultralow dielectric comstant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made - Google Patents

An improved method for fabricating an ultralow dielectric comstant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made Download PDF

Info

Publication number
TWI374472B
TWI374472B TW094113670A TW94113670A TWI374472B TW I374472 B TWI374472 B TW I374472B TW 094113670 A TW094113670 A TW 094113670A TW 94113670 A TW94113670 A TW 94113670A TW I374472 B TWI374472 B TW I374472B
Authority
TW
Taiwan
Prior art keywords
film
ultra
low
reactor
sccm
Prior art date
Application number
TW094113670A
Other languages
English (en)
Other versions
TW200603223A (en
Inventor
Stephen M Gates
Alfred Grill
David Medeiros
Deborah A Neumayer
Son Van Nguyen
Vishnubhai V Patel
Xinhui Wang
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200603223A publication Critical patent/TW200603223A/zh
Application granted granted Critical
Publication of TWI374472B publication Critical patent/TWI374472B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

九、發明說明: 【相關申請案】 本發明與以下專利有關:共同讓與之美國專利號 6,147,009'6,312,793 >6,441,491'6,437,443'6,541,398^6,479,110 B2及6,497,963 ’其完整内容併入本案供參考。本發明亦與以 下專利有關··共同審查與共同讓與之美國專利申請號 10/174,749,2002 年 6 月 19 日中請;1〇/34〇,〇〇〇,2003 年 1 月23日申請;1〇/390,8(Η,2003年3月18日申請;以及 10/758,724 ’ 2004年1月16曰申請,此等美國專利申請案之 完整内容亦併入本案供參考。 【發明所屬之技術領域】 本發明大體上係關於製造具超低介電常數(或超牴k)之 介電材料从含有齡電材狀電子裝置的方法,尤其關於製 造-熱穩定超低綠,此_係在_超大型積體電路 (ULSI)後段製程(back遂〇f_編脍,be〇l)線路結構中, 供作為-層喊制介電f.,以及藉此方法所形成的一電子結 構0
4IBM/05035TW 【先前技術】 近年來,在ULSI電路中,由於電子褒置的尺寸持續地縮 小,已經使得BEOL金屬化之阻抗增加,以及層哺層間介 電質之電容增加。此综合的效應,使得刪電子裝置中之訊 號延遲增加。為改善絲卿線路之觀效能,需要低介電 常數00.絕緣層,尤其是1^低於氧化_當多者,以減少其 電容。具有低k的介電材料(亦即介電質)可於商場取得。一 種商%上可取得的其中材料,例如聚四氟乙烯 (polytetmfl義ethylene ’ PTFE) ’其介電常數約是2 〇。然而, 商場上可取得的介電材料,在溫度超過30(TC時,大多數都不 是熱穩定的。在現今ULSI晶片中低k介電質之積體電路,至 少在400 C時必須具熱安定性(thermal stability )。 已被應用於ULSI裝置中的低k材料,包含具有矽、竣、 氧及氫元素的高分子,例如曱基石夕氧烧(methyisii〇xane)、曱基 倍半石夕氧烧(methylsilsesquioxanes) ’以及其他有機與無機的高 分子。例如,有一篇論文(N. Hacker等人之〈新低介電常數 旋塗氧化石夕介電質之特性〉(Properties of new low dielectric constant spin-on silicon oxide based dielectrics),Mat. Res. Soc.
Symp. Proc. 476 (1997):25)描述呈現滿足熱安定性需求的材
4IBM/05035TW 1374472 料’即使其中某些材料,當藉由旋塗技術製備薄膜時,在一内 連線結構中要達積體整合所需厚度時容易傳播裂變(propagate cracks)。此外,這些先前技術之前驅物成本高而不適於量產。 再者’大多數大型積體電路(very_large_scale integratk)n,几si) 與超大型積體電路(ULSI)晶片的製造步驟,係採用賴加 強化學或物理氣相沉積(plasma enhanced chemical沉_ vapor deposition)技術執行。 使用以前安裝且可用之製程設備的電漿加強化學氣相沉 積(PECVD)技術製造—低k材料的能力,將可簡化製造製 程中的整合、減少製造成本,並產生較少的危險廢料㈤油耶 waste)。美國專利號明7,,與6,497,963描述—低介電常數 材料’ _、碳、氧元素及氫原子構成,其介f常數不大於 3.6,且呈現非常低的裂變傳播速度。 美國專利號 6,312,793、6,441,491、6,541,398 及 6,479,110 B2描述-多相(multiphase)低k介電材料’係由石夕、碳、氧 及氫兀素組成之一基質相(matrixphase),以及主要由碳與氫 組成之另一相所構成。前述專利揭示之介電材料,其介電常數 不大於3.2。
4IBM/05035TW 1374472 美國專利號6,437,443描述—低k介電材料,具二個或更 多相’其中第-相自- SlC〇H材料形成。此低k介電材料的 提供在-電漿加強化學氣相沉積(pECVD)腔體巾,藉由將 一含树、碳、氧及氫的第-前驅氣體,與至少1二前驅氣 邀反應,第二前驅氣體主要—含有碳、氫原子並視需要含魏、 氮及氧。 儘管已有眾多低k介電材料被揭示,仍持續需要研發一種 介電材料,介電常數不大於2.5,且在現今職技術使用之 製程溫度下具低應力與熱安定性。 【發明内容】 本發明提供—方法,以製造介電常數不大於2.5的-超低 介電常數(亦即超低k)材料。本發明提供之超低料更佳 的介電常數為約U至約2.5,且最佳的介電常數為約上8至約 咖枝轉__,所有齡”數皆姆於真空而言。 本發财提供—方法以製造包知、碳、氧及氫原子的一 4介電常數材料,其係源自i少二種前驅物的混合,其中第
4IBM/05035TW 一前驅物係選自石夕烧(SiH4)衍生物,具分子式SiRR,R,,R,’,,R、 R’、R”及R’”可以相同或不同’且係選自氫、烷基⑼kyl)及烷 氧基(alkoxy)。較佳的R、R’、R”及R,’,係相同的或不同的, 且係曱基(methyl)、乙基(ethyl)、曱氧基(meth〇xy)及乙氧基 (ethoxy)。較佳的第一前驅物包括但不限於:二乙氧基二甲基 矽烧(diethoxydimethylsilane)、.二乙氧基曱基矽烷 (diethoxymethylsilane,DEMS)、乙氧基三曱基石夕烧 (ethoxyltrimethylsilane)、乙氧基二曱基矽烷 (ethoxydimethylsilane)、二曱氧基二甲基矽烷 (dimethoxydimethylsilane)、二甲氧基曱基矽烷 (dimethoxymethylsilane)、二乙氧基石夕燒(^iethoxysilane)及三曱 氧基甲基石夕烧(trimethoxymethylsilane) 〇 本發明使用之第二前驅物係一有機化合物,選自: RV R3 \_/ 烯烴(alkenes) : r2 r4 炔烴(alkynes) : Pj — p2
4IBM/05035TW -10 - 1374472 越(ethers):
;及 環氧乙烷類(oxiranes) ·· ; R2 R4 • ...... _ R1、R2、R3、R4、R5及R6可為相同或不同,且係選自線 性的、分枝的、環狀的、多環的氫、烷基、烯(alkenyl)或烯基 (alkenyl group),且可被含有氧、氮或氟的各取代基官能化。 較佳的第二前驅物包括但不限於:環氧乙烧(ethylene oxide)、 環氧丙烷(propylene oxide)、環戊烯氧化物(cydopentene oxide)、環氧異丁烷(isobutylene oxide)、2,2,3-三曱基環氧乙烷 (2,2,3-trimetliyloxirane)、丁二稀氧化物(butadienemonoxide)、 雙環庚二烯(bicycloheptadiene)、1,2-環氧-5-己烯 (l,2-epoxy-5-hexene)、2-曱基-2-乙烯環氧乙烷 (2-methyl-2-vinyloxirane) 、1-異丙-環丁 -1,3-二浠 (l-isopropyl-cyclohexa-l,3-diene)及曱基第三 丁基醚 (tertbutylmethylether) ° 含矽烷衍生物之第一前驅物與第二有機前驅物的結合使
4IBM/05035TW -11- 1374472 用’可有效地於氫化矽碳氧化物(SiCOH)基體中形成一孔洞 相,且氫化石夕碳氧化物(SiCOH)薄膜之製備成本也較使用習知 之前驅物低。 含矽烷衍生物之第一前驅物與第二有機前驅物的結合使 甩-能使一多孔洞氳4匕石夕碳氧此物(&(:〇印介電質的伸張應力 降低(reduced tensile stress )。 本發明更提供-方法,在-平行平板式電漿加強式化學氣 相沉積(PECVD)反應器巾,製造—超低k介電f,也提供 一方法製造-超低k材料,在電子結構之—後段製程(腦L) 内連線結構中,用作一層内或層間介電質。 在另一方面’本發明也提供—熱穩定超低k材料,其具低 内應力,且介電f财大於約2.5。超似㈣更佳的介電常 數約U至約2.5,且最佳的介電t數約i δ至約2 25。 然而,在另-方面,本發明提供一電子結構,含有數層絕 、=料’財-餘(軌)、輪構悔層内或層 間"電質,其中至少有兩層絕緣材料包含本發明之—超低让
4IBM/05035TW -12- 1374472 材料。 -再者,本發明提供一電子結構,其具數層本發明之超低k 5料’以在-後段製程(BE0L)、線賴射用作層内或層間 介電質’且更包含至少一層介電帽蓋層(didectriccapia㈣, 甩作-反應式離子侧(reactive iGn eteh ’舰)停止層或一 • 化學機械研磨(chemical-mechanical polish)停止層或一擴散 阻 IV 層(diffusion barrier layer )。 根據本發_-雜造鋪定介電材料的方法,此熱穩定 介電材料具-基體,係包含石夕、碳、氧及氫原子,且提供一原 子、’及不米多孔性(at〇mic levd nan〇p〇r〇sity)。在一較佳實施例 中,此介電材料具有主要由石夕、碳、氧及氫所構成之一基體。 # 树明更提供製造介電材料的-方法,藉由將切、碳、氧及 -氫原子之姆第-前,雜體,與至少―含碳、氫原子及選擇性 .喊、氟、及氮之含有機第二前驅氣體反應,在_賴加強化 學氣相沉積(PECVD)反絲中。本發明之介電材料具-傅 利葉轉換紅外線(FTIR)光譜,其具―石广氧吸收頻帶 (sorption band) ’可被解迴旋(dec〇nv〇iuted)成三個峰值。 本發明更提供一電子結構(即基板㈣bstmte)),具數層絕緣材
4IBM/05035TW •13- 1374472 二以在一後段製程(BE〇L)線路結構中用作層内或層間介 • ^ ’其巾之絕緣材料可以是本發明之超低k薄膜。 、在-齡實施财,提供製造—_定超低k薄膜的方 法’包含下列步驟··提供一電装加強化學氣相沉積㈣咖) 反應器;在反應器中置入一電子結構(騎紐);流入一包 • 含秒、奴、氧及氫原子之含秒第一前驅氣體至反應器中:流入 包含碳、氫原子及視需要的氧、氟及氮之含有機的一第二前驅 混合氣體至反應器中;以及在此基板上沉積—超低]^薄膜。 較佳的第一前驅物選自矽烷(silane,SiH4)衍生物,具分子 式SiRR’R’’R,,,’ R、R,、尺,,及R,,,可以相同或不相同,且係選 自氫、烧基(alkyl)及烷氧基(alkoxy) ’較佳的是甲基(methyl)、 • 乙基(ethyl)、曱氧基(methoxy)及乙氧基(ethoxy) 〇較佳的前驅 . 物包括.二乙氧基二曱基砍烧(diethoxydimethylsilane)、二乙氧 基曱基石夕烧(diethoxymethylsilane ’ DEMS)、乙氧基三甲基石夕烧 (ethoxyltrimethylsilane)、乙氧基二曱基石夕院 (ethoxydimethylsilane)、二曱氧基二曱基石夕烧 (dimethoxydimethylsilane)、二甲氧基甲基石夕烧 (dimethoxymethylsilane)、三乙氧基石夕烧(triethoxysilane)及三曱
4IBM/05035TW -14- 1374472 氧基甲基石夕院(trimethoxymethylsilane) 〇 本發明使用之第二前驅物係一有機化合物,選自:
烯烴(alkenes):
块烴(alkynes) : R1—=—R2 醚(ethers): ;及 環氧乙烧(oxiranes)類:广R . R2 R4 ’ 性的、分枝的' 環狀的、多環的氫、烧基、烯(alkenyl)或烯基 (alkenyl抑叩),且可被含有氧、氮或氟的各取代基官能化。 較佳的第二前驅物包括環氧乙垸〇xide)、環氧丙烷 、環戊烯氧化物㈣d〇penteneoxlde)、環氧異
4IBM/05035TW -15- 1374472 丁烧(isobutylene oxide)、2,2,3-三曱基環氧乙烧 (2,2,3-trimetliyloxirane)、丁二稀氧化物(butadienemonoxide)、 雙環庚二烯(bicycloheptadiene)(又名2,5-降冰片二稀 (2,5-norbomadiene))、1,2-環氧-5-己婶(l,2-epoxy-5-hexene)、2-曱基-2-乙烯環氧乙烧(2-methyl-2-vinyloxirane)、1-異丙-環丁 Ί3-二烯(l-isopropyl-cycloliexa_l,3;:diene)及甲基第三 丁基駿 (tertbutylmethylether) ° 本發明之沉積薄膜視需要可在不低於約30(TC的溫度熱 處理’歷時(time period)至少約0.25小時。另一種方式是, 可用紫外光(UV)或電子束(e-beam)處理本發明之沉積薄 膜,如美國專利申請號10/758,724中所述為例,其整體之内容 於文中納入作為參考。 本發明的方法可更包含提供一平行平板式反應器之步 驟’其一基板卡盤(chuck)具一區域約300 cm2至800 cm2, 且在基板與一上電極間具一間隙(gap)約lcm至約1〇cm。 另一種方式是,一多站(multi_stati〇n)反應器也可以用 於此薄臈之沉積。施加一高頻RF電力於其中一個電極,頻率
4IBM/05035TW -16- 約UMHz (編至15MHz。可視需要施加—額夕卜的低頻電 力於其中-個電極’該電力之頻率例如為細z或更低 (350-450KHZ(千赫))。 熱處理步驟可在不高於約3〇(rc的溫度下實行,歷時一第 一時卿期,善著在不低於約38〇:c的溫度,歷時一第二時 週期,第二時間週期較第一時間週期長。第二時間週期可至少 是第-時間週期的約1〇倍。可視需要藉由暴露於爪輻射或 電子束熱處理薄膜’例如美國專利申請號膽58,724中所述。 本發明之超低k薄膜的沉積步驟,可更包含下列步驟:設 定基板溫度從約25°C至約4CKTC ;設定高頻RF電力密度為約 0.〇5W/cm2 (瓦/平方公分)至約3 5 w/cm2 ;設定第一前驅物 流量(flow rate )為約5scCm(每分鐘標準毫升)至約 lOOOsccm; 设定第二前驅物流量為約5 sccm至約1〇〇〇sccm ;設定載子氣 體(carriergas)氦流量為〇sccn^i〇〇〇sccm;以及設定反應 器壓力為約50mtorr (亳托)至約8000mt〇rr。視需要加入一超 低頻電力於電漿’約l〇W至約300W。 在另一較佳實施例中,提供製造一超低k薄膜的方法,包
4IBM/05035TW -17- 1374472 含下列步驟:提供一具電漿加強的平行平板式化學氣相沉積 • ( chemical vapor deposition )反應器;置入一預處理 (pre-processed )晶圓於一基板卡盤上,其具一區域從約 300cm2至約800cm2,且在晶圓與一上電極間維持一間隙從約 lcm至約10cm;流入一包含矽烷衍生物分子的第一前驅氣體 至反應裔中’其具分子式SiRR’R’’R’’’,其中r、r,、r,,及r,,, φ 係相同的或不同的,且係選自氫、烷基(alkyl)及烷氧基 (alkoxy),R、R’、R”及R’’’每個皆獨立地為曱基(me%1)、乙 基(ethyl)、曱氧基(methoxy)或乙氧基(ethoxy);流入至少一第 一如驅氣體’包含有機分子自化合物群包括
烯烴(alkenes):
R3 R4
R1—=~R2 块烴(alkynes): 醚(ethers):
4IBM/05035TW -18- 1374472
環氧乙烧類(oxiranes) “、^、“、“、^及^^可為相同或不同’且係選自線 性的、分枝的' 環狀的、多環的氫、烷基、烯(alkenyl)或烯基 φ (处呵1抑叩)’且可被含有氧、氮或氟的各取代基官能化; 且沉積一超低k薄膜在晶圓上。 此製程在沉積步驟後,可更包含熱處理薄膜的步驟,在不 低於約300°C的溫度,歷時至少約〇25小時,或者在沉積後, uv或電子束處理薄膜的步驟。此製程更包含施加一即能源 .於晶圓的倾。祕醇驟可更被實行在不高於約細。c的溫 • 度,歷時一第一時間週期,且接著在不低於約380Ϊ的溫度, 歷時-第二時間週期,第二時間週期較第一時間週期長。第二 .時間週期可至少是第一時間週期的約1〇倍。 所使用的石夕烧衍生物前驅物可以是二乙氧基甲基石夕烧 (dieth〇xymethylsilane ’ DEMS) ’且有機前驅物可叹雙環庚二 烯恤ydoheptad職,BCHD)。超低k薄膜的沉積步^更包
4IBM/05035TW -19- 1374472 含下列步驟:設定晶圓溫度約25°C至約400°C ;設定一 RF能 源密度約〇.〇5W/cm2至約3.5W/cm2 ;設定石夕烧衍生物流量約 5sccm至約lOOOsccm ;設定有機前驅物流量從約5sccm至約 lOOOsccm ;設定載子氣體氦流量從〇sccm至i〇〇〇sccm ;以及 設定反應器壓力從約50mtorr至約8000mtorr。此外,沉積步 驟可更包含設定雙環庚二稀(bicycloheptadiene)對二乙氧基甲 基矽烧(diethoxymethylsilane)的流量比(flowratio)約 0.1 至約 3,較佳為0.2至0.6。基板卡盤的傳導區域可藉由一因子X來 改變,藉由相同的因子X也會導致RF能源的改變。 然而,在另一較佳實施例中,提供製造一熱穩定超低k 介電薄膜的方法’包含下列步驟:提供一平行平板式電漿加強 化學氣相沉積(PECVD)反應器;置入一晶圓於一基板卡盤 上’其具一傳導區域從約300 cm2至約800 cm2,且在晶圓與 一上電極間維持約lcm至約l〇Cm—間隙;流入前述矽烷衍生 物與有機分子的一前驅混合氣體至反應器中的晶圓上方,其溫 度維持從約25 °C至約400 °C,總流量約25sccm至約 l〇〇〇sccm ’維持反應器壓力在約lOOmtorr至約8000mtorr ;沉 積一介電薄膜在晶圓上,RF能源密度約〇25W/cm2至約 3W/cm2 ;以及視需要退火(annealing)此超低k薄膜在不低
4IBM/05035TW -20- 1374472 於約300°C的溫度,歷時至少約0.25小時。 本發明的方法更包含-退火_的步驟,係在不高於約 3〇(TC的溫度’歷時-第-時間週期,且接著在不低於約珊 。⑶溫度’歷時-第二時間週期’其中第二時間週期較第一時 間週期長。第二時間週期可被設定至少是第—時間週期的約 1〇倍。矽烷衍生物可以是二乙氧基曱基矽烷 (diethoxymethylsilane,DEMS) ’且有機前驅物可以是雙環庚二 稀(bicycloheptadiene,BCHD)。 本發明更指向-電子結構’其錄觀騎料,以在一後 段製程(BE0L)内連線結構㈣作層喊層間介電質,内連 線結構包括一預處理半導體基板,其具一第—金屬區,嵌在一 第-絕緣材料層中,-第-導體區域嵌在本發明超低^電質 之=第二絕緣材料層中,本發明超低k介電質包含石夕、石炭、氧 及氫,以及多樣性奈米級孔洞,且具一介電常數不大於約^ ; 第二絕緣材料層與第—絕緣材料層緊密軸(Μ福e c™),第—導體區域與第—金輕域電相連(electncal ⑺職臟相);第二導域與第—物_動目連,且被 谈入含本發明超低k介電質之―第三絕緣材料層中,第三絕緣
4IBM/05035TW -21 - 1374472 材料層與第二絕緣材料層緊密接觸。此電子結構可更包含一介 電巾自蓋層,位在弟一絕緣材料層與第三絕緣材料層之間。此電 子結構可更包含一第一介電帽蓋層,在第二絕緣材料層與第三 絕緣材料層之間,且一第二介電帽蓋層在第三絕緣材料層頂 上。 介電帽材料可以選自氧化石夕(silicon 〇xide )、氮化石夕 (silicon nitride)、氮氧化石夕(silicon oxynitride)、氮碳化石夕(siiicon carbon nitride ’ SiCN)、氮氧碳化矽(siiiC0n carb〇n 〇xynitride, SiCON)、耐火金屬石夕氮化物(refractory metal silic〇n池恤)(其 中之财火金屬(refractory metal)係選自由鈕(Ta)、鍅(Zr)、铪(Hf) 及鶴(^^)構成的群組)、碳化石夕(姐记〇11〇^此)、摻雜碳^氧化 物(carbon doped oxide)或氫化矽碳氧化物(siC〇H)及其氫化化 合物(hydrogenated compounds)。第一與第二介電帽蓋層可選自 相同的介電材料群組。第一絕緣材料層可為氧化矽或氮化矽或 這些材料的摻雜變體,例如磷石夕玻璃①hosphorus silicate glass ’ PSG)或石朋碌石夕玻璃(b〇ron ph〇Sph〇ms silicate giass, BPSG)。此電子結構可更包括一介電材料之一擴散阻障層,沉 積在絕緣材料第二與第三層之至少其中之一。此電子結構可更 包含一介電質,在第二絕緣材料層頂上,其用作一反應式離子
4IBM/05035TW *•22- 1374472 钱刻⑽)硬罩與研磨停止層,以及一介電擴散阻障層在此 介電反應讀子侧硬额研贿止相±。此電子 .. 包含―第—介狀應式離子侧硬罩/研贿止層在此第二絕 、彖材料層頂上,-第-介電反應式離子飯刻硬罩/擴散阻障層 在此第-介電補停止層頂上,—第二介電絲式離子钱亥懷 罩/研磨停止層在此第三絕緣材料層頂上,以及一第二介電擴 • 散阻障廣在此第二介電研磨停止層頂上。此電子結構可更包^ 與以上所提有相同材料之一介電帽蓋層,在超低k介電質之一 層間介電質與超低k介電質之—層内介電質之間。 【實施方式】 本發明揭示在-平行平板式電襞加強化學氣相沉積 (PECVD)反應H巾’製造—熱敎超低介電常數薄膜的方 • 法。在較佳實施例中揭示含有一氫化石夕碳氧化物(hydr〇genated oxidized silicon carbon ’ SiCOH)材料為主體的一材料,包含在 一隨機共價鍵結(random covalently bonded )網路中矽、碳、 氧及氫,且具不大於2.5的一介電常數,其更可含有分子級空 隙(molecularscalevoids),直徑約〇 5至2〇奈米(職咖时s), 更使介電常錄降至約Z0町。歷之超㈣_的介電常 數約1.5至約2.5,且最佳的介電常數約h8至約2 25。本發明
4IBM/05035TW -23- 1374472 之超低k介電材料典型之特徵在於具—多相馳,其包括一第 =’主_、碳、氧及氫構成;—第二相,主要由碳與氫 構成之;以及多樣性奈米級孔洞。 要產生-超低k熱穩定薄膜,需要有—特定幾何形狀的沉 積反應器’錢特定的成祕件。舉_言,在平行平板式反 應器中,基板卡盤的-傳導區域應約為3〇〇咖2至約_cm2, 基板與-上電極間應具-間隙為約“至約1〇咖。施加一 RF能源於基板。根據本-發明,超低k薄膜係形成自一雜衍 生物,例如二乙氧基曱基矽烷(DEMS)與一第二前驅物的混 合,第二前驅物係一有機分子,選自以下各化合物組成之群組:
稀烴(alkenes):
块烴(alkynes) : R 一~"r2 峻(ethers):
;及
4IBM/05035TW 24 環氧乙烧類(oxiranes) : ; 其中,R1、R2、R3、R4、R5及R6可為相同或不同,且係 選自線性的、分枝的、環狀的、多環的氫、烷基、烯(alkenyl) 或烯基(alkenyl group),且可被含有氧、氮或氟的各取代基官 此化’例如雙環庚二烯(bicycloheptadiene,BCHD),在特定反 應條件下與一特定型態之沉積反應器中。本發明之超低k薄膜 更可在不低於約300°C的溫度下被熱處理,歷時至少約0.25 小日T或者為降低介電常數。在沉積或熱處理後,此薄膜也可用 UV或電子束處理。在後沉積(p0st_dep〇sition)處理步驟中, 源自第二前驅氣體(或混合氣體)之分子碎片(molecule fragments),包含碳與氫以及選擇性的(〇pti〇nally)氧原子, 可會熱分解,且可會被轉變成較小分子,從薄膜中被釋放。藉 由分子碎片的轉變過程與釋放,在薄膜中可會選擇性地會發展 出一些空隙。薄膜密度會因此而減小,介電常數與折射率 (refractiveindex)也會跟著降低。 本發明提供製備一具超低k(即小於約2.5)材料的方法,
4IBM/05035TW 25- 其適用於-倾製程(卿L) _結狀整合。本發明之超
合的前驅物,以及如以下所述之製程參數的—狀組合。較佳 的第一前驅物係選自矽烷(silane,Sii^)衍生物,具分子式 R及R可以是相同或不同,且係選自 氫、烷基(alkyl)及烷氧基(alkoxy) ’較佳為甲基(methyl)、乙基 (ethyl)、曱氧基(methoxy)及乙氧基(eth〇Xy)。較佳的前驅物包 括.一乙氧基'一甲基發烧(diethoxydimethylsilane)、二乙氧基甲 基石夕烧(diethoxymethylsilane,DEMS)、乙氧基三曱基石夕烧 (ethoxyltrimethylsilane)、乙氧基二甲基矽烷 (ethoxydimethylsilane)、二曱氧基二曱基矽烧 (dimethoxydimethylsilane)、二甲氡基甲基石夕烧 (dimethoxymethylsilane)、三乙氧基石夕烧(triethoxysilane)及三甲 氧基曱基石夕院(trimethoxymethylsilane)。 本發明使用之第二前驅物係一有機化合物選自:
4IBM/05035TW -26- 1374472 炔烴(alkynes) : r1 —=R2 ; 越(ethers):
環氛乙烧類(oxiranes) : . R2 V ,
R R R R R&R6可為相同或不同且係選自線 性的、分枝的、環狀的、多環的氫、烧基、烯她邮)或稀基 (alkenyl gr〇叩),且可被含有氧、氮或氟的各取代基官能化。 再者,其他原子像S例如硫H其他_素(halGgens)可
被包§在弟一削驅物为子中。在這些種類中,最適合的係環氧 乙烷(ethylene oxide)、環氧丙烷(propylene〇xide)、環戊烯氧化 物(cyclopentene oxide)、環氧異丁烷(isobutyiene 〇χΜ〇、2,2 3_ 三曱基環氧乙烧(2,2,3-trimethyloxirane)、丁二浠氧化物 (butadienemonoxide)、雙環庚二稀(bicycloheptadiene)、1,2-環 氧-5-己烯(l,2-epoxy-5-hexene)、2-甲基-2-乙烯環氧乙烧 (2-methyl-2-vinyloxirane) 、1-異丙-環丁 -1,3-二烯 (l-isopropyl-cyclohexa-l,3-diene)及曱基第三丁基 _ (tertbutylmethylether) °
4IBM/05035TW -27- 如圖一所示,平行平板式電漿加強化學氣相沉積 (PECVD )反應器1〇係用於2〇〇mm晶圓製程的類型。反應 器10的内直徑X約是13英吋,而高度Y約是8.5英吋。基 板卡盤12的直徑約是10 8英吋。反應氣體經由一氣體分配板 (gas distribution plate,GDP) 16 導入反應器 10 中,此氣體 分配板與基板卡盤12之間的間距Z約是1英吋,且反應氣體 係經由一 3英对排出埠(exhaust port) 18被排出反應器。 RF能源20被連接至氣體分配板(GDP) 16,其在電性上係與 反應器10絕緣,且基板卡盤12是接地的。為了實用目的,反 應裔所有的其他部分皆是接地的。在一不同的實施例中’即 能源20可被連接至基板卡盤12,並被傳送至基板22。此中, 基板獲得一負偏壓(negative bias),其值取決於反應器的幾何 形狀與電漿參數。在另一實施例中,可以使用超過一個能源。 舉例而言’二個能源可以操作在相同的RJ7頻率,或者一個可 操作在低頻、一個在高頻。這二個能源可都被連接至相同的電 極或者至不同的電極。在另一實施例中,RP能源在沉積期間 可以斷斷續續地產生脈衝波。沉積低k薄膜期間被控制的製程 變數係RF能源密度、前驅物混合與流量、反應器中的壓力、 電極間距及基板溫度。(加熱的晶圓卡盤的溫度控制著基板溫
4IBM/05035TW 28- 1374472 度0 根據本發明,使用上述之合適的第一與第二前驅物,並與 特定製程參數結合,可製備本發明之難的超低k材料,包 含.約5至約40原子百分比的矽;約5至約7〇原子百分比的 石厌’ 〇至約50原子百分比的氧;以及約5至約55原子百分比 φ 的氫。在本發明的某些實施例中,碳含量可以高至約7〇%。 在一薄膜的一沉積製程期間,被控制的主要製程變數係 RF能源、前驅物的流量、反應器壓力及基板溫度。根據本發 明,以下提供以一第一前驅物二乙氧基曱基矽烷 (diethoxymethylsilane,DEMS)與一第二前驅物雙環庚二烯 (bicycloheptadiene,BCHD)沉積薄膜的數個範例。在某些範 • 例中,二乙氡基曱基矽烷(DEMS)前驅物蒸汽用氦(或氬)作 • 鮮氣體’以傳送至反應器中。可視需要地,將沉積後之薄膜 . 在400°C熱處理’以減小k。另一種方式是,用^或電子束 處理薄膜以減小k並增加交聯(c職俯mg),如美國專利申 請號10/758,724中所述為例。熱處理可以單獨進行,或與揭示 在’724申請案中之其中一個處理方法併用。
4IBM/05035TW -29- 詳細而5,根據’724申請案,沉積的薄膜視需要可以用 一能源處理,以穩定此薄膜並改善其特性(電性、機械性、黏 性)’最後可得一最佳化薄膜。適合的能源包括熱源、化學源、 紫外光(UY)、電子束、微波及電聚。前述能源的各種組合也 可以用於本發明中。本發明使用的能源係用來修飾沉積介電質 的石夕-碳鍵結網路(bonding network)、修飾材料中的其他鍵 結、引起更多的矽-碳交聯,以及在某些狀況下移除碳氫相, 综合前述所有的修飾,可得一較高的彈性模數(dastic modulus)、一較高的硬度、或一較低的内應力、或以上所述特 性的結合。一較南的模數或一較低的應力皆可得一較低的裂變 傳播速度,較高模數與較低應力的結合係較佳的能源處理結 果。 熱源包括任何來源,像是例如一發熱元件(heati啤 element)或一盞燈,可以加熱沉積之介電材料從約3〇〇ΐ至約 500 C的溫度。此熱源更佳係能夠加熱沉積之介電材料約ho °C至約430°C的溫度。熱處理製程可被實行在多種時間週期, 一般約1分鐘至約300分鐘。此熱處理步驟一般被執行在一惰 性氣體中,例如氦與氬。熱處理步驟可稱作一退火步驟,此中 使用了快速熱退火、火爐(furnace)退火、雷射退火或尖峰
4IBM/05035TW -30- (spike)退火條件。 i外光處理步驟的執行係棚可喊生約,奈米至約 150不米波長的辆、來騎(irradiate)基板,而將晶圓溫度 維持在25 C至500°C,較佳的溫度為30(TC至450¾。大於370 不米的m纟不足以解贼活化重要的鍵結,波絲圍以⑼ 奈米至370奈米較佳^由文獻資料與在沉積薄膜上測得的吸收 光瑨’本發明已得知小於17〇奈米的輻射並不適用,此係由於 氫化石夕碳氧化物(SiCOH)薄膜的降解(degradation)。再者,相 車乂於150奈米至31〇奈米的範圍,31〇奈米至37〇奈米的能量 範圍較不實用,此係由於31〇奈米至37〇奈米之每個光子 (Ph0t0n)相對低的能量。在150奈米至310奈米的範圍中, /儿積薄膜之吸收光譜有最佳重疊(〇verlap),且薄膜特性(像 疋例如疏水性)降解最小,故可視需要被選用作^光譜一最 有效區’以改變氫化矽碳氧化物(Sic〇H)的特性。 電子束處理步驟的執行,係利用一能夠在晶圓上方產生一 均勻電子通量(electron flux)的能源,其電力從〇.5 keV (仟 電子伏特)至25keV ’且電流密度從0.1至1〇〇 microAmp/cm2 (微安培/平方公分)(較佳為1
至 5 microAmp/cm2),晶圓溫 4EBM/05035TW -31· 1374472 度維持在25C至5GGt ’較佳的溫度為·。CJL 4耽。在電 子束處理步驟中使用之較佳的電子劑量為50至500 mic—mbW (微庫命/平方公分),最佳為⑽至3⑽ microcoulombs/cm2。 電渡處理步驟的執行,係利用能夠產生原子氫以及選擇性 # 域生甲基(强)或其他碳氫自由基的能源。在直接的電毁暴 露期間’下游電漿源較佳。電漿處理_,晶圓溫度維持在 25 C至500 C,較佳的溫度從^(^至45(Γ(:。 電浆處理步驟的執行,係藉由導入一氣體至—可以產生電 水的反應4 ’且之後其轉變為電I。可训於電漿處理的氣 體包括惰性氣體像是例如氮、氮、說、氣㈣或氮间,其中 鲁 乱較佳,虱或原子氫的相關來源、曱烧(methane)、曱基石夕烧 (methykilane)、曱基的相關來源及其混合物。電聚處理氣體的 /;IU里可視制的反應料、統而改變。腔體壓力可以在0.05至 20torr的範圍中’但壓力操作的較佳範圍在1至i〇t〇jT。電漿 處理步驟歷時一時間週期,一般從約1/2至約1〇分鐘,然而 在本發明中可用較長的時間週期。
4IBM/05035TW -32- 1374472 -般用- RF或微波能源來產生上述的電聚。郎能源可 .· 猶在高赚®⑺1娜錢大的料);低賴圍(小於 25GW)或者可用兩者敝合。高頻電力密度可以在〇1至 2.0W/Cm2的範圍中,但操作的較佳範圍在〇 2至〗_咖2。 低頻電力密度可以在αι至1QwW的範财,但操作的較 佳範圍在G.2至〇.5W/em2。選定的電力驗必賴低,以避免 • 暴路的”电表面(小於5奈米去除(removal))有顯著的滅雜 刻0 為使本發明之沉積製程能成功完成,使用的沉積條件也是 關鍵的。舉例而言 > 晶圓溫度為約25。(:至約42(TC,且較佳為 約6〇C至約350°C «RJF能源密度為約0.05W/cm2至約 m 且較佳為約〇.25W/cm2至約lW/cm2。在較佳的製 程中射頻電力同時施加於氣體導入板(喷頭)(13.6MHz頻 率與約35時的電力而可用200W至450W))及晶圓卡盤 (13·6ΜΉζ頻率’約1〇〇w的電力(然而可用5〇Wi2〇〇w))。 如此技藝者所習知,本發明也可使用不同的RJF頻率(0.26、 0.35、0.45他)。 一己氧基甲基矽烷(D EM S)反應氣體流量約 5sccm 至
4IBM/05035TW -33- 1374472 lOOOsccm,且較佳為約25sccm至約200sccm。雙環庚二烯 (BCHD)反應氣體流量約5 seem至約1 OOOsccm,且較佳約 lOsccm至約120sccm。以使用液態前驅物輸送(delivery)時, 每個前驅物的液態流量在500-5000毫克/分鐘(mg/minute)的 範圍中。視需要可以添加氦,且較佳的氦流量在丨〇〇_2〇0〇sccm 的範圍中,然而在本發明中也可用其他流量。沉積製程期間的 反應器壓力一般為約50mtorr至約l〇〇〇〇mt〇rr。 使用一多站(multistation)沉積反應器時,基板區域係指 每個個別的基板卡盤’且錢體的流量餘―健別的沉積 站。因此’輸入反應器的總流量與總電力要乘以反應器内沉積 站總數。 在進行後續之整合製程前,沉積薄膜是穩定的。執行穩定 製程可在約3GGT:至約43(TC-火爐退火步驟中,歷時一時間 週期約0.5树至約4小時。穩定製程也可在一快速熱退火製 程中執行,溫度高於約3〇〇t;。穩定抛也可在一 w或電子 2腔體中執行,溫度高於。根據本發騎制的薄膜之 :電常數小於約2.5。根據本發明得到的薄膜,在—非氧化環 境中之熱安定性可達到至少約43〇。<3的溫度。
4IBM/05035TW -34- 1374472 根據本發卿成之的電子裝置係如圖四至圖七所示。注音 到圖四至圖堵示裝置,僅為本發明之範例,依據本發明還; 以形成無數的其他裴置。 、 圖四顯示建立在—石夕基板32上的電子裝置3〇。在矽基板 32頂上’形成絕緣材料層34 ’ -第-金屬區36嵌入其中:在 第-金屬區36上實行化學機械研磨(CMp)製程後,—薄膜 如-超低k薄膜38,沉積在第一絕緣材料層% 屬、 ,頂上。視需要可在層.與層38之間加上一= 蓋層(未顯不)。第一絕緣材料層34可由氧化石夕、氮化石夕、這 些材料的摻雜變體或任何其他適合的絕緣材料形成。超低^ 薄膜38細—鄕⑽祕如抑咖)製糊案化,而導體 層40沉齡射。在第4G上執行—化學機械研磨 (CMP)製程後’由電漿加強化學氣相沉積(pE_繁程 將超低第二層44沉積在第—超似薄膜⑽與第一導體 :40頂上。導體層4〇可由—金屬料材料或—非金屬傳導材 沉積。舉例而言,可使用一金屬傳導材料例如紹或銅,或一 料屬傳導材料如氮或多晶石夕。第一導體奶與第一金屬㈣ 可電相連。
4IBM/05035TW -35- =二超低k_h4中進行—光微影製 導體區5G,接著是第二_料的备 ,由-金屬材料或—非金屬材料沉積積= 導體層40的材料。第二導體區奸:儿積第-其係嵌入在輸絕緣體.第二層44中。=3相連 第-絕緣材料層38緊密接觸。 例:第弟:層病 根據本發明係—超低叫用作丄= =二絕緣材料層’亦即,超低_-,則同時:二 ”層間介電質。基於超低k薄膜的低介電常數,第—絕 層38與第二絕緣層4何具極佳的絕緣特性。 圖五顯示本發献電子裝置60,類似圖四聯電子裝置 30 ’但是有辦的介f帽歸62沉積在第—絕緣材料層% 與第二絕緣材料層44之間。介電帽蓋層62適合由一材料形 成,如氧化矽、氮化矽、氮氧化矽、碳化矽、氮碳化矽(SiCN)、 石夕碳氧化物(SiCO)、修飾過的超低k及其氫化化合物,以及耐 火金屬矽氮化物,其中此耐火金屬係選自由钽、錯、铪及鎢構 成的群組。此外,介電帽蓋62係用作一擴散阻障層,防止第 一導體層40擴散到第二絕緣材料層44中,或擴散到較低層
4IBM/05035TW -36- 1374472 中,特別是進入層34與層32。 圖六顯示本發明之另-不同f子裝置7()的實_。在電子 裳置7〇中,使用二層額外的介電帽蓋層72與74,其可用作 -反應式離子_ (RIE)遮罩與化學機械研磨(cMp)研磨 停止層。第一介電帽蓋層72沉積在第—絕緣材料層38頂上。 ^電们2的侧’縣平細導體層4()德學機械研磨 製程提供-停止點(endpGint)。研磨停止層%可由一適合的 介電材料沉積’如氧鱗、氮切、氮氧切、碳化秒、石夕碳 氧化物(sico)、氮碳化柳CN)、鱗叙魏k及其氮化化 合物’以及耐火金屬魏化物,射此耐火金屬係選自由叙、 錯、铪及鶴構成的群組。介電層72的上表面與第—導體層奶 的水平高度相同。為了相同目的,可加上—第二介電層”在 第二絕緣材料層44頂上。 圖七顯示本發明另—更不同電子数⑽的實施例。在此 不同的實施财n額外的介電層δ2,因此將第二絕緣 材料層44分隔為二層δ4與86。層内與層間介電層44,如圖 七所示,因此被分隔為層内介電層84與層間介電層%,在内 連線92汹連線94間的分界線(bQundary)上,㈣八所示。
4IBM/05035TW -37- :沉:1外的擴散阻障層96於上面的介電層74頂上。此不 一之…子裝置80實施例提供的額外優點,係將介電層82用作 =式離子_⑽)靖止,以提供極佳的内連線深 又工,以便對導體阻抗(resistance)有較佳的控制。 以下所列範例,係為示範本發明之超低k介電薄膜的製 ^ ’並展示此等範例可得到的好處: 【扼例一】 在此範例中,參照圖一,首先準備一晶圓,經由一開缝閥 (slit valve) 14將此晶圓置入反應器1〇中,且視需要以氬氣 預蝕刻(pre-etched)此晶圓。在此晶圓製備製程中,晶圓溫 度被設定在約18(TC,而氬流量被設定在約25SCCni,以達到約 100mto订的壓力。接著打開一 RJF能源至約125W,歷時約60 秒。然後關閉RF能源與氬氣流。 一乙氧基曱基碎烧(DEMS)前驅物被送入反應器中。要沉 積本發明之超低k薄膜,首先建立二乙氧基甲基矽烷(DEMS) 與雙環庚二烯(BCHD)氣體流至必要的流量與壓力,亦即,二 乙氧基曱基矽烧(DEMS)約4sccm與雙環庚二烯(BCHD)約
4IBM/05035TW -38- 1374472 3Sccm,以及约500mt〇ir的壓力。接著打開一郎能源至約 • 3〇W,歷時一時間週期、約50分鐘。然後關閉RF能源與氣體 .· 流。接著自反應器10將晶圓移出。 為減小沉積薄膜的介電常數,且更為改善其熱安定性,亦 即’為使其在溫度高於30〇t時能穩定,此薄膜需後處理(p〇st • treated)以蒸發其揮發性成分(volatile Contents),並且在尺寸 上(dimensionally)穩定薄膜。此後處理製程可由下列步驟在 退火火爐中實行。首先,以流量約1〇公升/分鐘的氮淨化火 爐約5分鐘(薄膜樣本置於—裝載站(_ stati〇n)中)。接著將 薄膜樣本轉移至火爐反應器中,開始加熱薄膜至約28(TC的後 退火循環’加熱率約5°C/分鐘,維持在約28(rc歷時約5分鐘, 以約5C/分鐘的第二加熱率加熱至約4〇〇t>c,維持在約4〇(rc 鲁 此夺約4小時’關閉火爐’並讓薄膜樣本冷卻至低於約100°c - 的溫度。一適合的第一維持溫度可從約280°c至約300°c,而 - 適合的第二維持溫度可從約300°C至約400°C。由此得到的 薄膜具介電常數U卜另-種方式是,可在約30(TC用UV或 电子束處理薄膜歷時至多30分鐘。
現在參照圖二討論第—實施例之結果。圖二所示係得自一 4IBM/05035TW -39- 1374472 超低k薄膜之傅利葉轉換紅外線(FTIR)光譜,此薄膜係根 據本發明製備自二乙氧基甲基矽烷(DEMS)與雙環庚二烯 (BCHD)的混合。此光譜顯示石夕-氧吸收頻帶在約 1000-1100cm1、石夕-曱基吸收峰值(absorptionpeak)在約1268 cm·1及碳-氫吸收峰值在約2900-3000 cm·1。超低k薄膜之傅利 葉轉換紅外線(FTIR)光譜的一個特性,係矽_氧峰值可被解 迴旋為在1141cm1、1064 cm-1及1030cm-1的三個峰值,尤如 圖二之示意圖所示。 【範例二】 在此範例中,在與範例一相同的設備中製備一晶圓。首 先,將晶圓置入反應斋中。晶圓溫度被設定在約180°C。二乙 氧基曱基矽烷0DEMS)前驅物被送入反應器中。要沉積本發明 之超低k薄膜,首先建立二乙氧基曱基矽烷(DEMS)與丁二稀 氧化物(butadienemonoxide ’ BM0)的氣體流至必要的流量與壓 力’亦即,二乙氧基甲基矽烷(DEMS)約lsccm與丁二烯氧化 物(BMO)约4sccm ’以及約500mtorr的壓力。接著打開一反p 能源至約30W,歷時一時間週期約50分鐘。然後關閉rf能 源與氣體流。接著自反應器10將晶圓移出。
4IBM/05035TW -40- 1374472 接著以範例一所述相同的方法處理薄膜。得到的薄膜具介 電常數1.77。 【範例三】 在此範例中,在與範例一相同的設備中製備一晶圓。首 先,將晶圓置入反應器中。晶圓溫度被設定在約180°C。二乙 氧基曱基矽烷(DEMS)前驅物被送入反應器中。要沉積本發明 之超低k薄膜,首先建立二乙氧基曱基矽烷(DEMS)與2-甲基 -2-乙稀環氧乙烧(2-methyl-2-vinyloxirane,MVOX)的氣體流至 想要的流量與壓力,亦即,二乙氧基曱基矽烷(DEMS)約2sccm 與2-曱基-2-乙烯環氧乙烷(MVOX)約3sccm,以及約500mtorr 的壓力。接著打開一 RF能源至約30W,歷時一時間週期約 50分鐘。·然後關閉RF能源與氣體流。接著自反應器10將晶 圓移出。 接著以範例一所述相同的方法處理薄膜。 得到的薄膜具介電常數2.08。 【範例四]
4IBM/05035TW •41 - 1374472 在此範別中’在一 8英吋商用電漿加強化學氣相沉積 (PECVD)設備中製備一晶圓。首先,將晶圓置入反應腔體 中。晶圓溫度被設定在約200°C。用氦作載子氣體,二乙氧基 甲基石夕烧(DEMS)與壤戊烯氧化物(CyCi〇pentene oxide,CPO) 同時被送入反應器中。要沉積本發明之超低k薄膜,首先建立 二乙氧基曱基矽烷(DEMS)、環戊烯氧化物(CPO)及氣的氣體流 至想要的流量與壓力,亦即,二乙氧基曱基矽烷(DEMS)約 70sccm、環戊烯乳化物(CPO)約320sccm及氧約300sccm,以 及約2000mtorr的壓力。接著打開一 Rjp能源至約3〇〇w,歷 時一時間週期約10分鐘。然後關閉RP能源與氣體流。接著 自反應腔體將晶圓移出。 接著以範例一所述相同的方法處理薄膜。得到的薄膜具介 電常數2.19。 現在參照圖三討論結果。圖三所示係得自一超低k薄膜之 傅利葉轉換紅外線(FTIR)光譜’此薄膜係製備自二乙氧基 曱基矽烷(DEMS)/環戊烯氧化物(CPO)及氦。此光譜顯示石夕_氧 吸收頻帶在約lOOO-llOOcm·1、石夕-曱基吸收峰值在約1267 cm-1 及石炭-氫吸收夺值在約2900-3000 cmf1。超低k薄膜之傅利葉轉 4BM/05035TW . -42- 1374472 換紅外線(FTIR)光譜的一個特性,係石夕_氧峰值可被解迴旋 為在1132cm1、1058 cm-1及l〇24cm_1的三個峰值,如圖三之 特別示意圖所示。 為穩定超低k薄膜,也可用一快速熱退火(rapid thermal annealing,RTA)製程。根據本發明得到的薄膜,具介電常數 • k小於約2.5的特性’且能熱穩定地整合在一後段製程(]8£〇二) 内連線結構_,其一般製程在至多約40(rc的溫度。因此,採 用本發明之教示可容易地生產邏輯與記憶裝置之後段製程中 用作層内與層間介電質之薄膜。 因此,根據本發明之方法與形成的電子結構,在以上所述 與圖四至圖八附加圖面中已完整示範。須強調圖四至圖八所示 • 電子結構之範例,僅用來例示本發明的方法,其亦可應用於無 . 數電子裝置的製造。 熟此技藝者已習知,使用液態質量流控制時,列在上述四 個製程範例中之氣體流單位’可代換為液態流單位。 由於已用例示方式描述本發明,故應瞭解專業術語
4IBM/05035TW -43- 1374472 (她ΰ她gy)^_'在較字綱林質,g在於限制。 再者’本發明之較佳實施例與數個不同的實施例已特別地 顯不與說静上,熟此技藝者可了解,在不轉本發明之精神 一、範舜下,可應用這些教示於本發明之其他可的變體中。 依本發明之實施例所主張之專屬權利在以下所附申請專 利範圍中。
4IBM/05035TW 44· 1374472 【圖式簡單說明】 本發明之前述目的、躲與優勢藉由詳細說明與_圖式可产 楚暸解,其尹: /n 圖一顯示可祕本發明之—平行平板式化學氣相沉積反應器 之剖面圖。 〜° 圖二顯示本發明之—超低k㈣的傅稱娜紅外線(Fourier Tmnsfbrm lnfmed ’ FTIR)光譜’其纽積自二乙氧基甲基石夕 烧(DEMS)與雙環庚二烯(BCHD)的混合。 圖三顯示本發明之另—超低k材料之傅利葉轉換紅外線 (FTIR)光譜’其係沉積自二乙氧基甲基矽烷_卿與環戊 烯氧化物(cpo)的混合。 ο 圖四係依齡發明,_—電抒置找糾_,其具超低 k材料之一層内介電層與一層間介電層。 L係依據本發明’顯示當圖四電子結構具一額外的擴散阻障 ”電帽蓋層,位於超低k材料薄膜頂上時之放大剖面圖。
4IBM/05035TW •45- :六係依據壤五電子輪的反應式離子 研贿止介㈣㉞齡賴錢散阻障, 於研磨停止層頂上時之放大剖面圖。 圖七係依據本發明,顯示圖“ 電子、、、。構具數個額外的反應式離 子侧(RIE)硬罩/研磨停 膜頂上 ;丨冤層,位在層間超低k材料薄 圖八係依據本㈣’ _圖七電子結構具數侧賴反應式離 •^刻(RIE)硬單/研磨停止介電層沉積,位在多相 (multiphase)材料薄膜頂上。
4IBM/05035TW -46 - 1374472
圖式元件符號說明 10反應器 14縱向閥 18排出埠 22基板 32矽基板 36第一金屬區 40第一導體層 44超低k薄膜第二層 50第二導體區 60電子裝置 70電子裝置 72第一介電帽蓋層 80電子裝置 84層内介電層 92内連線 94内連線 96擴散阻障層 12基板卡盤 16氣體分配板 20 RF能源 30電子裝置 34第一絕緣材料層 38超低k薄膜 62介電帽蓋 74第二介電帽蓋層 82介電層 86層間介電層
4IBM/05035TW -47-

Claims (1)

1374472 ^^^9^13670 适綠适令換頁 1補宅I 十、申請專利範圍 1. 一種製造一超低介電常數薄膜的方法,包含下列各步驟: 流入一具一線性分子式SiRR’R”R”’之第一前驅氣體至一 電漿加強化學氣相沉積(PECVD)反應器的一腔體中,其中R、 R’、R”及R”’可相同或不同,且係選自氫、烷基及烷氧基; 流入具以下各分子式之一的一第二前驅氣體至該腔體中:
稀烴(alkenes): R1、 R2^ R3 炔烴(alkynes) : r1 . . — R2 ; R1 R4 驗(ethers) : R2-^—〇一^R5 ;及 〆 V 汉
環氧乙烧類(oxiranes):
其中’ R1、R2、R3、R4、RiR6可相同或不同,且係選自線 性的、分枝的、環狀的、多環的氫、烷基、烯(alkenyl)或烯基 (alkenyl group) ’且可含有氧、氮或氟的各取代基官能化;以 及 由該等前驅氣體沉積一超低k薄膜於一基板上, -48· 1374472 索號:94113670 1〇〇年3月丨8日修正-替換頁 其中該超低k薄膜具一介電常數不大於約2 5。 2. 如請求項1所述之方法,其中該超低-多相 _ ’其在絲板上包含主要_、碳、氧及 氫構成之-第-相’以及至少―第二相,餘要由碳、氮及多 樣性奈米級孔洞構成。 3. 如請求項!所述之方法,更包含將—惰性氣體與該等前驅 氣體中之一個或二個混合。 4·如請求項1所述之方法,更包含在沉積後於不低於約· c的溫度下,加熱該超低k薄膜,歷時至少約〇25小時。 5. 如請求項1所述之方法,更包含在沉積後,以一能源處理 該超低k薄膜,以穩定該超低k薄膜,並改善其特性,該能源 包含一熱源、一化學源、一紫外(uv)光源、一電子束(e_beam) 源、一微波(microwave)源或一電漿源其中之一。 6. 如請求項1所述之方法,其中該超低k薄膜具約i 5至約 2.5之介電常數。 -49- 1374472 案號:94113670 100年3月丨8曰修正-替換頁 ·. 7.如請求項1所述之方法,其中該超低k薄膜包含約5至約 .· 40原子百分比的矽;約5至約45原子百分比的碳;約〇至約 5〇原子百分比的氧;以及約1〇至約55原子百分比的氫。 8·如請求項1所述之方法,其中該電漿加強化學氣相沉積 Φ (PECVD)反應器’其一基板卡盤(chuck)具有約300cm2 至約800 cm2之一區域,且在該基板與一上電極間具約丨^^至 約10cm的一間隙(gap)。 9·如請求項!所述之方法,更包含一步驟,係施加一虾電 力至該電聚加強化學氣相沉積(PECVD)反應器之一電極。 • 1〇.如請求項1所述之方法,更包含一步驟,係在不低於約 • 3紙的溫度下’用紫外歧電子束處職超低k薄膜,歷時 長達30分鐘。 U.如請求項1所述之方法,更包含一步驟,係組合熱處理與 紫外光或電子束處理該超低k薄膜。 •5〇- 1374472 案號·· 94113670 100年3月丨8日修正-替換頁 12. 如請求項1所述之方法,其中該第一前驅氣體係二乙氧基 — *s?^(diethoxymethylsilane,DEMS)。 13. 如請求項1所述之方法,其中該第二前驅包含雙環庚二烯 (bicycloheptadiene,BCHD)、環戊烯氧化物(cyclopentene oxide,CP0)、環氧乙炫(ethylene oxide)、環氧丙烧(propylene oxide)、環氧異丁烷(is〇butylene oxide)、2,2,3-三曱基環氧乙烷 (2,2,3-trimethyloxirane)、丁二烯氧化物(butadienemonoxide)、 1,2-環氧-5_己烯(l,2-epoxy-5-hexene)、2-曱基-2·乙烯環氧乙烷 (2-methyl-2-vinyloxirane)、甲基第三 丁基醚 (tertbutylmethylether) 、 1-異丙-環丁 -1,3-二烯 (1 -isopropyl-cyclohexa-1,3-diene)或其混合。 14. 如請求項1所述之方法,其中沉積超低k薄膜的該步驟, 更包含下列各步驟:設定該基板之溫度為約25。(:至約4〇〇。(:; 以及設定RF電力密度為約〇.〇5W/cm2至約3.5W/cm2。 15·如請求項1所述之方法,其中沉積超低k薄膜的該步驟, 更包含設定該第一前驅氣體之流量為約5sccm至約 lOOOsccm,或使用液態輸送(叫砲delivery)約30至約6〇〇〇 -51- 1374472 案號·· 941丨3670 100年3月丨8曰修正-替換頁 毫克/分鐘。 16.如請求項16所述之方法’其中該第一前驅氣體的該流量 為約25sccm至約200sccm,或使用液態輸送為約150至約1200 宅克/分鐘。 17. 如請求項1所述之方法,其中沉積該超低k薄膜的該步 驟,更包含設定該第二前驅氣體之流量為約5sccm至約 lOOOsccm ’或使用液態輸送為約3〇至約6000毫克/分鐘。 18. 如請求項18所述之方法,其中該第二前驅氣體的該流量 為約25sccm至約200sccm,或使用液態輸送為約150至約丨2〇〇 毫克/分鐘。 19·如請求項1所述之方法,其中沉積該超低k薄膜的該步 驟,更包含設定該電漿加強化學氣相沉積(PECVD)反應器 之壓力為約50mtorr至約10〇〇〇mt〇rr。 20.如請求項20所述之方法,其中該電漿加強化學氣相沉積 (PECVD )反應器的該壓力為約丨〇〇mt〇订至約5〇〇〇mt〇rr。 -52· 1374472 案號:94113670 1〇1年06月28日修正-替換頁 21.如印求項丨所述之方法 ^ ^ 儿積該超低k薄膜的該步 驟’更包含蚊雙環庚二歸(g _顧,_==:氧基 22·如請求項21所述之古 (biCyd〇heptadiene)對該_ >,”中該雙環庚二稀 ^ u 〜乙氧基甲基矽烷 _h〇xymethyls㈣的流量比為約 23U項1所述之方法’其巾該電襞加強化學氣相沉積 (PECVD)反應器係在一連續握 疋、、貝模式(continuous mode)下操 24. 如請求項丨所述之方法,其中該電滎加強化學氣相沉積 (PECVD)反應器係在一脈衝模式(—we)下操作。 25. -種製造-熱穩疋超低k薄膜的方法,包含下列各步驟: 提供一電漿加強化學氣相沉積(PECVD)反應器; 置入一預處理(pre-processed)晶圓於一基板卡盤上,該 基板卡盤具約300 cm2至約800 cm2的一區域,且在該晶圓與 -53- 案號:94113670 100年3月丨8曰修正—替換頁 上電極間維持約lcm至約l〇cm的一間隙; 流入含矽燒衍生物(silane derivative )分子之一線性第一 前驅氣體至該電漿加強化學氣相沉積(PECVD)反應器中; 流入至少一第二前驅氣體至該電漿加強化學氣相沉積 (PECVD)反應器中,該第二前驅氣體包含/化合物係具以 下各分子式之一 .·
R1 —=—R2 ; 驗(ethers):
缚烴(alkenes): 块烴(alkynes):
環氧乙院類(oxiranes) 其中,R1、R2、R3、R4、R5及R6可為相同或不同,且係選自 線性的、分枝的、環狀的、多環的氫、烷基、烯(alkenyl)或烯 基(alkenyl group),且可被含有氧、氮或氟的各取代基官能化; 以及 沉積一超低k薄膜於該晶圓上, 案號:94113670 100年3月18曰修正·替換頁 八中5亥超低k細具—介電常數不大於約2.5。 26.種製造-熱穩定超低k薄膜的方法,包含下列各步驟: 提供一電漿加強化學氣相沉積(pECVD)反應器; 置入一晶圓於一基板卡盤上,該基板卡盤具約3〇〇cm2至 約8〇〇Cm2的一區域,且在該晶圓與一上電極間維持約lcm至 約10cm的一間隙; 當該晶圓上維持約25°C至約40(TC的溫度時,將一線性矽 烧衍生物之第一前驅氣體(流量為約5sccm至約1 〇〇〇sccm),以 及一第二前驅氣體(流量為約5sccm至約lOOOsccm)流入該反 應器’同時在該反應器中維持約5〇mt〇rr至約8000mt〇n•的一 壓力’該第二前驅氣體包含一化合物係具以下各分子式之一: 烯烴(alkenes) : R\ Λ3 ; r2>=V 快烴(alkynes) : R1—~^—r2 ; R1 R4 醚(ethers) : r2^V-o--^-r5 ;及 R3 R6 環氧乙烧類(oxiranes) : Ri''^A^R3 ; -55- 1374472 案號:94113670 100年3月18日修正-替換頁 其中’R、R2、R3、R、R5及R6可為相同或不同,且係選自 線性的、分枝的、環狀的、多環的4、錄、烯(alkenyl)或稀 基(alkenyl group),且可被含有氧、氮或氟的各取代基官能化; 以及; 沉積一超低k薄膜於該晶圓上,在一妳電力密度約 0.05W/cm2 至約 3.0W/cm2 ;以及 處理該超低k薄膜,以改善該薄膜的安定性(stabmty), 該處理包含一能源係選自熱源、化學源、紫外光(UVUght)、 電子束、微波、電漿及其組合, 其中該超低k薄膜具一介電常數不大於約2 5。 27.如请求項26所述之方法’其中該處理包含在不低於約3〇〇 °C的溫度下退火,歷時至少約〇.25小時。 -56-
TW094113670A 2004-05-03 2005-04-28 An improved method for fabricating an ultralow dielectric comstant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made TWI374472B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/838,849 US7049247B2 (en) 2004-05-03 2004-05-03 Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Publications (2)

Publication Number Publication Date
TW200603223A TW200603223A (en) 2006-01-16
TWI374472B true TWI374472B (en) 2012-10-11

Family

ID=34963531

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100109312A TWI353637B (en) 2004-05-03 2005-04-28 An ultralow dielectric constant material as an int
TW094113670A TWI374472B (en) 2004-05-03 2005-04-28 An improved method for fabricating an ultralow dielectric comstant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100109312A TWI353637B (en) 2004-05-03 2005-04-28 An ultralow dielectric constant material as an int

Country Status (7)

Country Link
US (2) US7049247B2 (zh)
EP (1) EP1745504A1 (zh)
JP (1) JP4756036B2 (zh)
KR (1) KR100956580B1 (zh)
CN (1) CN100524648C (zh)
TW (2) TWI353637B (zh)
WO (1) WO2005112095A1 (zh)

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7256124B2 (en) * 2005-03-30 2007-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
JP2007214403A (ja) * 2006-02-10 2007-08-23 Renesas Technology Corp 半導体装置の製造方法
FR2904728B1 (fr) 2006-08-01 2008-11-21 Air Liquide Nouveaux precurseurs porogenes et couches dielectriques poreuses obtenues a partir de ceux-ci
US8053375B1 (en) * 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
US7638443B2 (en) * 2006-11-14 2009-12-29 Asm Japan K.K. Method of forming ultra-thin SiN film by plasma CVD
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
JP5118337B2 (ja) * 2006-11-30 2013-01-16 アペックス株式会社 エキシマ真空紫外光照射処理装置
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
EP2208058B1 (en) * 2007-10-05 2018-07-11 3M Innovative Properties Company Organic chemical sensor comprising plasma-deposited microporous layer, and method of making and using
US8258629B2 (en) 2008-04-02 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Curing low-k dielectrics for improving mechanical strength
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
JP2010192520A (ja) 2009-02-16 2010-09-02 Elpida Memory Inc 半導体装置の製造方法
JP5705751B2 (ja) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8314005B2 (en) 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
JP2013520030A (ja) 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード SiCOHLOW−K膜の蒸着方法
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
JP2012201658A (ja) * 2011-03-28 2012-10-22 Tosoh Corp 成膜材料、それを用いた膜の製造方法及びその用途
CN102751233B (zh) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 互连结构形成方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104209254B (zh) * 2014-08-15 2016-05-11 上海华力微电子有限公司 用于多孔低介电常数材料的紫外光固化工艺方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
CN107523808B (zh) * 2017-08-23 2019-05-10 江苏菲沃泰纳米科技有限公司 一种有机硅纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10020254B1 (en) 2017-10-09 2018-07-10 International Business Machines Corporation Integration of super via structure in BEOL
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN110255999B (zh) * 2019-06-10 2021-02-12 北京科技大学 一种氮氧双掺杂多孔空心碗形碳材料及其制备方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113390305B (zh) * 2021-08-16 2021-10-29 北京航天天美科技有限公司 疏水憎冰涂层及具有该涂层的弹翼结构
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
WO2002011204A1 (en) * 2000-08-02 2002-02-07 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
KR100586133B1 (ko) * 2000-10-25 2006-06-07 인터내셔널 비지네스 머신즈 코포레이션 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
WO2003088344A1 (en) 2002-04-10 2003-10-23 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US20030211244A1 (en) 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made

Also Published As

Publication number Publication date
CN1950932A (zh) 2007-04-18
TWI353637B (en) 2011-12-01
JP4756036B2 (ja) 2011-08-24
WO2005112095A1 (en) 2005-11-24
US7312524B2 (en) 2007-12-25
US7049247B2 (en) 2006-05-23
CN100524648C (zh) 2009-08-05
JP2007536733A (ja) 2007-12-13
TW200603223A (en) 2006-01-16
TW201130048A (en) 2011-09-01
US20050245096A1 (en) 2005-11-03
US20060110937A1 (en) 2006-05-25
KR100956580B1 (ko) 2010-05-10
KR20070004966A (ko) 2007-01-09
EP1745504A1 (en) 2007-01-24

Similar Documents

Publication Publication Date Title
TWI374472B (en) An improved method for fabricating an ultralow dielectric comstant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7288292B2 (en) Ultra low k (ULK) SiCOH film and method
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
TW506055B (en) Method of making low-k carbon doped silicon oxide
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
TWI324381B (en) Low k and ultra low k sicoh dielectric films and methods to form the same
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
TWI490363B (zh) 絕緣膜材料、使用該絕緣膜材料的成膜方法及絕緣膜
JP3882914B2 (ja) 多相低誘電率材料およびその堆積方法
TW563202B (en) An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same
US20160024267A1 (en) Porous film with high hardness and a low dielectric constant and preparation method thereof
JP2008263022A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
JP2007318070A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees