KR100615410B1 - 저 유전 상수 다상 물질 및 그 증착 방법 - Google Patents

저 유전 상수 다상 물질 및 그 증착 방법 Download PDF

Info

Publication number
KR100615410B1
KR100615410B1 KR1020037001345A KR20037001345A KR100615410B1 KR 100615410 B1 KR100615410 B1 KR 100615410B1 KR 1020037001345 A KR1020037001345 A KR 1020037001345A KR 20037001345 A KR20037001345 A KR 20037001345A KR 100615410 B1 KR100615410 B1 KR 100615410B1
Authority
KR
South Korea
Prior art keywords
dielectric
layer
insulating material
phase
polyphase
Prior art date
Application number
KR1020037001345A
Other languages
English (en)
Other versions
KR20040012661A (ko
Inventor
그릴알프레드
파텔비쉬누바이브이
게이츠스테펀엠
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20040012661A publication Critical patent/KR20040012661A/ko
Application granted granted Critical
Publication of KR100615410B1 publication Critical patent/KR100615410B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명에서는, IC 칩에서 상호 접속 유전체로서 사용될 수 있는 저 유전 상수의 다상 물질이 개시되어 있다. 또한, 플라즈마 화학적 기상 증착 기법을 이용하여 저 유전 상수 다상막을 제조하는 방법도 개시되어 있다. 본 발명의 방법에 의해 제조된 저 유전 상수 다상 물질의 절연층을 포함하는 전자 장치도 또한 개시되어 있다.

Description

저 유전 상수 다상 물질 및 그 증착 방법{MULTIPHASE LOW DIELECTRIC CONSTANT MATERIAL AND METHOD OF DEPOSITION}
본 발명은 일반적으로 저 유전 상수(즉, 작은 k)(low k)를 갖는 다상 물질(multiphase material), 이러한 물질의 막을 제조하는 방법, 및 이러한 막을 포함하는 전자 장치에 관한 것이다. 보다 구체적으로는, 본 발명은 ULSI BEOL(back-end-of-the-line; 반도체 후공정) 배선 구조에서의 층내 또는 층간 유전막(intralevel or interlevel dielectric film), 캡 물질(cap material) 또는 하드 마스크/연마 정지층(hard mask/polish stop)으로 사용하기 위한 저 유전 상수 다상 물질, 그 막들을 포함하는 전자 구조, 및 이러한 막과 구조의 제조 방법에 관한 것이다.
최근 몇 년 사이 ULSI 회로에 이용되는 전자 장치의 크기가 계속적으로 축소되어 온 결과, 층내 및 층간 커패시턴스(capacitance of the intralayer and interlayer)의 증가는 물론 BEOL 금속 배선의 저항도 증가하고 있다. 이러한 효과의 합성이 ULSI 전자 장치에서의 신호 지연을 증가시킨다. 장래의 ULSI 회로의 스위칭 성능을 향상시키기 위해서는, 저 유전 상수 (작은 k)의 절연체 및 특히 산화 실리콘의 유전 상수보다 상당히 작은 k를 갖는 절연체가 이들 커패시턴스를 감소시 키는 데 필요하다. 작은 k값을 갖는 유전 물질들이 시판되고 있으며, 예를 들어 이러한 물질들 중 하나로는 k값이 2.0인 폴리테트라플루오로에틸렌 (polytetrafluoroethylene; PTFE)이 있다. 그렇지만, 이들 유전 물질은 300∼350℃를 넘는 온도에 노출되면 열적으로 안정하지 못하며, 이에 따라 적어도 400℃의 열적 안정성을 요구하는 ULSI 칩에 이들 유전체를 집적시키는 중에 이들 유전 물질은 쓸모없게 되어버린다.
ULSI 장치에 적용하기 위해 고려해보았던 저 유전 상수 물질로는 메틸실록산(methylsiloxane), 메틸세스키옥산(methylsesquioxanes)과 같은 Si, C, O 함유 폴리머, 그리고 기타 유기 및 무기 폴리머가 있다. 예를 들어, N. Hacker 등의 논문 "Properties of new low dielectric constant spin-on silicon oxide based dielectrics"(Mat. Res. Soc. Symp. Proc., vol. 476 (1997) p25에 발표)에 기재된 물질들은 열적 안정성 요건을 만족시키는 것처럼 보이지만, 이들 물질 중 일부는 막이 스핀-온 기법(spin-on technique)에 의해 제조되는 경우 상호 접속 구조(interconnect structure)에 집적시키는 데 필요한 두께에 이르면 균열이 쉽게 진행된다. 게다가, 전구 물질들(precursor material)은 고가여서 대량 생산에 사용하기에는 엄두도 못낼 지경이다. 이에 대해, VLSI 및 ULSI 칩의 제조 단계들 대부분은 플라즈마 화학적 기상 증착법(plasma enhanced chemical vapor deposition; PECVD) 또는 플라즈마 물리적 기상 증착법(plasma enhanced physical vapor deposition; PEPVD)에 의해 행해진다. 즉시 이용가능한 처리 장비를 사용하여 PECVD법에 의해 저 유전 상수 물질을 제조할 수 있게 되면, 그에 따라 제조 공정에 서의 그 물질의 집적이 간단하게 되며 제조 단가가 저하되고 또 유해 폐기물을 덜 발생시킬 것이다. 동시 계류 중인 것으로서 본 발명의 공동 양수인에게 양도된 미국 특허 출원(제09/107,567호)은 Si, C, O 및 H 원자로 이루어져 있고 3.6 이하의 유전 상수를 가지며 아주 낮은 균열 진행 속도(crack propagation velocity)를 나타내는 저 유전 상수 물질에 대해 기술하고 있으며, 이 출원은 여기에 인용함으로써 그 전체 내용이 본 명세서에 포함된다. 이러한 물질의 유전 상수를 추가로 감소시키게 되면, 이러한 유전체를 포함하는 전자 장치의 성능이 더욱 개선될 것이다.
따라서 본 발명의 한가지 목적은 2개 이상의 상(phase)으로 이루어지고 또 3.2 이하의 유전 상수를 갖는 저 유전 상수 물질을 제공하는 데 있다.
본 발명의 또한가지 목적은 본 발명의 다상 물질을 제조하는 방법을 제공하는 데 있다.
본 발명의 다른 또한가지 목적은, 제1 상은 수소화되고 산화된 탄화 실리콘막(hydrogenated oxidized silicon carbon film)이고(Si, C, O 및 H를 함유하고 따라서 SiCOH 라고 칭함), 적어도 하나의 제2 상은 C 및 H 원자를 기본적으로 포함하는 것인 다상 물질을 제조하는 방법을 제공하는 데 있다.
본 발명의 다른 또한가지 목적은 나노미터 크기의 기공들(nanometer-sized voids)을 함유하는 다상 물질을 제조하는 데 있다.
본 발명의 또다른 한가지 목적은 단상(single phase) SiCOH 유전 물질의 유전 상수보다 적어도 10% 정도 더 낮은 유전 상수를 갖는 다상 물질을 제조하는 데 있다.
본 발명의 또다른 한가지 목적은 2개 이상의 서로 다른 전구 분자(precursor molecule)를 함유하는 전구 혼합물(precursor mixture)로부터 열적 안정성이 있는 저 유전 상수 다상막을 제조하는 방법을 제공하는 데 있다.
본 발명의 또다른 한가지 목적은 평행 평판형 플라즈마 화학적 기상 증착 챔버(parallel plate plasma enhanced chemical vapor deposition chamber)에서 2개 이상의 상을 포함하는 저 유전 상수 물질을 제조하는 방법을 제공하는 데 있다.
본 발명의 또다른 한가지 목적은 원격 플라즈마 화학적 기상 증착 공정(remote plasma chemical vapor deposition process)을 사용하여 2개 이상의 상을 포함하는 저 유전 상수 물질을 제조하는 방법을 제공하는 데 있다.
본 발명의 또다른 한가지 목적은 BEOL 상호 접속 구조에서의 층내 또는 층간 유전체(intralevel or interlevel dielectric)로서 전자 구조(electronic structure)에 사용하기 위한 다상 물질을 제조하는 방법을 제공하는 데 있다.
본 발명의 또다른 한가지 목적은 작은 내부 응력(low internal stress)과 3.2 이하의 유전 상수를 갖는 다상 물질을 제공하는 데 있다.
본 발명의 또다른 한가지 목적은 BEOL 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 포함하는 전자 구조로서, 이 절연 물질층들 중 적어도 하나가 다상 물질인 전자 구조를 제공하는 데 있다.
본 발명의 또다른 한가지 목적은 반응성 이온 에칭 마스크, 연마 정지층 또는 확산 장벽으로서 사용하기 위해 각기 다른 물질로 형성된 적어도 하나의 유전체 캡층을 포함하는 BEOL 배선 구조에서의 층내 또는 층간 유전체로서 다상 물질층들을 구비하는 전자 구조를 제공하는 데 있다.
본 발명에 따르면, 2개 이상의 상을 가지며 제1 상은 SiCOH 물질로 형성되는 것인 신규의 유전 물질이 제공된다. 본 발명은 또한 플라즈마 화학적 기상 증착 챔버에서 Si, C, O 및 H 원자들을 함유하는 제1 전구 가스와 C, H 원자들을 주로 함유하고 F, N 및 O 원자들을 선택적으로 함유하는 적어도 하나의 제2 전구 가스를 반응시켜 다상 물질을 제조하는 방법을 제공한다. 본 발명은 BEOL 배선 구조에서 사용되는 층내 또는 층간 유전체로서 절연 물질층들을 가지며 그 절연 물질이 다상막일 수 있는 것인 전자 구조를 제공한다.
양호한 실시예에서, 2상막(dual phase film)을 제조하는 방법이 기재되어 있다. 2상막에서, 제1 상은 수소화되고 산화된 탄화 실리콘으로 형성되고, 제2 상은 주로 C 및 H 원자로 형성되어 있다. 이 방법은 먼저 플라즈마 화학적 기상 증착 챔버를 제공하는 단계, 챔버 내에 전자 구조를 위치시키는 단계, Si, C, O, 및 H 원자를 함유하는 제1 전구 가스를 챔버 내로 유입시키는 단계, C 및 H 원자를 함유하고 선택에 따라 F, N 및 O 원자를 함유하는 제2 전구 혼합 가스를 챔버 내로 유입시키는 단계, 및 2상막을 기판 상에 증착하는 단계의 동작 단계들에 의해 수행될 수 있다. 선택에 따라서는, 증착된 막을 300℃ 이상의 온도에서 적어도 0.25 시간의 기간 동안 열처리할 수 있다. 이 방법은 기판 척(substrate chuck)의 도전성 영역이 약 300 ㎠ 내지 약 700 ㎠ 이고 기판과 상부 전극 사이의 간극이 약 1 cm 내지 약 10 cm인 평행 평판형 반응기를 제공하는 단계를 더 포함할 수 있다. RF 전력이 이 전극들 중 적어도 하나에 인가된다. 기판은 전원 투입 전극 상에 또는 접지된 전극 상에 위치시킬 수 있다.
이용되는 제1 전구 물질은 Si, C, O 및 H 원자 중 적어도 일부를 함유하는 분자들로부터 선택될 수 있다. O2 또는 N2O와 같은 산화성 분자들(oxidizing molecules)이 제1 전구 물질에 부가될 수 있다. 양호하게는 제1 전구 물질은 1,3,5,7-테트라메틸시클로테트라실록산(TMCTS, 즉 C4H16O4Si4), 테트라에틸시클로테트라실록산(C8H24O4Si4), 데카메틸시클로펜타실록산(C10 H3005Si5), O2 또는 N2O 와 같은 산화제와 혼합된 메틸실란의 분자들, 그리고 Si, O 및 C를 포함한 전구 혼합물과 같은 고리 구조를 갖는 분자들로부터 선택된다. 전구 물질은 반응기에 직접 가스로서 공급하거나, 반응기 내에서 직접 기화되는 액체로서 공급하거나, 또는 헬륨이나 아르곤과 같은 불활성 운반 가스에 실어 보낼 수 있다. 전구 혼합물은 질소, 불소 또는 게르마늄과 같은 원소들을 더 포함할 수 있다.
이용되는 제2 전구 혼합 가스는 C 및 H 원자를 함유하는 분자들로부터 선택될 수 있다. 선택에 따라서는, 그 분자들에 O, N 또는 F 원자가 함유되거나 또는 이러한 원자들을 함유하는 분자들이 전구 혼합물에 부가될 수 있다. 일 실시예에서, 제2 전구 물질은 고리형 탄화수소(cyclic hydrocarbon), 고리형 알코올, 고리형 에테르, 고리형 알데히드, 고리형 케톤, 고리형 에스테르, 페놀, 고리(cycle)('바이시클로 [2.2.1] 헵타-2,5-디엔'이라고도 함)(bicyclo [2.2.1] hepta-2,5- diene), 노르보르닐렌 2,5-노르보르나디엔(norbornylene 2,5-norbornadiene)('바이시클로 [2.2.1] 헵타-2,5-디엔'이라고도 함), 노르보르난(norbornane)('바이시클로 [2.2.1] 헵탄'이라고도 함)(bicyclo [2.2.1] heptane)과 같은 C 및 H 원자를 함유하는 고리 구조를 갖는 분자들을 포함하는 그룹으로부터 선택된다. 다른 일례로서는 트리시클로 [3.2.1.0] 옥탄(tricyclo [3.2.1.0] octane), 트리시클로 [3.2.2.0] 노난(tricyclo [3.2.2.0] nonane), 그리고 스피로 [3.4] 옥탄(spiro [3.4] octane), 스피로 [4.5] 노난(spiro [4.5] nonane), 스피로 [5.6] 데칸(spiro [5.6] decane) 등과 같은 연결 고리 탄화수소(connected ring hydrocarbon)가 있다. 그 대신에, 5개 내지 12개의 탄소 원자를 함유하는 고리형 탄화수소(시클로펜탄, 시클로헥산 등) 및 6개 내지 12개의 탄소 원자를 함유하는 고리형 방향족 탄화수소(벤젠, 톨루엔, 크실렌 등)도 사용될 수 있다. 선택에 따라서는, O 또는 F 원자를 분자에 함유시키거나 이러한 원자를 함유하는 분자들을 전구 혼합물에 부가시킬 수도 있다.
또하나의 실시예에서, 수소화되고 산화된 탄화 실리콘과, C 및 H 원자를 기본적으로 포함하는 제2 상으로 이루어진 2상막을 제조하는 방법은 먼저 평행 평판형 증착 챔버를 제공하는 단계, 챔버 내에 전자 구조를 위치시키는 단계, 원격 플라즈마 소스(remote plasma source)를 제공하는 단계, Si, C, O 및 H 원자를 함유하는 제1 전구 가스를 플라즈마 소스 챔버 내로 유입시키고 또 그곳으로부터 증착 챔버 내로 유입시키는 단계, C 및 H 원자를 함유하고 선택에 따라 O 원자를 함유하는 제2 혼합 가스를 직접 챔버 내로 유입시키는 단계, 및 다상막을 기판 상에 증착 하는 단계의 동작 단계들에 의해 수행될 수 있다.
또다른 하나의 실시예에서는, 다상막에 대해 기술하고 있다. 다상막은 2상막에 대해 전술한 것과 동일한 절차에 의해 제조되지만, 제2 전구 혼합 가스는 적어도 2가지 종류의 분자 내에 C 및 H 원자를 함유하고 선택에 따라 F, N 및 O 원자를 함유한다. 일례에서, 이 혼합물은 전술한 것과 같은 고리형 분자들 중 적어도 하나와, 알칸(alkanes), 알켄(alkenes), 알킨(alkynes), 에테르, 알코올, 에스테르, 케톤, 알데히드, 아민, 또는 다른 O, N 또는 F 함유 비고리형 탄화수소의 그룹으로부터 선택된 비고리형 분자들(noncyclic type molecules) 중 적어도 하나를 포함한다.
본 발명의 다상 물질의 증착은 기판 온도를 약 25℃ 내지 약 400℃로 설정하는 단계, RF 전력 밀도를 약 0.02 W/㎠ 내지 약 5.0 W/㎠ 로 설정하는 단계, 제1 전구 물질 유속을 약 5 sccm 내지 약 1000 sccm 으로 설정하는 단계, 제2 전구 물질의 제1 가스의 유속(flow rate)을 약 5 sccm 내지 약 1000 sccm 으로 설정하는 단계, 제2 전구 물질의 제2 가스의 유속을 약 5 sccm 내지 약 1000 sccm 으로 설정하는 단계, 챔버 압력을 약 50 m Torr 내지 약 10 Torr로 설정하는 단계, 및 기판 DC 바이어스를 약 0 VDC 내지 약 -400 VDC로 설정하는 단계의 단계들을 더 포함할 수 있다.
본 발명은 또한 BEOL 상호 접속 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조에 관한 것으로서, 이 전자 구조는, 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판(pre-processed semiconducting substrate), 다상 물질을 포함한 제2 절연 물질층 내에 매립되어 있는 제1 도체 영역, 및 제1 도체 영역과 전기 도통 상태에 있고 다상 물질을 포함하는 제3 절연 물질층 내에 매립되어 있는 제2 도체 영역을 포함하며, 제2 절연 물질층은 상기 제1 절연 물질층과 밀접하게 접촉해 있고, 제1 도체 영역은 제1 금속 영역과 전기 도통 상태에 있으며, 제3 절연 물질층은 제2 절연 물질층과 밀접하게 접촉해 있다.
이 전자 구조는 제2 절연 물질층과 제3 절연 물질층의 사이에 위치한 유전체 캡층을 더 포함할 수 있고, 또 제2 절연 물질층과 제3 절연 물질층 사이의 제1 유전체 캡층, 및 제3 절연 물질층 상부의 제2 유전체 캡층을 더 포함할 수 있다.
유전체 캡층은 산화 실리콘, 질화 실리콘, 산질화 실리콘(silicon oxinitride), Ta, Zr, Hf 또는 W의 내열 금속(refractory metal)을 갖는 내열 금속 질화 실리콘, 탄화 실리콘(silicon carbide), 탄화 산화 실리콘(silicon carbo-oxide), 이들의 수소화된 화합물로부터 선택될 수 있다. 제1 및 제2 유전체 캡층은동일한 유전 물질들의 그룹으로부터 선택될 수 있다. 제1 절연 물질층은 산화 실리콘, 질화 실리콘, PSG(phosphosilicate glass) 또는 BPSG(borophosphosilicate glass)와 같은 이들 물질의 도핑 변형물들(doped varieties)일 수 있다. 전자 구조는 제2 절연 물질층 및 제3 절연 물질층 중 적어도 하나 상에 증착된 유전 물질의 확산 장벽층을 더 포함할 수 있다. 전자 구조는 RIE 하드 마스크/연마 정지층으로서 사용하기 위한, 제2 절연 물질층의 상부의 유전체층, 및 유전체 RIE 하드 마스크/연마 정지층의 상부의 유전체 확산 장벽층을 더 포함할 수 있다. 전자 구 조는 제2 절연 물질층의 상부의 제1 유전체 RIE 하드 마스크/연마 정지층, 제1 유전체 연마 정지층의 상부의 제1 유전체 확산 장벽층, 제3 절연 물질층의 상부의 제2 유전체 RIE 하드 마스크/연마 정지층, 및 제2 유전체 연마 정지층의 상부의 제2 유전체 확산 장벽층을 더 포함할 수 있다. 전자 구조는 다상 물질의 층간 유전체와 다상 물질의 층내 유전체 사이에 전술한 바와 동일한 물질의 유전체 캡층을 더 포함할 수 있다.
본 발명의 이들 및 다른 목적, 특징 그리고 이점은 이하의 상세한 설명 및 첨부 도면들로부터 명백하게 될 것이다.
도 1은 본 발명의 평행 평판형 화학적 기상 증착 챔버의 단면도이다.
도 2A는 본 발명의 2상 물질(dual-phase material)의 확대 단면도이다.
도 2B는 본 발명의 2상 물질의 제1 상의 불규칙 공유 결합 구조(random covalent structure)의 개략 모식도이다.
도 3은 본 발명의 3상 물질(tri-phase material)의 확대 단면도이다.
도 4는 테트라메틸시클로테트라실록산(tetrametylcyclotetrasiloxane;TMCTS)과 He의 혼합물로 증착된 단상 SiCOH막으로부터 얻은 FTIR(Fourier Transform Infrared; 푸리에 변환 적외선) 스펙트럼을 나타낸 도면이다.
도 5는 TMCTS+He와 2,5-노르보르나디엔(norbornadiene){'바이시클로 [2.2.1] 헵타-2,5-디엔'(bicyclo [2.2.1] hepta-2,5-diene)으로도 알려져 있음}의 혼합물로 증착된 본 발명의 2상 물질로부터 얻은 FTIR 스펙트럼을 나타낸 도면이다.
도 6은 다상 물질로 형성된 층내 유전층 및 층간 유전층을 구비한 본 발명의 전자 장치의 확대 단면도이다.
도 7은 다상 물질막의 상부에 증착된 부가의 확산 장벽 유전체 캡층을 구비하는 도 6의 본 발명의 전자 구조의 확대 단면도이다.
도 8은 부가의 RIE 하드 마스크/연마 정지 유전체 캡층과 이 연마 정지층의 상부에 증착된 확산 장벽 유전체 캡층을 구비하는 도 7의 본 발명의 전자 구조의 확대 단면도이다.
도 9는 다상 물질막의 상부에 증착된 부가의 RIE 하드 마스크/연마 정지 유전체층을 구비하는 도 8의 본 발명의 전자 구조의 확대 단면도이다.
본 발명은 저 유전 상수를 갖는 신규의 다상 물질 및 그 물질의 막을 제조하는 방법을 개시하고 있다. 양호한 실시예에 개시되어 있는 물질은 적어도 2개의 상을 포함하며, 제1 상은 공유 결합 그물 구조로 Si, C, O 및 H를 포함하고 3.6 이하의 유전 상수를 갖는 수소화되고 산화된 탄화 실리콘 물질(SiCOH)의 "호스트" 매트릭스(host matrix)이다. 본 발명의 물질의 다른 상들은 주로 C 및 H 원자로 이루어져 있다. 다상 물질은 분자 크기의 기공(void), 즉 직경이 대략 0.5 내지 20 나노미터인 기공을 더 포함할 수 있다. 본 발명은 또한 평행 평판형 플라즈마 화학적 기상 증착 챔버에서 다상 물질을 제조하는 방법에 대해서도 개시하고 있다. Si, O, C 및 H와 선택에 따라서는 고리 구조를 갖는 분자들을 함유하는 제1 전구 가스와, 탄소 및 수소 원자를 포함한 한가지 이상의 종류의 분자들을 함유하는 제2 전구 가스 또는 혼합 가스가 다상막(multiphase film)을 형성하는 데 사용될 수 있다. 본 발명의 저 유전 상수 다상막은 또한 유전 상수를 감소시키기 위해 300℃ 이상의 온도에서 적어도 0.5 시간 동안 추가로 열처리될 수 있다.
이 열처리 단계 중에, 탄소 및 수소 원자를 기본적으로 함유하는 제2 전구 가스(또는 혼합 가스)로부터 유도된 분자 조각(molecular fragment)은 열분해되어 더 작은 분자들로 변환될 수 있고 이 분자들이 그 막으로부터 유리(release)된다. 선택에 따라서는, 상기 분자 조각들의 변환 및 유리 공정에 의해 그 막에 기공들이 추가로 생성될 수 있다. 따라서, 막의 밀도는 감소된다.
본 발명은 BEOL 배선 구조에 집적시키기에 적합한, 저 유전 상수, 즉 3.2보다 낮은 유전 상수를 가지며 또 2개 이상의 상을 구비하는 물질을 제조하는 방법을 개시하고 있다. 이 막들은 200 nm 웨이퍼를 처리하기 위한 PECVD 반응기(10)의 적어도 2개의 적당한 정화된 도면을 선택하여 제조될 수 있다. 전구 가스는 기판 척(substrate chuck)(12)으로부터 어떤 간극만큼 떨어져 있는 가스 분산판(gas distribution plate; GDP, 14)을 통해 반응기(10) 내로 유입되고, 배기구(pumping port)(18)를 통해 배기된다. RF 전원(20)은 기판 척(12)에 연결되어 기판(22)으로 전력을 전송된다. 실제로 반응기의 다른 부분들 모두는 접지되어 있다. 따라서 기판(22)에는 부 바이어스(negative bias)가 걸리게 되고, 그 값은 반응기의 기하 구조(reactor geometry) 및 플라즈마 파라미터에 의존하고 있다. 다른 실시예에서, RF 전원(20)은 챔버와 전기적으로 절연되어 있는 GDP(14)에 접속될 수 있으며, 기판 척(12)은 접지되어 있다. 또다른 실시예에서는, 2개 이상의 전원 장치가 사 용될 수 있다. 예를 들면, 2개의 전원 장치가 동일한 RF 주파수로 동작하거나 또는 한 전원은 낮은 주파수에서 동작하고 다른 전원은 높은 주파수에서 동작할 수도 있다. 2개의 전원 장치는 모두 동일 전극에 연결되거나 또는 각기 다른 전극에 접속될 수도 있다. 또다른 실시예에서, RF 전원 장치는 증착 중에 펄스 형태로 온/오프(pulsed on and off)될 수 있다. 저 유전 상수 막의 증착 중에 제어되는 공정 변수로는 RF 전력, 전구 혼합물 및 유속(flow rate), 반응기 내부 압력 및 기판 온도가 있다. 이하에서는 제1 전구 물질(TMCTS) 및 제2 전구 물질인 2,5-노르보르나디엔(바이시클로 [2.2.1] 헵타-2,5-디엔, 즉 BCHD 라고도 함)으로 본 발명의 막을 증착하는 제1 실시예에 대해 설명한다. 이 실시예에서, TMCTS 전구 증기(precursor vapor)는 He를 운반 가스(carrier gas)로서 사용하여 반응기 내로 보내진다. 선택에 따라, 유전 상수 k를 감소시키기 위해 증착 후에 이 막은 400℃에서 열처리되었다.
이제부터 도 2A를 참조하면, 본 발명의 2상 물질의 확대 단면도가 도시되어 있다. 제1 상(31)은 공유 결합 그물 구조로 Si, C, O 및 H를 포함하고 또 3.6 이하의 유전 상수를 갖는 수소화되고 산화된 탄화 실리콘 물질(SiCOH)인 "호스트" 매트릭스(host matrix)이다. 제1 상의 공유 결합 그물 구조가 도 2B에 도시되어 있다.
이제부터 도 2B를 참조하면, 검은 선들은 Si, C, O 및 H 원자 사이의 공유 결합을 나타낸다. 이것은 불규칙 그물 구조(random network)이며, 따라서 그 구조에 대한 어떤 기본적인 반복 단위(repeating unit)가 존재하지 않는다. 수소 원자 는 도면 부호 1이 부기된 "H"로서 도시되어 있다. 이 그물 구조에서의 산소 원자는 "O"로 도시되어 있으며 도면 부호 2가 부기되어 있다. 이 그물 구조에서의 탄소 원자는 "C"로 도시되어 있으며 도면 부호 3이 부기되어 있다. 이 그물 구조에서의 실리콘 원자는 4개의 선의 교점으로 도시되어 있으며 도면 부호 4가 부기되어 있다. 산소 원자(2)는 어느 하나가 C 또는 Si인 2개의 원자 사이에 있다. 본 발명의 물질의 제2 상(37)은 제1 상의 내부에 위치한다. 제2 상은 C 및 H 원자를 기본적으로 포함한다. 다상 물질은 또한 나노 크기의, 즉 직경이 0.5 내지 200 나노미터인 다수의 세공(pore)도 포함하고 있다. "호스트" 매트릭스라고도 하는 제1 상의 공유 결합 그물 구조가 도 2B에 도시되어 있다.
도 3을 참조하면, 본 발명의 3상 물질(tri-phase material)의 확대 단면도가 도시되어 있다. 제1 상(33)은 공유 결합 그물 구조로 Si, C, O 및 H를 포함하고 3.6 이하의 유전 상수를 갖는 수소화되고 산화된 탄화 실리콘 물질(SiCOH)인 "호스트" 매트릭스이다. 제1 상의 구조가 도 2B에 도시되어 있다. 본 발명의 물질의 제2 상(39)과 본 발명의 물질의 제3 상(35)은 제1 상의 내부에 위치하고 있다. 제2 상은 C 및 H 원자와 나노미터 크기의, 즉 직경이 0.5 내지 200 나노미터인 복수의 세공을 기본적으로 포함하고 있다.
제3 상(35)은 "나그네" 분자(guest molecule)의 존재로 인해 생성되는 매트릭스 내의 개방 영역(open region)일 수 있다. 이 개방 영역은 나그네 분자의 존재로 인해 발생되는 기공일 수 있으며, 이것이 본 발명의 다상 물질의 제1 상의 불규칙 그물 구조(도 2B)를 파괴한다. 다른 대안에서, 제3 상은 C 및 H 원자와 다수 의 나노미터 크기의 세공을 포함하고 있다. 세공의 크기는 2상 조성물(dual-phase composition) 내의 기공보다 더 클 수도 있다. 구체적으로는, 3상에서의 세공의 크기는 직경이 0.5 내지 100 나노미터이다.
제1 실시예
이 실시예에서, 플라즈마는 막 증착 중에 연속 모드로(continuous mode) 동작되었다. 혼합 가스는 유속이 30 sccm인 TMCTS+He와 유속이 3 sccm인 BCHD의 혼합물로 이루어져 있었다. 반응기 내부 압력은 500 m Torr로 유지되었다. 기판은 15 W의 RF 전력이 13.56 MHz의 주파수로 인가되는 전원 투입 전극(powered electrode) 상에 배치하였다. 기판에는 -17 VDC의 부 자기 바이어스(self negative bias)가 걸려 있다. 이와 같이 증착된 막의 유전 상수는 증착된 그대로의 상태(as-deposited condition)에서 k = 3.13 이었다. 400℃ 에서 4 시간 어닐링한 후에, 그 막의 유전 상수 k = 2.91 이다.
이제부터는 도 4 및 도 5를 참조하면서 제1 실시예의 결과에 대해 기술한다. 도 4는 전형적인 SiCOH막의 푸리에 변환 적외선(FTIR) 스펙트럼을 나타낸 것이다. 이 스펙트럼에서 1000∼1100 cm-1 에는 강한 Si-O 흡수대(absorption band)가, 1275 cm-1 에는 Si-CH3 흡수 피크(absorption peak)가, 2150∼2250 cm-1 에는 Si-H 흡수대가, 그리고 2900∼3000 cm-1 에는 작은 C-H 흡수 피크가 나타나 있다. SiCOH막의 SiO 피크와 비교할 때의 CH, SiH 및 SiCH3 피크의 상대 세기는 표 1에 나타내었다.
도 5는 (TMCTS+He)+BCHD의 혼합물로 제조된 다상막으로부터 얻은 FTIR 스펙트럼을 나타낸 것이다. 이 스펙트럼은 도 4에서와 같이 Si-O, Si-CH3, Si-H 및 C-H 흡수 피크를 나타내고 있다. 그렇지만, 2900∼3000 cm-1 에서의 C-H 흡수대의 세기는 도 4에 도시한 SiCOH막에 대한 것보다 다상막에 대한 것이 훨씬 더 강하다. 이 막의 SiO 피크와 비교할 때의 CH, SiH 및 SiCH3 피크의 상대 세기도 또한 표 1에 나타내었다. 표 1에서 알 수 있는 바와 같이, 다상막의 C-H 피크의 적분 면적은 Si-CH3 피크의 적분 면적의 40% 이지만, SiCOH막에서의 Si-CH3 피크의 단지 2%에 불과하다. 이것은 다상막이 SiCOH 상 이외에 2차 CHx (탄화수소)상을 상당량 포함한다는 명백한 표시이다. 2차상(secondary phase)의 또하나의 표시는 도 5에 도시된 다상 물질의 스펙트럼에서 Si-O 피크의 갈라짐(splitting)으로 주어져 있다.
FTIR 흡수 피크의 상대 적분 세기
물질 CH/SiO(%) SiH/SiO(%) SiCH/SiO(%)
SiCOH 2 8 6
다상 40 6 5

제2 실시예
이 실시예에서, 플라즈마는 막 증착 중에 연속 모드로 동작되었다. 혼합 가스는 유속이 30 sccm인 TMCTS+He와 유속이 1 sccm인 BCHD의 혼합물로 이루어져 있 었다. 반응기 내부 압력은 500 m Torr로 유지되었다. 기판은 6 W의 RF 전력이 13.56 MHz의 주파수로 인가되는 전원 투입 전극(powered electrode) 상에 배치하였다. 기판에는 -25 VDC의 부 자기 바이어스(self negative bias)가 걸려있다. 이와 같이 증착된 막의 유전 상수는 증착된 그대로의 상태(as-deposited condition)에서 k = 2.82 이었다. 400℃ 에서 4 시간 어닐링한 후에, 그 막의 유전 상수 k = 2.81 이다.
제3 실시예
이 실시예에서, 플라즈마는 막 증착 중에 펄스 모드로(in a pulsed mode), 즉 사이클 당 펄스-온 시간(pulse-on time)을 18 ms로 하고 펄스-오프 시간(pulse-off time)을 182 ms로 하여 동작되었다. 다른 조건들은 제2 실시예에서와 동일하게 유지되었다.
제4 실시예
이 실시예에서, BCHD와 함께 트리메틸실란(trimethylsilane)이라는 다른 전구 물질을 사용하였으며, 플라즈마는 막 증착 중에 연속 모드로 동작되었다. 반응기 내부 압력은 200 m Torr로 유지되었다. 기판은 9 W의 RF 전력이 13.56 MHz의 주파수로 인가되는 전원 투입 전극(powered electrode) 상에 배치하였다. 기판에는 -200 VDC의 부 자기 바이어스(self negative bias)가 걸려 있다. 이와 같이 증착된 2상막에서의 1차상(primary phase)은 Si, C 및 H로 이루어져 있으며 O는 포함 하고 있지 않다.
제5 실시예
이 실시예에서, 다상막은 제1 실시예에서 기술한 방법과 동일한 방법으로 제조되며, 유일한 차이점은 부가적인 TBE(tertiary butyl ether; 3차 부틸 에테르)라는 비고리형 탄화수소가 혼합 가스에 부가되었다는 것이다. 그 결과 얻어지는 막은 SiCOH 매트릭스, CH 고리 구조를 포함하는 CHx상 및 선형 CH 구조를 포함하는 CHy상으로 이루어져 있다. 고리 탄화수소 전구 물질이 페놀 고리(phenolic ring)를 포함하고 있는 경우, 막 내의 제1 CHx상은 방향족 CH 구조를 포함할 것이다.
본 발명의 신규 물질은 2개 이상의 상으로 이루어져 있다. 제1 상의 조성물은 Si, C, O 및 H 원자를 포함하고 있다. 적당한 농도 범위는 약 5 내지 약 40 원자 퍼센트의 Si, 약 5 내지 약 45 원자 퍼센트의 C, 약 0 내지 약 50 원자 퍼센트의 O(산소) 및 약 10 내지 약 55 원자 퍼센트의 H로부터 선택하는 것이 유리할 수 있다. 주목해야 할 점은 O(산소)의 원자 퍼센트가 0인 경우, SiCOH의 특성과 유사한 특성을 갖는 SiCH의 조성물이 제조되고 따라서 이는 본 발명의 조성물로서도 적당하게 사용될 수 있다는 것이다. 예를 들어, 제4 실시예는 산소를 갖지 않는 SiCH의 제1 상을 포함하는 막에 대해 기술한 것이다. SiCH막은 Si, C 및 H를 함유하는 전구 가스를 플라즈마 화학적 기상 증착 챔버 내로 유입시킴으로써 증착될 수 있다. 제2 상의 조성물은 C 및 H 원자를 포함하며, 선택에 따라서는 F 및 O를 포함한다. 적당한 농도 범위는 약 90 내지 약 45 원자 퍼센트의 C 및 약 10 내지 약 55 원자 퍼센트의 H로부터 선택하는 것이 유리할 수 있다. 본 발명의 물질은 다상 물질 내에 확산되어 있는 분자 크기의 기공을 더 포함하고 있다. 본 발명의 물질 조성물은 F, N 또는 Ge와 같은 적어도 하나의 원소를 더 포함하면서도 마찬가지로 바람직한 결과를 가져올 수 있다.
전술한 바와 같이 증착된 막은 도 5에 도시한 것과 유사한 FTIR 스펙트럼의 특징을 갖는다. 이 스펙트럼은 1000∼1100 cm-1 에 강한 Si-O 흡수대(absorption band)를, 1275 cm-1 에 Si-CH3 흡수 피크(absorption peak)를, 2150∼2250 cm-1 에 Si-H 흡수대를, 그리고 2900∼3000 cm-1 에 아주 강한 C-H 흡수대를 갖는다. SiCOH막의 SiO 피크와 비교할 때의 CH, SiH 및 SiCH3 피크의 상대 세기는 표 1에 나타내었다. 피크의 상대 세기는 증착 조건의 변화 및 전구 가스의 변화에 따라 변화할 수 있다. SiO 흡수대는 1070 cm-1 및 1030 cm-1 의 2개의 피크에서 디컨벌루션(deconvolution)될 수 있으며, 이 때 제1 피크는 나노 세공 SiO 새장 구조(nanoporous, Si-O cage structure)의 존재를 나타낸다. SiCOH막의 단지 2%의 비와 비교할 때 Si-CH3 피크의 적분 면적에 대한 C-H 피크의 적분 면적의 비가 크다(40%, 표 1 참조)는 것은 다상막이 SiCOH상 이외에 2차 CHx(탄화수소)상을 상당량 포함하고 있다는 명백한 표시이다.
Ar, H2, 및 N2와 같은 다른 가스가 운반 가스로서 사용될 수 있다. 전구 물 질이 충분한 증기압을 갖는 경우는, 운반 가스가 전혀 필요하지 않을 수 있다. 액상 전구 물질을 플라즈마 반응기로 전송하는 다른 방법은 액체 주입기(liquid delivery system)를 사용하는 것이다. 질소, 수소, 게르마늄 또는 불소 함유 가스가 저 유전 상수 막의 특성을 변경하기 위해 필요한 경우 반응기에서 혼합 가스에 부가될 수 있다. 따라서, 다상막은 Ge, N, 및 F와 같은 원자를 포함할 수 있다.
원한다면, 잔류 휘발 성분 함량(residual volatile contents)을 증발시켜 막이 치수 안정성을 갖도록 하거나 또는 단지 막의 치수 안정성을 갖도록 하기 위해, 증착된 다상막은 추가의 집적 처리를 행하기 전에 선택에 따라 추가로 개질(modify)될 수 있다. 안정화 공정은 노 어닐링(furnace annealing) 단계 중에 300℃ 내지 400℃에서 약 0.25 시간 내지 약 4 시간의 기간 동안 수행될 수 있다. 안정화 공정은 또한 300℃를 넘는 온도에서의 급속 열 어닐링(rapid thermal annealing) 공정에서 수행될 수도 있다. 본 발명의 신규한 공정에 따라 얻어진 다상막의 유전 상수는 3.2 이하이다. 본 발명의 공정에 따라 얻어진 다상막은 적어도 350℃ 온도까지는 열적 안정성이 있다.
본 발명의 공정에 의해 얻어진 다상막은 유전 상수 k < 3.2 이고, 통상 400℃까지의 온도에서 처리되는 BEOL 상호 접속 구조에서의 공정 집적에 대한 열적 안정성이 있다는 특징이 있다. 게다가, 다상막은 수중에서는 극히 낮은, 즉 10-9 m/s 보다 낮은 균열 진행 속도를 가지며, 심지어 10-11 m/s 보다 낮을 수도 있다. 따라서, 본 발명의 신규 물질 및 공정은 논리 장치 및 메모리 장치에 대한 BEOL 공정에 서 층내 및 층간 유전체로서 다상막을 제조하는 데 용이하게 적용될 수 있다.
본 발명의 신규한 방법에 의해 형성된 전자 장치가 도 6 내지 도 9에 도시되어 있다. 주목해야 할 점은 도 6 내지 도 9에 도시된 장치들은 본 발명의 방법의 설명을 위한 일례들에 불과한 것으로서 수많은 다른 장치들도 본 발명의 신규한 방법에 의해 형성될 수 있다는 것이다.
도 6에는, 실리콘 기판(32) 상에 형성된 전자 장치(30)가 도시되어 있다. 실리콘 기판(32)의 상부에는, 절연 물질층(34)이 먼저 형성되고 이곳에 제1 금속 영역(36)이 매립되어 있다. 제1 금속 영역(36)에 대해 CMP 공정이 행해진 후에, 본 발명의 다상막(38)이 제1 절연 물질층(34) 및 제1 금속 영역(36) 상부에 증착된다. 제1 절연 물질층(34)은 산화 실리콘, 질화 실리콘, 이들 물질의 여러 도핑 변형물(doped varieties), 또는 임의의 다른 적당한 절연 물질로 적당히 형성될 수 있다. 다상막(38)은 그 다음에 포토리쏘그라피 공정에서 패터닝(patterning)되고, 그위에 도체층(40)이 증착된다. 제1 도체층(40)에 대한 CMP 공정이 수행된 후에, 제2 다상막층(44)이 플라즈마 화학적 기상 증착 공정에 의해 증착되어 제1 다상막(38) 및 제1 도체층(40)의 위를 덮게 된다. 도체층(40)은 금속 물질 또는 비금속 도전성 물질, 예를 들어 알루미늄이나 구리의 금속 물질 또는 질화물이나 폴리실리콘의 비금속 물질로 증착될 수 있다. 제1 도체(40)는 제1 금속 영역(36)과 전기 도통 상태(electrical communication)에 있다.
그 다음에 제2 다상막층(44)에 대한 포토리쏘그라피 공정을 행하고 이어서 제2 도체 물질의 증착 공정이 있은 후에 제2 도체 영역(50)이 형성된다. 제2 도체 영역(50)은 또한 제1 도체층(40)의 증착 시에 사용되었던 것과 유사한, 금속 물질 또는 비금속 물질 중 어느 하나로 증착될 수도 있다. 제2 도체 영역(50)은 제1 도체 영역(40)과 전기 도통 상태에 있으며, 제2 다상 절연체층(44)에 매립되어 있다. 제2 다상막층은 제1 절연 물질층(38)과 밀접하게 접촉(intimate contact)하고 있다. 이 예에서, 다상의 제1 절연 물질층(38)은 층내 유전 물질인 반면, 제2 절연 물질층, 즉 다상막(44)은 층내 유전체 및 층간 유전체 양쪽 모두가 된다. 다상막의 저 유전 상수에 기초하여, 제1 절연층(38) 및 제2 절연층(44)에 의해 우수한 절연 특성이 달성될 수 있다.
도 7에 도시한 본 발명의 전자 장치(60)는 도 6에 도시한 전자 장치(30)와 유사하지만, 부가의 유전체 캡층(dielectric cap layer)(62)이 제1 절연 물질층(38)과 제2 절연 물질층(44) 사이에 증착되어 있다. 유전체 캡층(62)은 산화 실리콘, 질화 실리콘, 산질화 실리콘(silicon oxinitride), Ta, Zr, Hf나 W의 내열 금속을 갖는 내열 금속 질화 실리콘, 탄화 실리콘(silicon carbide), 탄화 산화 실리콘(silicon carbo-oxide; SiCO) 및 이들의 수소화 화합물(hydrogenated compound)과 같은 물질로 적당히 형성될 수 있다. 이 부가의 유전체 캡층(62)은 제1 도체층(40)이 제2 절연 물질층(44)으로, 또는 하측의 층들, 특히 층(34, 32)으로 확산하는 것을 방지하기 위한 확산 장벽층으로서 기능한다.
본 발명의 또다른 실시예의 전자 장치(70)가 도 8에 도시되어 있다. 전자 장치(70)에서는, RIE 마스크 및 CMP(chemical mechnical polishing; 화학 기계적 연마) 연마 정지층(polish stop layer)으로서 작용하는 2개의 부가의 유전체 캡층(72, 74)이 사용되고 있다. 제1 유전체 캡층(72)은 제1 다상 절연 물질층(38)의 상부에 증착되어 RIE 마스크로서 사용된다. 제2 유전층(74)의 기능은 제1 도체층(40)을 평탄화하는 데 이용되는 CMP 공정의 종결점(end point)을 제공하는 것이다. 연마 정지층(74)은 산화 실리콘, 질화 실리콘, 산질화 실리콘, Ta, Zr, Hf나 W의 내열 금속을 갖는 내열 금속 질화 실리콘, 탄화 실리콘(silicon carbide), 탄화 산화 실리콘(silicon carbo-oxide; SiCO) 및 이들의 수소화 화합물(hydrogenated compound)과 같은 적당한 유전 물질로 증착될 수 있다. 유전층(72)의 상측면은 제1 도체층(40)과 높이가 동일하다. 제2 유전층(74)은 동일한 목적으로 제2 다상 절연 물질층(44)의 상부에 부가될 수 있다.
본 발명의 다른 또하나의 실시예의 전자 장치(80)가 도 9에 도시되어 있다. 이 또하나의 실시예에서는, 부가의 유전 물질층(82)이 증착되고 이에 따라 제2 절연 물질층(44)을 2개의 각기 다른 층(84, 86)으로 분할한다. 도 8에 도시한, 다상 물질로 형성된 층내 및 층간 유전층(44)은 따라서 비아(92)와 상호 접속부(94) 사이의 경계에서 층간 유전층(84)과 층내 유전층(86)으로 분할된다. 부가의 확산 장벽층(96)이 상측의 유전층(74)의 상부에 추가로 증착된다. 이 또하나의 실시예의 전자 장치(80)에 의해 제공되는 부가의 이점은 유전층(82)이 우수한 상호 접속부 깊이 제어를 제공하는 RIE 에치 스톱(etch stop)으로서 작용한다는 것이다.
또다른 실시예들은, 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판, 제2 절연 물질층 내에 매립되어 있는 제1 도체 영역, 및 제1 도체 영역과 전기 도통 상태에 있고 제3 절연 물질층 내에 매립되어 있는 제2 도체 영역을 포함하며, 제2 절연 물질층은 제1 절연 물질층과 밀접하게 접촉해 있고, 제1 도체 영역은 제1 금속 영역과 전기 도통 상태에 있으며, 제3 절연 물질층은 제2 절연 물질층과 밀접하게 접촉해 있는 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조를 포함하고, 이 전자 구조는 제2 절연 물질층과 제3 절연 물질층 사이의 제1 유전체 캡층, 및 제3 절연 물질층 상부의 제2 유전체 캡층을 더 포함하며, 제1 및 제2 유전체 캡층은 Si, C, O 및 H 원자를 포함하는 물질, 또는 양호하게는 다상 조성물로 형성되어 있다.
본 발명의 또다른 실시예들은, 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판, 제2 절연 물질층 내에 매립되어 있고 제1 금속 영역과 전기 도통 상태에 있는 제1 도체 영역, 제1 도체 영역과 전기 도통 상태에 있고 제3 절연 물질층 내에 매립되어 있는 제2 도체 영역, 및 Si, C, O 및 H 원소를 포함하는 다상 물질로 형성되고 제2 절연 물질층 및 제3 절연 물질층 중 적어도 하나 상에 증착된 확산 장벽층을 포함하며, 제2 절연 물질층은 제1 절연 물질층과 밀접하게 접촉해 있고, 제3 절연 물질층은 제2 절연 물질층과 밀접하게 접촉해 있는 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조를 포함한다.
또다른 실시예들은, 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판, 제2 절연 물질층 내에 매립되어 있고 제1 금속 영역과 전기 도통 상태에 있는 제1 도체 영역, 제1 도체 영역과 전기 도통 상태에 있고 제3 절연 물질층 내에 매립되어 있는 제2 도체 영역, 제2 절연 물질층의 상부의 반응성 이온 에칭(RIE) 하드 마스크/연마 정지층, 및 RIE 하드 마스크/연마 정지층의 상부의 확산 장벽층을 포함하고, 제2 절연 물질층은 제1 절연 물질층과 밀접하게 접촉해 있으며, 제3 절연 물질층은 제2 절연 물질층과 밀접하게 접촉해 있고, RIE 하드 마스크/연마 정지층 및 확산 장벽층은 Si, C, O 및 H 원자를 포함하는 다상 물질로 형성되어 있는 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조를 포함한다.
또다른 실시예들은, 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판, 제2 절연 물질층 내에 매립되어 있고 제1 금속 영역과 전기 도통 상태에 있는 제1 도체 영역, 제1 도체 영역과 전기 도통 상태에 있고 제3 절연 물질층 내에 매립되어 있는 제2 도체 영역, 제2 절연 물질층의 상부의 제1 RIE 하드 마스크/연마 정지층, 제1 RIE 하드 마스크/연마 정지층의 상부의 제1 확산 장벽층, 제3 절연 물질층의 상부의 제2 RIE 하드 마스크/연마 정지층, 및 제2 RIE 하드 마스크/연마 정지층의 상부의 제2 확산 장벽층을 포함하고, 제2 절연 물질층은 제1 절연 물질층과 밀접하게 접촉해 있으며, 제3 절연 물질층은 제2 절연 물질층과 밀접하게 접촉해 있고, RIE 하드 마스크/연마 정지층들 및 확산 장벽층들은 다상 물질로 형성되는 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조를 포함한다.
본 발명의 또다른 실시예들은 바로 위에서 기술한 것과 유사한 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조를 포함하지만, 층간 유전층과 층내 유전층 사이에 위치한 Si, C, O 및 H 원자를 포함하는 다상 물 질로 형성된 유전체 캡층을 더 포함한다.
본 발명의 신규의 방법 및 이러한 방법에 의해 형성된 전자 구조는 따라서 이상의 설명 및 첨부 도면인 도 1 내지 도 9에 충분히 기재되어 있다. 도 6 내지 도 9에 도시한 본 발명의 전자 구조의 일례들은 단지 본 발명의 신규의 방법에 대한 예시를 위해 사용한 것일 뿐이며, 본 발명의 신규의 방법은 수많은 전자 장치들의 제조에 적용될 수 있다는 것이 명백함을 강조하는 바이다.
본 발명에 대해 예시적으로 설명하였지만, 여기에 사용된 용어는 설명을 위한 것일 뿐 어떤 한정을 하려고 하는 것이 아님을 이해해야만 한다.
게다가, 본 발명은 양호한 실시예 및 몇몇 다른 실시예와 관련하여 설명하였지만, 당업자라면 이러한 개시 내용을 본 발명의 다른 가능한 변형례들에 용이하게 적용할 수 있다는 것을 잘 알 것이다.
배타적 재산권 또는 특권이 주장되는 본 발명의 실시에 대해서는 이하의 청구항들에 기재되어 있다.

Claims (61)

  1. 2개 이상의 상(phase)을 갖는 유전 물질로서,
    Si, C, O 및 H를 기본적으로 함유하는 제1 상, 및
    상기 제1 상 내에 분산되어 있는 적어도 하나의 제2 상을 포함하며,
    상기 적어도 하나의 제2 상은 C, H 및 다수의 나노미터 크기의 세공들을 기본적으로 포함하고, 상기 유전 물질의 유전 상수는 3.2 이하인 것을 특징으로 하는 유전 물질.
  2. 제1항에 있어서, 상기 제1 상은 Si-O, Si-C, Si-H 및 C-H 결합을 포함하는 공유 결합 구조인 것인 유전 물질.
  3. 제1항에 있어서, 상기 적어도 하나의 제2 상은 C-H 결합을 포함하는 공유 결합 구조이며, 상기 적어도 하나의 제2 상은 이 적어도 하나의 제2 상 내의 C 원자들과 상기 제1 상 내의 Si, C 및 O 원자들 사이에 형성된 결합들에 의해 상기 제1 상과 공유 결합되어 있는 것인 유전 물질.
  4. 제1항에 있어서, 상기 적어도 하나의 제2 상은 C-H 결합을 포함하는 공유 결합 구조이며 상기 제1 상에 의해 둘러싸여 있는 것인 유전 물질.
  5. 제1항에 있어서, 상기 제1 상과 상기 적어도 하나의 제2 상의 3차원 그물 구조(three dimensional network)를 서로 공유 결합시킴으로써 다상 물질이 형성되는 것인 유전 물질.
  6. 제1항에 있어서, 상기 제1 상은 약 5 내지 약 40 원자 퍼센트의 Si, 약 5 내지 약 45 원자 퍼센트의 C, 약 0 내지 약 50 원자 퍼센트의 O, 및 약 10 내지 약 55 원자 퍼센트의 H를 포함하는 것인 유전 물질.
  7. 제1항에 있어서, 상기 적어도 하나의 제2 상은 약 45 내지 약 90 원자 퍼센트의 C 및 약 10 내지 약 55 원자 퍼센트의 H를 포함하는 것인 유전 물질.
  8. 제1항에 있어서, 상기 다수의 나노미터 크기의 세공들은 약 0.5 nm 내지 약 100 nm의 직경을 갖는 것인 유전 물질.
  9. 제1항에 있어서, 상기 다수의 나노미터 크기의 세공들은 약 0.5 nm 내지 약 20 nm의 직경을 갖는 것이 바람직한 것인 유전 물질.
  10. 제1항에 있어서, 상기 다수의 나노미터 크기의 세공들은 상기 물질의 총 체적의 약 0.5% 내지 약 50%를 차지하는 것인 유전 물질.
  11. 청구항 제1항에 기재된 유전 물질로 형성된 막으로서, 상기 막은 1.3 마이크로미터 이하의 두께를 가지며 또 수중에서의 균열 진행 속도가 10-9 m/s보다 낮은 것을 특징으로 하는 막.
  12. 제11항에 있어서, 상기 수중에서의 균열 진행 속도는 10-10 m/s보다 낮은 것이 바람직한 것인 막.
  13. 청구항 제1항에 따른 유전 물질 조성물로서, 상기 Si 원자들이 적어도 부분적으로 Ge 원자들로 치환되어 있는 것을 특징으로 하는 유전 물질 조성물.
  14. 청구항 제1항에 따른 유전 물질 조성물로서, F, N 및 Ge로 이루어진 그룹으로부터 선택된 적어도 하나의 원소를 더 포함하는 것을 특징으로 하는 유전 물질 조성물.
  15. 플라즈마 화학적 기상 증착(PECVD) 챔버를 제공하는 단계,
    상기 챔버 내에 기판을 위치시키는 단계,
    C, O, 및 H로 이루어진 그룹으로부터 선택된 적어도 2개의 원소와 Si를 기본적으로 함유하는 제1 전구 가스를 상기 PECVD 챔버 내로 유입시키는 단계,
    탄소 및 수소 함유 분자를 기본적으로 함유하는 적어도 하나의 제2 전구 가 스를 유입시키는 단계 - 상기 적어도 하나의 제2 전구 가스는 선택에 따라 불활성 운반 가스(inert carrier gas)와 혼합됨 - , 및
    Si, C, O 및 H를 기본적으로 함유하는 제1 상과 C, H 및 다수의 나노미터 크기의 세공을 기본적으로 함유하는 적어도 하나의 제2 상을 포함하는 다상막을 상기 기판 상에 증착하는 단계를 포함하는 것을 특징으로 하는 저 유전 상수 다상막의 제조 방법.
  16. 제15항에 있어서, 상기 플라즈마 화학적 기상 증착 챔버는 평행 평판형 플라즈마 반응기인 것인 저 유전 상수 다상막의 제조 방법.
  17. 제15항에 있어서, 상기 다상막을 상기 PECVD 챔버 내에서 연속 모드로 증착하는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  18. 제15항에 있어서, 상기 다상막을 상기 PECVD 챔버 내에서 펄스 모드로 증착하는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  19. 제15항에 있어서, 상기 제2 전구 분자들의 해리(dissociation)를 최소화하도록 상기 플라즈마 상태를 조절하는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 제15항에 있어서, 상기 적어도 하나의 제2 전구 가스는 탄화수소, 에테르, 알코올, 에스테르, 케톤, 알데히드, 아민, 또는 다른 O, N 또는 F 함유 탄화수소를 기본적으로 포함하는 것인 저 유전 상수 다상막의 제조 방법.
  25. 제15항에 있어서, 2,5-노르보르나디엔(2,5-norbornadiene)(또는 바이시클로 [2.2.1] 헵타-2,5-디엔)(bicyclo [2.2.1] hepta-2,5-diene), 노르보르닐렌 2,5-노르보르나디엔(norbornylene 2,5-norbornadiene)(또는 바이시클로 [2.2.1] 헵타-2,5-디엔), 노르보르난(norbornane)(또는 바이시클로 [2.2.1] 헵탄)(bicyclo [2.2.1] heptane), 트리시클로 [3.2.1.0] 옥탄(tricyclo [3.2.1.0] octane), 트리시클로 [3.2.2.0] 노난(tricyclo [3.2.2.0] nonane), 스피로 [3.4] 옥탄(spiro [3.4] octane), 스피로 [4.5] 노난(spiro [4.5] nonane), 스피로 [5.6] 데칸(spiro [5.6] decane), 벤젠, 톨루엔, 크실렌(xylene), 및 아니솔(anisole)(메틸 페닐 에테르)(methyl phenyl ether)로 이루어진 그룹으로부터, 탄화수소 분자들을 기본적으로 함유하는 상기 적어도 하나의 제2 전구 가스를 선택하는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 증착 챔버를 제공하는 단계,
    상기 챔버 내에 기판을 위치시키는 단계,
    상기 증착 챔버와 나란하게 배치(juxtaposed)되어 그와 유체 연통 상태(fluid communication)에 있는 플라즈마 소스 챔버(plasma source chamber)를 제공하는 단계,
    C, O 및 H로 이루어진 그룹으로부터 선택된 적어도 2개의 원소와 Si를 함유하는 제1 전구 가스를 상기 플라즈마 소스 챔버 내로 유입시켜 상기 전구 물질을 해리 및 이온화시키고, 이를 상기 증착 챔버 내로 유입시키는 단계,
    탄소 및 수소 함유 분자, 또는 불활성 운반 가스로 희석된 탄소 및 수소 함유 분자를 포함하는 적어도 하나의 제2 전구 가스를 상기 증착 챔버 내로 유입시키는 단계, 및
    Si, C. O 및 H를 기본적으로 함유하는 제1 상과 C, H 및 다수의 나노미터 크기의 세공들을 기본적으로 함유하는 적어도 하나의 제2 상을 포함하는 다상막을 상기 기판 상에 증착하는 단계를 포함하는 저 유전 상수 다상막의 제조 방법.
  30. 제29항에 있어서, 상기 플라즈마 소스 챔버를 연속 모드로 동작시키는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  31. 제29항에 있어서, 상기 플라즈마 소스 챔버를 펄스 모드로 동작시키는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  32. 제29항에 있어서, 탄화수소 분자들의 해리를 최소화하도록 상기 플라즈마 상태를 조절하는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  33. 제15항 또는 제29항에 있어서, 상기 막을 200℃ 이상의 온도에서 열처리하는 선택적인 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  34. 제15항 또는 제29항에 있어서, 상기 적어도 하나의 제2 전구 가스는 고리 구조의 분자들을 포함하는 것인 저 유전 상수 다상막의 제조 방법.
  35. 제15항 또는 제29항에 있어서, 상기 제1 전구 가스는 메틸실란(methylsilanes)을 더 포함하는 것인 저 유전 상수 다상막의 제조 방법.
  36. 제15항 또는 제29항에 있어서, 상기 제1 전구 가스를 유입시키는 단계는,
    1,3,5,7-테트라메틸시클로테트라실록산(TMCTS, 즉 C4H16O4Si4), 테트라에틸시클로테트라실록산(C8H24O4Si4), 데카메틸시클로펜타실록산(C10H3005Si5), 그리고 Si, O 및 C를 포함한 전구 혼합물로 이루어진 그룹으로부터, 고리 구조를 갖는 분자들을 갖는 전구 물질을 선택하는 단계를 더 포함하는 것인 저 유전 상수 다상막의 제조 방법.
  37. 제29항에 있어서, 탄화수소, 에테르, 알코올, 에스테르, 케톤, 알데히드, 아민, 또는 다른 O, N 또는 F 함유 탄화수소를 기본적으로 함유하는, 상기 적어도 하나의 제2 전구 가스를 선택하는 단계를 더 포함하는 저 유전 상수 다상막의 제조 방법.
  38. 제29항에 있어서, 2,5-노르보르나디엔(2,5-norbornadiene)(또는 바이시클로 를 기본적으로 포함하는 상기 적어도 하나의 제2 전구 가스를 선택하는 단계를 더 포함하고, 제29항에 있어서, 상기 적어도 하나의 제2 전구 가스는 바이시클로 [2.2.1] 헵트-2-엔(bicyclo [2.2.1] hept-2-ene)인 것인 저 유전 상수 다상막의 제조 방법.
  39. 제15항 또는 제29항에 있어서, 상기 적어도 하나의 제2 전구 가스는 바이시클로 [2.2.1] 헵트-2-엔(bicyclo [2.2.1] hept-2-ene)인 것인 저 유전 상수 다상막의 제조 방법.
  40. 제15항 또는 제29항에 있어서, 상기 적어도 하나의 제2 전구 가스는 탄화수소, 에테르, 알코올, 에스테르, 케톤, 알데히드, 아민, 또는 다른 O, N 또는 F 함유 탄화수소로 이루어진 그룹으로부터 선택된, 적어도 2개의 서로 다른 탄소 및 수소 함유 분자를 더 포함하는 것인 저 유전 상수 다상막의 제조 방법.
  41. 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판,
    다상 물질로 형성된 제2 절연 물질층 내에 매립되어 있는 제1 도체 영역, 및
    상기 제1 도체 영역과 전기 도통 상태에 있고 상기 다상 물질을 포함하는 제3 절연 물질층 내에 매립되어 있는 제2 도체 영역을 포함하며,
    상기 다상 물질은,
    Si, C, O 및 H를 기본적으로 함유하는 제1 상, 및
    상기 제1 상 내에 분산되어 있고 C, H 및 다수의 나노미터 크기의 세공들을 기본적으로 함유하는 적어도 하나의 제2 상을 포함하고,
    상기 다상 물질은 3.2 이하의 유전 상수를 가지며,
    상기 제2 절연 물질층은 상기 제1 절연 물질층과 밀접하게 접촉해 있고,
    상기 제1 도체 영역은 상기 제1 금속 영역과 전기 도통 상태에 있으며,
    상기 제3 절연 물질층은 상기 제2 절연 물질층과 밀접하게 접촉해 있는 것을 특징으로 하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  42. 제41항에 있어서, 상기 제2 절연 물질층과 상기 제3 절연 물질층의 사이에 위치한 유전체 캡층을 더 포함하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  43. 제41항에 있어서, 상기 제2 절연 물질층과 상기 제3 절연 물질층 사이의 제1 유전체 캡층, 및
    상기 제3 절연 물질층 상부의 제2 유전체 캡층을 더 포함하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  44. 제42항에 있어서, 상기 유전체 캡층은 산화 실리콘, 질화 실리콘, 산질화 실리콘, Ta, Zr, Hf 또는 W의 내열 금속을 갖는 내열 금속 질화 실리콘, 탄화 실리콘, 탄화 산화 실리콘, 이들의 수소 함유 화합물 및 개질된 SiCOH(modified SiCOH)로 이루어진 그룹으로부터 선택된 물질로 형성되는 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  45. 제43항에 있어서, 상기 제1 및 제2 유전체 캡층은 산화 실리콘, 질화 실리콘, 산질화 실리콘, Ta, Zr, Hf 또는 W의 내열 금속을 갖는 내열 금속 질화 실리콘, 탄화 실리콘, 탄화 산화 실리콘, 이들의 수소 함유 화합물 및 개질된 SiCOH(modified SiCOH)로 이루어진 그룹으로부터 선택된 물질로 형성되는 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  46. 제41항에 있어서, 상기 제1 절연 물질층은 산화 실리콘, 질화 실리콘, PSG(phosphosilicate glass), BPSG(borophosphosilicate glass) 또는 이들 물질의 다른 도핑 변형물인 것인 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  47. 제41항에 있어서, 상기 제2 절연 물질층 및 상기 제3 절연 물질층 중 적어도 하나의 층 상에 증착된 유전 물질의 확산 장벽층을 더 포함하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  48. 제41항에 있어서, 상기 제2 절연 물질층의 상부의 유전체 반응성 이온 에칭(RIE) 하드 마스크/연마 정지층, 및
    상기 RIE 하드 마스크/연마 정지층의 상부의 유전체 확산 장벽층을 더 포함하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  49. 제41항에 있어서, 상기 제2 절연 물질층의 상부의 제1 유전체 RIE 하드 마스크/연마 정지층,
    상기 제1 유전체 RIE 하드 마스크/연마 정지층의 상부의 제1 유전체 확산 장벽층,
    상기 제3 절연 물질층의 상부의 제2 유전체 RIE 하드 마스크/연마 정지층, 및
    상기 제2 유전체 RIE 하드 마스크/연마 정지층의 상부의 제2 유전체 확산 장벽층을 더 포함하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  50. 제49항에 있어서, 다상 물질의 층간 유전체와 다상 물질의 층내 유전체 사이에 유전체 캡층을 더 포함하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  51. 제1 절연 물질층에 매립되어 있는 제1 금속 영역을 갖는 선처리된 반도체 기판, 및
    다상 물질로 형성된 적어도 하나의 제2 절연 물질층 내에 매립되어 있는 적어도 하나의 제1 도체 영역을 포함하며,
    상기 다상 물질은,
    Si, C, O 및 H를 기본적으로 함유하는 제1 상, 및
    상기 제1 상 내에 분산되어 있고 C, H 및 다수의 나노미터 크기의 세공들을 기본적으로 함유하는 적어도 하나의 제2 상을 포함하고,
    상기 다상 물질은 3.2 이하의 유전 상수를 가지며,
    상기 적어도 하나의 제2 절연 물질층 중 하나는 상기 제1 절연 물질층과 밀접하게 접촉해 있고,
    상기 적어도 하나의 제1 도체 영역 중 하나는 상기 제1 금속 영역과 전기 도통 상태에 있는 것을 특징으로 하는 배선 구조에서의 층내 또는 층간 유전체로서 절연 물질층들을 갖는 전자 구조.
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
KR1020037001345A 2000-08-02 2000-08-02 저 유전 상수 다상 물질 및 그 증착 방법 KR100615410B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2000/021091 WO2002011204A1 (en) 2000-08-02 2000-08-02 Multiphase low dielectric constant material and method of deposition

Publications (2)

Publication Number Publication Date
KR20040012661A KR20040012661A (ko) 2004-02-11
KR100615410B1 true KR100615410B1 (ko) 2006-08-25

Family

ID=21741655

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037001345A KR100615410B1 (ko) 2000-08-02 2000-08-02 저 유전 상수 다상 물질 및 그 증착 방법

Country Status (4)

Country Link
JP (1) JP3882914B2 (ko)
KR (1) KR100615410B1 (ko)
CN (1) CN1257547C (ko)
WO (1) WO2002011204A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
EP1420439B1 (en) * 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP2004253791A (ja) 2003-01-29 2004-09-09 Nec Electronics Corp 絶縁膜およびそれを用いた半導体装置
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
JP5505680B2 (ja) * 2008-09-01 2014-05-28 独立行政法人物質・材料研究機構 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
CN104746045B (zh) * 2013-12-26 2018-03-06 北京北方华创微电子装备有限公司 化学气相沉积方法和装置
CN108389782B (zh) * 2018-03-06 2020-02-25 江苏欧特电子科技有限公司 一种形成超低k电介质层的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3574997D1 (de) * 1984-03-03 1990-02-01 Stc Plc Pulsierendes plasmaverfahren.
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3226479B2 (ja) * 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3486155B2 (ja) * 1999-07-23 2004-01-13 松下電器産業株式会社 層間絶縁膜の形成方法
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法

Also Published As

Publication number Publication date
CN1257547C (zh) 2006-05-24
JP2004534373A (ja) 2004-11-11
JP3882914B2 (ja) 2007-02-21
CN1454394A (zh) 2003-11-05
KR20040012661A (ko) 2004-02-11
WO2002011204A1 (en) 2002-02-07

Similar Documents

Publication Publication Date Title
US6437443B1 (en) Multiphase low dielectric constant material and method of deposition
KR100615410B1 (ko) 저 유전 상수 다상 물질 및 그 증착 방법
US7288292B2 (en) Ultra low k (ULK) SiCOH film and method
US6147009A (en) Hydrogenated oxidized silicon carbon material
KR100586133B1 (ko) 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
WO2006022856A2 (en) DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS
US6953984B2 (en) Hydrogenated oxidized silicon carbon material

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120725

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140725

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170719

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180718

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190718

Year of fee payment: 14