JP3762304B2 - 低誘電率層間絶縁膜の形成方法 - Google Patents

低誘電率層間絶縁膜の形成方法 Download PDF

Info

Publication number
JP3762304B2
JP3762304B2 JP2002008476A JP2002008476A JP3762304B2 JP 3762304 B2 JP3762304 B2 JP 3762304B2 JP 2002008476 A JP2002008476 A JP 2002008476A JP 2002008476 A JP2002008476 A JP 2002008476A JP 3762304 B2 JP3762304 B2 JP 3762304B2
Authority
JP
Japan
Prior art keywords
film
group
dielectric constant
insulating film
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002008476A
Other languages
English (en)
Other versions
JP2002256434A (ja
JP2002256434A5 (ja
Inventor
ルイーズ ビンセント ジーン
レオナルド オニール マーク
ポール ウィザース,ジュニア ハワード
エドワード ベック スコット
ニコラス ビルティス レイモンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27116954&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3762304(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US09/944,042 external-priority patent/US6583048B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2002256434A publication Critical patent/JP2002256434A/ja
Publication of JP2002256434A5 publication Critical patent/JP2002256434A5/ja
Application granted granted Critical
Publication of JP3762304B2 publication Critical patent/JP3762304B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

【0001】
【発明の属する技術分野】
本発明は、基材上に低誘電率の膜を形成する方法と、この方法により形成した膜に関する。
【0002】
【従来の技術及び発明が解決しようとする課題】
電子産業は,回路と、集積回路(IC)や関連電子デバイスの構成部品との間の絶縁層として、誘電材料を使用している。配線寸法は、微小電子デバイス(例えばコンピュータチップ)の速度と記憶容量を増加させるために、低下している。マイクロチップ寸法は、過去10年間で、以前は約1μmであった配線幅が0.18μmに低下するといったようにかなり低下しており、次の5〜10年で0.10〜0.05μmほどに細くなると予測されている。配線寸法が低下するにつれ、チップの構成部品間の信号のクロスオーバー(クロストーク)を防ぐ必要性がずっとはるかに厳しくなる。これらの必要性は、RCの表現でまとめて表すことができ、ここでRは導電性配線の抵抗であり、Cは絶縁用誘電体中間層の静電容量(キャパシタンス)である。Cは、間隔に逆比例し、層間絶縁膜(ILD)の誘電率(k)に比例する。このように、間隔を縮めることは、許容可能なRCを維持するのにより小さな誘電率kを必要とする。
【0003】
歴史的には、層間絶縁膜としては誘電率が4.2〜4.5のシリカ(SiO2)が使用されてきた。ところが、0.18μm未満の配線寸法では、シリカはもはや許容可能ではなく、kが2.4〜3.3以下の層間絶縁膜が必要である。
【0004】
低誘電率の層間絶縁膜を作る二つの一般的なアプローチは、スピンオンと化学気相成長(CVD)である。両方の方法とも低誘電率の層間絶縁膜を生じさせることができるとは言え、CVD法には既存のツールセットを利用できるという利点がある。CVDのもう一つの利点は、CVDで生成される膜がいくつかのスピンオン法で生成される有機高分子膜と比べてシリカ様の構造であるために、組み込むのがより簡単なことである。CVDはまた、スピンオン法よりもコンフォーマリティと絶縁膜埋め込み能力が良好であると思われる。
【0005】
CVDチャンバー内の反応性ガスを解離又は活性化させる現行のより抜かれた方法は、基板より上方の反応帯域においてRF結合プラズマ(coupled plasma)を使うことによるものであり、例えば国際公開第99/41423号パンフレットに記載されるようなものである。プラズマ化学気相成長(PECVD)では、解離と堆積のために必要とされる温度は典型的に100℃と400℃の間であり、それは熱CVDに必要とされる温度よりも概して低い。
【0006】
SiH4又はTEOS(Si(OCH2CH34、テトラエチルオルトシリケート)とO2とから製造される通常のシリカ(SiO2)CVD誘電体膜は、誘電率kが4.0より高い。より低誘電率のシリカ系CVD膜を製造するのに産業界で試みられている方法がいくつかあり、最もうまくいっているのは、絶縁膜に炭素原子、フッ素原子、又は炭素とフッ素とを含有している有機基をドープすることである。炭素をドープしたシリカは、一般式がSiabcd(この式において、a+b+c+dの原子%=100%、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%)であり、ここではオルガノシリケートガラスあるいはOSGと称することにする。フッ素と炭素をドープしたシリカは、一般式がSiabcde(この式において、a+b+c+d+eの原子%=100%、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、そしてe=0.1〜25%)であり、F−OSGと称することにする。最終の層間絶縁膜における炭素、ケイ素、酸素、フッ素及び水素の比率と構造的配置は、選ばれた前駆物質、酸化剤、そして例えばRFパワー、ガス流量、滞留時間及び温度等のCVDプロセス条件に依存する。
【0007】
シリカに炭素原子又は有機基をドープすると、得られた誘電体膜のkがいくつかの理由から低下する。メチル基などのような有機基は疎水性であり、従って組成にメチル基又は他の有機基を加えると得られたCVD成長膜を湿分での汚染から保護する働きをすることができる。メチル基あるいはフェニル基のような有機基を取り入れるのも、シリカの構造を「広げる」のに役立つことができ、ことによっては、かさばるCHx結合で空間を満たすことを通じて低密度をもたらすことができる。有機基はまた、何らかの官能基をOSGへ取り込むことができることから、有用であり、このときには後に「燃え尽き」又は酸化されて、本質的にkが小さいより多孔質の物質を生成する。空隙又は細孔を低誘電率の材料に取り入れると、多孔度の量に比例して誘電率が低下することになる。これは有益ではあるが、膜に取り入れられた多孔度の量は細孔の導入が膜の機械的特性に及ぼす有害な影響とのバランスをとらなくてはならない。こうして、多孔度の最適な量は材料に依存するものとなる。
【0008】
層間絶縁膜にフッ素をドープすることは、分極率を低くし、こうしてkを小さくする。フッ素含有の有機基、例えばCF3といったものは、非常に疎水性であり、そのためそれらの存在することもシリカを湿分による汚染から保護するのに役立つ。
【0009】
フッ素化したシリカ材料には非常に高い温度(最高で500℃に至る)に耐えるのに必要な熱及び機械的安定性があるとは言うものの、これらの材料の特性(例えば水分吸着が少ないことや、機械的特性)は、材料中に大量のフッ素を取り入れた場合折衷されることになりやすい。フッ素化した有機材料、例えばポリ(テトラフルオロエチレン)などは、2.0以下に至るまでの小さなk値を持つにも関わらず、集積回路の製造に伴う後の処理工程の間に遭遇する温度に対して十分な安定性を示してはいない。有機ポリマーは一般に、現行の条件下での処理に十分な機械的強さを具備していない。また、フルオロカーボンポリマーも、そのほかの欠点、例えば密着性が不十分である、高温で金属と反応する可能性がある、そして場合により高温で剛性が不十分である、といった欠点を示すことがある。
【0010】
層間絶縁膜に炭素を取り入れる一つの方法は、PECVD反応におけるケイ素源としてメチルシラン類(CH3xSiH4-xといったようなオルガノシランを使用することによるものである。国際公開第99/41423号パンフレットと米国特許第6054379号明細書には、メチル基とSi−H結合を含むケイ素化合物と亜酸化窒素(N2O)酸化剤との反応で、炭素含有量が1〜50原子%で低誘電率のSiOC膜の得られることが記載されている。
【0011】
米国特許第6159871号明細書には、k値の低いOSGを与えるのに適したCVDオルガノシラン前駆物質としてメチルシラン類(CH3xSiH4-x(xは1〜4)が開示されている。
【0012】
M.J.Lobodaらによる論文“Deposition of Low−K Dielectric films using Trimethylsilane”,Electrochemical Soc.Proc.,Vol.98−6,pp145−152には、PECVDプロセスでトリメチルシランを使ってkが2.6〜3.0の膜を得ることが記載されている。
【0013】
その他の特許文献に、誘電体膜(絶縁膜)を製造するのにフェニル基又はビニル基含有のオルガノシラン前駆物質を用いることが記載されている。例えば、米国特許第5989998号明細書には、例えば(C65xSiH4-x又は(CH=CH)xSiH4-x(xは1、2又は3)と、酸化性ガスとから、PECVDでkの小さな膜を作製することが開示されている。国際公開第99/38202号パンフレットには、フェニル又はメチルシランと酸化剤としての過酸化水素とから、当該ケイ素化合物と酸化剤との会合を促進するために酸素を加えて、誘電体膜を成長させることが開示されている。
国際公開第99/41423号パンフレットとヨーロッパ特許出願公開第935283号明細書には、PECVDで製造されるOSG膜の前駆物質として、例えばH(CH32SiOSi(CH32H、(CH33SiOSi(CH33、及び環式の(−OSiH(CH3)−)4などのシラン類が開示されている。
【0014】
シリルエーテル類(アルコキシシラン類)も誘電体膜のための前駆物質として開示されている。ヨーロッパ特許出願公開第935283号明細書には、(CH32Si(OCH32や(CH3)(C65)Si(OCH32などの、メトキシシランやエトキシシランが開示されている。米国特許第6086952号明細書には、反応性p−キシレンをケイ素−酸素結合と少なくとも2つのペンダント炭素−炭素二重結合を持つ1種以上のコモノマー、例えばテトラアリルオキシシシランなどと混ぜることにより、薄いポリマー層を形成する方法が開示されている。
【0015】
米国特許第6171945号明細書には、「レイビル」配位子、例えばホルミル又はグリオキシル基、を備えたオルガノシランを、基材表面で過酸化物化合物と反応させ、次にアニールすることにより取り外して、多孔質の層間絶縁膜を得ることが開示されている。
【0016】
米国特許第6054206号明細書には、オルガノシランと酸化剤を使って膜を成長し、続いて膜中の有機成分をO2プラズマで除去して、多孔質のシリカ材料を作ることが開示されている。
【0017】
F−OSGは、一般には、メチル又はフェニルC−F結合を持つオルガノシラン前駆物質を使用するCVDにより作製される。例えば、国際公開第99/41423号パンフレットには、sp3混成C−F結合を持つ多数のオルガノシラン前駆物質、例えば(CF3)SiH3の如きもの、のためにPECVDを使用することが開示されている。
【0018】
特開平11−111712号公報には、(CF3)Si(CH33の成長で膜を作り、それに続くO2での熱処理でkが2.5〜2.6の絶縁膜を得ることが記載されている。
【0019】
米国特許第6020458号明細書には、sp3混成C−F結合、例えば(C65)SiH3中のそれの如きもの、を用いることが、より強いC−F結合強度のために好ましく、得られた層間絶縁膜の熱的安定性が高くなることが教示されている。
【0020】
特開平10−88352号公報には、フッ素含有酸化ケイ素膜の前駆物質として、(R1O)nSi(OR24-n(R1はフッ素化されたアルキル鎖、R2は非フッ素化アルキル鎖)を用いる可能性が開示されている。米国特許第5948928号明細書には、フルオロアセテート置換されたシランを絶縁膜前駆物質として使用する可能性が開示されている。ところが、特開平10−88352号公報も米国特許第5948928号明細書も、フルオロシリケートガラス(FSG)の作製に関するものであり、F−OSG膜に関するものではない。
【0021】
現在知られているCVD前駆物質と対応する層間絶縁膜には不十分なところがある。一つの問題は、膜で所望される全ての原子又は官能基を、同一の前駆物質分子中に、Siabcd又はSiabcde膜(式中のa+b+c+d+eの合計の原子%=100%であり、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、e=0〜25%である)を製造するのに所望される比率で含ませることが困難なことである。前駆物質の不均質混合物を使用することができるが、これはプロセス上の理由から単一源の前駆物質ほど望ましくはない。
【0022】
成長(堆積)させた層間絶縁膜は、一部のSi−H、Si−C、C−H又はC−F結合は高温で切断されることがあるので、450℃に至るまでの温度に耐えることができるべきである。Fイオン又はラジカルの放出は膜又はほかの構成要素をエッチングしかねない。
【0023】
低誘電率の層間絶縁膜はまた、機械的強度が適当でなければならない。伝統的なSiO2に代えてkの小さな新しい膜を使用すると、その後の集積処理工程に影響が及ぶ。成長した膜は、化学的機械的平坦化(CMP)、キャップ及びバリヤ層、そしてホトレジストの付着、ストリッピング、エッチング及びアッシングを含めた後続のプロセスに持ちこたえる必要がある。
【0024】
研究から、トリメチルシラン(3MS)又はテトラメチルシラン(4MS)から製造される現在のOSG候補物質は、誘電率を2.6〜2.9の範囲に制限し、弾性率/硬さの値が4〜11/0.25〜1.4GPaであることが示されている(Lee et al.,198th Meeting of The Electrochemical Society、Oct.2000,Section H−1,Abstract No.531)。
【0025】
取り扱うのに安全であり保存寿命の長い(1年より長い)前駆物質も望ましいものである。シラン(SiH4)は自然性のガスであり、メチル、ジメチル又はトリメチルシランは全て非常に引火性のガスである。
【0026】
最後に、CVD有機ケイ素前駆物質はたやすく入手できそして生産できる必要がある。
【0027】
上述の開発にも関わらず、kの小さな誘電材料を集積回路に取り入れるのに優れた、所望の機械的及び電気的特性をうまく組み合わせた実例は、従来技術には少しも見られない。
従って、先に説明した前駆物質のような既知の前駆物質につきまとう問題を解決する低誘電率の層間絶縁膜の前駆物質が依然として必要とされている。
【0028】
【課題を解決するための手段】
本発明は、特定の有機ケイ素前駆物質を使用して、例えばプラズマCVD(PECVD)又は熱CVDといったような、化学気相成長(CVD)により、誘電率kが3.5以下、好ましくは3以下の層間絶縁膜を作製する方法に関する。本発明はまた、それから製造された膜と、この膜を使用する方法にも関する。
【0029】
低誘電率の層間絶縁膜は、OSG(Siabcd)又はF−OSG(Siabcde)膜(式中のa+b+c+d+eの原子%=100%であり、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、e=0〜25%である)のいずれかとして成長(堆積)させることができる。CVD反応器ではN2O、O2、O3又はH22などの酸化剤を使用してもよいが、前駆物質の多くは既にSi−O結合を取り入れているので、全ての場合に必要とされるわけではない。これらの特定の有機ケイ素前駆物質を使って新しい多孔質層間絶縁膜を製造することもできる。
【0030】
本発明の具体的な有機ケイ素前駆物質は、シリルエーテル類、シリルエーテルオリゴマー類、及び反応性基を含有している有機ケイ素化合物である。
【0031】
本発明のシリルエーテル類は、下記の構造式I〜VIIで示されるような構造を持つことができ、式中のxは1〜3の整数、yは1又は2、そしてzは2〜6の整数である。
【0032】
【化2】
Figure 0003762304
【0033】
1は、H、フッ素、枝分かれした又は直鎖のC1〜C6アルキル基、置換又は不置換のC3〜C8シクロアルキル基、置換又は不置換のC6〜C12芳香族基、部分的又は完全にフッ素化されたC1〜C6アルキル基、部分的又は完全にフッ素化されたC3〜C8シクロアルキル基、あるいは部分的又は完全にフッ素化されたC6〜C12芳香族基のうちの1種以上でよい。R1の例は、フッ素化されていない、部分的にフッ素化された、又は完全にフッ素化された、メチル、エチル、プロピル、イソプロピル、シクロペンチル、シクロヘキシル、メチルシクロヘキシル、フェニル、及びトリル基である。
【0034】
2は、置換又は不置換のC6〜C12芳香族基、例えばフェニル、トリル又はペンタメチルフェニル基の如きもの、C815までのフッ素化された直鎖、分岐鎖又は環式アルキル基、例えばトリフルオロメチル及びペンタフルオロエチル基の如きもの、あるいは部分的又は完全にフッ素化された芳香族基、例えばC632又はC65の如きもの、のうちの1種以上でよい。
【0035】
3は、R2、C1〜C6の線状又は枝分かれアルキル基、あるいは置換又は不置換のC3〜C8シクロアルキル基のうちの1種以上でよく、例えばメチル、シクロヘキシル、フェニル又はtert−ブチル基等でよい。
【0036】
4は、C1〜C6の線状又は枝分かれアルキル基、置換又は不置換のC3〜C8シクロアルキル基、あるいは置換又は不置換のC6〜C12芳香族基のうちの1種以上でよく、且つ部分的又は完全にフッ素化されていてもよい。R4の例は、フッ素化されていない、部分的にフッ素化された又は完全にフッ素化された、メチレン、エチレン及びフェニレン基である。
【0037】
構造式VIIを有する化合物の例は、アルコキシ配位子を有するジシラン又はトリシラン、例えばH(CH3O)(CH3)Si−Si(CH3)(OCH3)Hの如きもの、である。
【0038】
構造式IとIIは、一座アルコキシ基を有し、OR2又はOR3基当たり1つのSi−O結合がある。構造式IIIでは、R4アルコキシ基は二座配位子であることができ、R4基当たり1つのSi−C結合がある。構造式IVでは、R4は二座アルコキシ構造のものであることができ、R4基当たり2つのSi−O結合がある。構造式VとVIでは、R4アルコキシ基はSi−O結合が2個ある橋かけした構造を形成している。
【0039】
層間絶縁膜へ有機基又は有機フッ素基をドープするのに、Si−C結合とSi−H結合のみを有するシランに代えて、Si−O−C結合を持つ本発明のシリルエーテルを用いることの主要な利点は、Si−O−C結合はSi−C結合よりも形成するのに都合がよいことである。その上、シリルエーテルのための出発物質は容易に手に入り、且つ値段が高くなく、そしてシリルエーテルはシランよりも取り扱うのに安全である。本発明で説明するシリルエーテルのうちの大部分は引火性の液体である一方、シラン(SiH4)は自然性のガスであり、メチル、ジメチル又はトリメチルシランは非常に引火性のガスである。
【0040】
反応性基を有する有機ケイ素前駆物質は、一般構造式R1 4-xSiR5 xを有し、式中のxは1〜3の整数であり、R1は構造式I〜VIIについて先に説明したとおりであり、R5は反応性基である。反応性基は、一般に、最小量のエネルギーで切断することができ、ひずんでいるか又は熱力学的に好ましい形状になく、そして他の化学種とともに新たな化学結合又は架橋構造を形成する性質のある、2つ(又はそれより多く)の原子間の化学的結合として定義される。反応性基は、層間絶縁膜の熱的安定性と機械的強度とを高める成長した膜の架橋を助けることができる。反応性側基の例には、C2〜C10エポキシド、例えばエチレンオキシド又は2−エチルオキシランの如きもの、C2〜C8カルボキシレート、例えばメチルアセテート又はエチルアセテートの如きもの、C2〜C8アルキン、例えばプロピン、エチン及びフェニルエチンの如きもの、C4〜C8ジエン、例えば1,3−ブタジエン、1,4−オクタジエン又は1,3−シクロペンタジエンの如きもの、C3〜C5のひずみのある環、例えばシクロプロパン又は2−シクロブテンの如きもの、そして有機ケイ素前駆物質に立体障害あるいはひずみをもたらすことができる、C4〜C10有機基、例えばtert−ブチル、tert−ブチルオキシド又はアダマンタンの如きもの、が含まれる。反応性基を含有する有機ケイ素化合物の例は、トリメチルシリルアセチレン、1−(トリメチルシリル)−1,3−ブタジエン、トリメチルシリルシクロペンタジエン、トリメチルシリルアセテート、及びジ−tert−ブチオキシジアセトキシシランである。
【0041】
【発明の実施の形態】
本発明は、特定の有機ケイ素前駆物質を使用して、化学気相成長(CVD)により、誘電率kが3.5以下、好ましくは3以下の層間絶縁膜を作製する方法に関する。本発明の特定の有機ケイ素前駆物質は、シリルエーテル類、シリルエーテルオリゴマー類、及び反応性基を含有している有機ケイ素化合物である。
【0042】
表IとIIに、構造式I〜VIIを有する本発明のシリルエーテル類の例を提示し、それにはシリルエーテルがオリゴマーであることができるものが含まれている。
【0043】
【表1】
Figure 0003762304
【0044】
【表2】
Figure 0003762304
【0045】
【表3】
Figure 0003762304
【0046】
表IIIに、反応性側基を持つ有機ケイ素化合物の例を提示する。
【0047】
【表4】
Figure 0003762304
【0048】
【表5】
Figure 0003762304
【0049】
本発明の有機ケイ素前駆物質は商業的に入手可能であるか、あるいは周知の方法により調製することができる。
商業的に入手できる前駆物質の例は、フェノキシトリメチルシラン、ジメトキシメチルシラン、3−グリシドキシプロピルトリメトキシシラン、ビス(トリメチルシリル)アセチレン、1−(トリメチルシロキシ)−1,3−ブタジエン、トリメチルシリルシクロペンタジエン、及びジ−tert−ブトキシジアセトキシシランである。
【0050】
一般に、Si−OR結合を持つ化合物、例えば構造式タイプI〜VIIにおけるものの如きものは、Si−Xと所望のR基を有するアルコールROHとの交換反応から手に入れることができる(Eaborn,OrganosiliconCompounds,Academic Press Inc.,1960,p288)。原子団Xは、通常は、Clなどのハロゲン、CH3Oなどのアルコキシド、又はRNHなどの別のトランスファー基である。例えば、CF3CH2OSi(CH33は、CF3CH2OHと((CH33Si)2NHから90%より高い収率で調製することができ、NH3が唯一の副生物である(N.R.Patel et al.,Inorganic Chemistry,1994,vol.33,pp5465−5470)。同様に、環式化合物の(CH2)(CH2O)2Si(CH32は、(CH32Si(OCH32と1,3−プロパンジオールとの反応から調製することができ、副生物はメタノールとして取り除かれる(R.H.Krieble et al.,J.chem.Soc.,1947,vol.69,pp2689−2692)。橋かけした錯体も、ジオールから、例えばヒドロキノンと(CH33SiClとが反応して1,4−((CH33SiO)2(C64)とHClを生成する反応などで、調製される。
【0051】
構造式タイプI〜VIIのシリルエーテルを用いるのは、いろいろな熱又はプラズマCVD法で成長後に成長した膜中のSi−O−Rのうちの有機部分を取り除き、空隙(ボイド)のある膜を得ることができるので、多孔質の膜を形成するときに有利なこともある。
【0052】
理論にとらわれるわけでなく、二座配位シリルエーテル(構造式IV)を前駆物質として用いると反応工程において、最終的に成長膜中に細孔を取り込むことになって低密度をもたらし、従って低誘電率をもたらす種の成長を促進する種が生成することになるものと思われる。二座配位シリルエーテルの反応は、より大きな環、例えば次に示す二量体のようなものが生成することにより、行うことができる。
【0053】
【化3】
Figure 0003762304
【0054】
この種の環拡張は、ケイ素、炭素及び酸素原子を開放構造中に本質的に持ち込むことにより細孔を取り入れるのを助けることができる。
【0055】
構造式タイプIII又はIVの環式二座配位シリルエーテルのもう一つの魅力的な側面は、7未満の大きさの環は高温において下式で例示される開環重合を招く環のひずみを有することである。
【0056】
【化4】
Figure 0003762304
【0057】
この開環は、成長工程の際に架橋を促進することができ、こうして高い機械的強度と熱安定性を持った膜を形成することができる。開環は、膜成長の際のRFプラズマのエネルギー又は反応温度により制御することができる。
【0058】
構造式タイプVとVIの橋かけしたシリルエーテルを用いるのも、いろいろな熱又はプラズマ法での成長後に成長膜中のSi−O−R−O−Siのうちの有機部分を取り除き、空隙を持った膜を得ることができるので、有利である。
【0059】
構造式タイプVIIのシリルエーテルオリゴマーを用いるのは、前駆物質中のSi−Si結合が成長中にシリレン又はシリル基といったような非常に反応性の種を生成する可能性があるので、層間絶縁膜前駆物質として有利である。これらの非常に反応性の種は、最終の膜での重合と架橋を助けることができる。
【0060】
反応性基を含有する有機ケイ素前駆物質、例えばエポキシド類、ジエン類、アルキン類、及びカルボキシレート類といったものを用いるのは、基材上での膜の重合を助けることができる。例えば、不飽和の炭素−炭素結合は架橋し、そしてエポキシドはSi−O結合の形成に関与することができる。これらの前駆物質から得られる膜は、膜の安定性と強度を高める架橋した又は重合した置換基を持つOSGである。
【0061】
上で説明した有機ケイ素前駆物質を使用するPECVDによるOSG又はF−OSG絶縁膜の作製では、有機ケイ素化合物は好ましくは液体、又は大気圧での沸点が約250℃未満のガスである。有機ケイ素化合物は、テトラエチルオルトシリケート(TEOS)を導入するのに一般に使用されるのと同様の装置の反応室へ、気相でもって導入される(米国再発行特許第36623号明細書)。層間絶縁膜中のSiabcde構成成分の比率は、ケイ素、酸素、水素、炭素及びフッ素の特定の比率を持ったシリルエーテル前駆物質を選定することにより調整することができる。(C65O)SiH3やCF3CH2OSi(CH33といったような前駆物質では、OSG又はF−OSG膜のために必要な原子の全てが1つの分子中に存在している。膜の組成は、オルガノシラン材料の成長速度によっても規定される。
【0062】
不活性のキャリヤガスが、有機ケイ素前駆物質を導入するのに随意に使用される。キャリヤガスの例は、ヘリウム、アルゴン、クリプトン、ネオン、及び窒素である。
【0063】
酸化剤、例えば酸素(O2)、オゾン(O3)、亜酸化窒素(N2O)、酸化窒素(NO)、二酸化窒素(NO2)、四酸化二窒素(N24)及び/又は過酸化水素(H22)などを、随意に加えることができるが、有機ケイ素前駆物質が酸素とSi−O結合を有する場合には必要ないこともある。
【0064】
1種以上のフッ素供給ガスを、反応時の又は後の処理時の添加剤として使用してもよい。フッ素供給ガスの例は、CF4、C26、C46、及びC66である。
【0065】
単一種の分子が2以上の前駆物質ガスとして、例えば酸素供給ガス及びフッ素供給ガスとして機能するのは、本発明の範囲内である。すなわち、前駆物質ガス、酸素供給ガス及びフッ素供給ガスは、必ずしも3つの別々のガスではない。例えば、ジメトキシメチルフルオロシラン又はトリフルオロエトキシジメチルシランを使ってケイ素、炭素、酸素及びフッ素を供給することが可能である。単一のガスを使って前駆物質且つ酸素供給ガスとして働くようにすること(例えば、おのおのが炭素、酸素及びケイ素を供給するジメトキシメチルシラン、ジエトキシメチルシラン)、また、単一のガスを使って前駆物質且つフッ素供給ガスとして働くようにすること(例えば、炭素、フッ素及びケイ素を供給するトリメチルフルオロシラン)も可能である。
【0066】
ここでは時として「気体の(あるいはガスの)」という用語が化学物質を説明するのに用いられが、この用語は、反応器へガスとして直接供給される、気化した液体として供給される、昇華した固体として供給される、及び/又は反応器へ不活性キャリヤガスにより移送される化学物質を包含しようとするものである。
【0067】
一定の態様においては、異なる有機ケイ素前駆物質の混合物が組み合わせて用いられる。異なる有機ケイ素前駆物質の組み合わせとともにあるいはそれとは別個に、異なるフッ素供給ガスの組み合わせ及び/又は異なる酸素供給ガスの組み合わせを使用するのも、本発明の範囲内である。更に、フッ素化された有機ケイ素前駆物質(フッ素及び/又は炭素を供給するためのもの)を非フッ素化有機ケイ素前駆物質(炭素を供給すためのもの)とともに使用するのも、やはり本発明の範囲内である。
【0068】
有機ケイ素前駆物質、そして随意に酸素供給ガス、のほかに、成長反応の前、その間及び/又はその後で真空室へ追加の物質を導入することができる。そのような物質には、反応性物質、例えば気体の又は液体の有機物質、NH3、H2、CO2、CO、あるいはフルオロカーボン類が含まれる。有機物質の例は、CH4、C26、C24、C22、C38、ベンゼン、ナフタレン、トルエン、そしてスチレンである。
【0069】
単一の処理工程が好ましいとは言え、多くの場合、成長後に膜を後処理することもやはり本発明の範囲内である。そのような後処理には、膜特性の一つ以上を向上させるための熱処理、プラズマ処理、及び化学的処理のうちの少なくとも1つが含まれる。例えば、熱による後処理は、何らかの有機物質を除去することによってより小さな誘電率をもたらすことができる。
【0070】
気体の化学物質には、気体を反応させそして基材上に膜を形成させるために、エネルギーが適用される。そのようなエネルギーは、例えばプラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、及びリモートプラズマ法により、供給することができる。副次的な高周波(RF)源を使用して基材表面でのプラズマ特性を変更することができる。
【0071】
気体化学物質のおのおのの流量は、単一の200mmウエハー当たり、好ましくは10〜5000sccm、より好ましくは200〜2000sccmの範囲にある。液体の化学物質の流量は、0.1〜10g/min、好ましくは0.5〜3g/minの範囲内にある。個々の流量は、膜中のケイ素、炭素、酸素、水素等の所望の量と比率を提供するように選ばれる。必要とされる実際の流量はウエハーの大きさと真空室(チャンバー)の形状寸法に依存し、そして決して200mmウエハーあるいは単一ウエハーの真空室に限定されない。
【0072】
膜は、少なくとも50nm/minの成長速度で成長させるのが好ましい。
成長の際の真空室の圧力は好ましくは0.0013〜101kPa(0.01〜760Torr)、より好ましくは0.13〜2.7kPa(1〜20Torr)である。
【0073】
膜は、好ましくは0.002〜10μmの厚さに成長させるが、とは言え厚さは必要に応じ変えることができる。非パターン化表面に成長させたブランケット膜は均一性が優れており、厚さの変動は、当然ながらへり部分を除き、例えば基材の一番外側のへりの10mmを均一性の統計計算に含めずに、基板のさしわたしで1標準偏差で2%未満である。
【0074】
膜の多孔度は、かさ密度を低下させるにつれ相応して上昇させることができ、材料の誘電率を更に低下させてこの材料の応用可能性を将来の世代に向けて更に広げることができる(例えば2.5未満の誘電率k)。
【0075】
例えばApplied MaterialsのDxZチャンバー(200mm)で製造される、kの小さな膜の典型的な成長では、流量は前駆物質(蒸気又はガス状態)が10〜1000sccmの範囲に、酸化剤が0〜6000sccmの範囲に、不活性ガスが0〜5000sccmの範囲にあることができる。チャンバー内での反応の促進は、一般に、典型的には100〜2000ワットのRFパワーにより、又は熱エネルギーによりなされる。膜の成長中の圧力は典型的には0.13〜2.7kPa(1〜20Torr)である。使用する材料の流量とパワーレベルは、所望される成長速度によって規定され、そしてまた最終の膜材料の組成にも影響を及ぼす。
【0076】
得られた層間絶縁膜は、3.5以下、好ましくは3以下の誘電率を持つことができる。膜中の最終の炭素含有量は、OSG及びF−OSGについて0〜35%(原子%)であるべきであり、F−OSGにおいて最終のフッ素含有量は0.1〜25%(原子%)であるべきである。これらの膜は、Si−O−C、Si−O−Si、Si−C、Si−F、Si−H、C−O、C−H及びC−Fの結合タイプのうちの1つ以上を含むことができる。
【0077】
成長した膜中のSi−F結合は必ずしも有機ケイ素前駆物質のSi−F結合に由来するとは限らず、実際のところ成膜の際のプラズマ中でのC−F結合の反応から形成されることがある、ということに注目することが重要である。
【0078】
膜の一定の態様は、シリカに比べてナノポーラスである。TEOSのPECVDで作られたシリカは、陽電子消滅寿命分光分析法(PALS)での分析により測定される、相当球体直径で約0.6nmである固有の自由容積細孔寸法を有する。小角ニュートロン散乱(SANS)又はPALSにより測定された本発明の膜の細孔寸法は、相当球体直径で好ましくは5nm以下、より好ましくは相当球体直径で2.5nm以下である。
【0079】
本発明の膜の密度は、好ましくは2g/cm3以下であり、あるいは1.5g/cm3以下である。このような低密度は、気体の化学物質にポロゲン(porogen)を加えること及び/又は堆積した材料を後処理することにより達成することができる。
【0080】
本発明の膜は、トリメチルシランやジメチルジメトキシシランといったほかの候補となる材料から作られたOSG膜に比べて特性が向上している。一定の態様では、膜の誘電率は2.5〜3.5の範囲にあって、ヤング率が3GPaより大きく及び/又はナノインデンテーション硬度が0.5GPaより高い。
【0081】
本発明の膜は熱的に安定であり、耐薬品性が良好である。
これらの膜は様々な用途に適している。これらの膜は半導体基材上での成長に特に適しており、また、例えば集積回路における絶縁層、層間絶縁層、金属間誘電体層、キャッピング層、化学的機械的平坦化(CMP)又はエッチング停止層、バリヤ層(例えば金属、水、又は絶縁層において望ましくないことがあるその他の物質の拡散に対する)、及び/又は密着層として使用するのに特に適している。これらの膜は、コンフォーマルコーティングを形成することができる。これらの膜が示す機械的特性は、それらをAlサブトラクティブ手法及びCuダマシン手法で使用するのに特に適したものにする。
【0082】
本発明の膜は、化学的機械的平坦化及び異方性エッチングに対する適合性があり、様々な材料、例えばケイ素(シリコン)、SiO2、Si34、OSG、FSG、炭化ケイ素、反射防止コーティング、ホトレジスト、有機ポリマー、多孔質の有機及び無機材料、銅やアルミニウム等の金属、そして金属バリヤ層といったものに、密着することができる。
【0083】
本発明は膜を提供するのに特に適し、そして本発明の製造品はここでは主として膜として説明されてはいるが、本発明はそれらに限定はされない。本発明の製造品は、CVDにより成長させることができる任意の形態で、例えばコーティング、積層集成品、そして必ずしも平坦であるとは又は薄いとは限らないその他のタイプの物品等の形で、また必ずしも集積回路で使用されるとは限らない多数の物品の形で、提供することができる。
【0084】
以下の例を検討することにより本発明を更に説明するが、これらの例は純粋に、本発明の利用を例示するものである。
【0085】
【実施例】
全ての実験は、Applied MaterialsのPrecision−5000装置により、未ドープのTEOSプロセスキットを使って、Advance Energyの2000RF発生器を備えた200mmのDxZチャンバー内で行った。手順に含まれる基本工程は、ガス流量の初期設定及び安定化工程、RFエネルギーを印加してプラズマを発生させそして成長を引き起こす工程、そしてパージと排気をしてからウエハーを取り出す工程、であった。その後、各成長後にチャンバーを、その場でのC26+O2でのクリーニングにより清浄にし、続いてチャンバーのシーズニング工程を行った。
【0086】
低抵抗率のp−型ウエハー(<0.02Ω・cm)についてHgプローブ手法を使って誘電率を測定した。高抵抗率ウエハーについて透過赤外分光分析を行い、全データを膜厚を基に標準化した。厚さと屈折率は、反射計により5点の平均値を使って測定した。密着力はテープ引張り試験により測定した。機械的特性(例えばヤング率、ナノインデンテーション硬度)は、製造者により標準化されたMTS Nano Indenterを使って測定した。組成データは、30秒間Arスパッタ後のX線光電子分光分析(XPS)により得た。表で報告される原子%の値は、ラザフォード後方散乱−水素前方散乱(RBS−HFS)により測定した水素比が含まれている。
【0087】
下記の表IVに示される本発明の例は、構造式IIの有機ケイ素前駆物質(R3O)ySiHR1 (3-y)、具体的にはジエトキシメチルシランを基にしている。
【0088】
比較例1、2は、米国特許第6159871号明細書、同第6054379号明細書、及び国際公開第99/41123号パンフレットの教示に従って作ったものであり、下記の表Vに示される。比較例は、ジメチルジメトキシシラン(DM−DMOS)、すなわち構造式IIの前駆物質と同様であるが、構造式IIの前駆物質の種々の特徴、例えばSi−H官能性など、のない物質について表VIにも示されている。
【0089】
【表6】
Figure 0003762304
【0090】
【表7】
Figure 0003762304
【0091】
【表8】
Figure 0003762304
【0092】
表IV、V、VIに提示したデータは、ジエトキシメチルシラン(構造式IIの有機ケイ素前駆物質)は思いも寄らぬことに、比較例の前駆物質(トリメチルシラン及びジメチルジメトキシシラン)と比べて、ヤング率とナノインデンテーション硬度により示されるとおり優れた機械的特性を示したことを示している。例えば、本発明の例2(k=2.90)を比較例1(k=2.85)及び比較例4(k=2.88)と比べると、本発明の例2はヤング率が16.5、ナノインデンテーション硬度が2.8であるのに対し、比較例1のヤング率は8.76、硬度は1.44、比較例4のヤング率は6.68、硬度は1.2である。本発明の例2の場合、処理手順において酸化剤タイプのガスは何も取り入れておらず、必然の結果として最終の膜中の酸素(O)は全て有機ケイ素前駆物質のアルコキシ官能基からのもののようであることに注目すべきである。同様に、本発明の例1〜3を比較例2、3、4と比較すると、本発明の例は思いも寄らぬことに、ジメチルジメトキシシランから製造された比較例よりもずっと優れた機械的特性を示した。
【0093】
誘電率kに関し最良の事例のおのおのを比べると、例えば本発明の例2を比較例1及び4と比べると、本発明の例2は思いも寄らぬことに、本質的に同等の誘電率値においてずっと優れた機械的特性を示した。
【0094】
本発明の例2を比較例1と比べると、本発明の材料はCの含有量が少なくOの含有量が多いことが分かる。本発明の例2における増加したOの含有量は、より良好な網状組織構造の形成に起因しているようであり、こうして向上した機械的特性のもとになっているのであろう。
本発明の例2についてH:C比を比較例1と比べると、本発明の例2ではCに比べたHの量がかなり上昇していることが示される。
【0095】
透過赤外スペクトルを、本発明の例1〜3と比較例1について図1に示す。これらのスペクトルから集められたデータを表VIIに示す。これらのデータは、本発明の例における残留Si−H(≒2200cm-1)及びO−H(≒3700cm-1)官能性は比較例の残留Si−H及びO−H官能性と等しいか又はそれ未満であったことを示している。
【0096】
理論に縛られるのを意図するのでなく、発明者らは、本発明の例の場合炭素は本質的に全てがメチル官能基(すなわち−CH3)の形にあり、高いH:C比の原因になっているに違いないと推論する。発明者らはまた、Si:C比(≒2)を基に、ほぼSiの1つおきに−CH3がそれに結合しているものと推論する。比較例について言うと、CH3、CH2、CH、及びSi−C(直接結合したHのない無機炭素)を含めた、Hを置換された全範囲のCの集団の量が様々になることができるので、そうであるとは限らない。これは、H:C比が、Cが本質的に全て−CH3の形にあるための3という最適H:C比よりはるかに小さいことの理由になっているのかもしれない。
【0097】
【表9】
Figure 0003762304
【0098】
オルガノシラン前駆物質としてジメトキシメチルシラン(DMOMS)を使用するのに基づく本発明の期待される例を、200mmシリコンウエハー基板について下記の表VIIIに示す。
【0099】
【表10】
Figure 0003762304
【0100】
予想されるk値は2.7〜3.0の範囲内であり、ヤング率は約15GPa、ナノインデンテーション硬度は約2GPaである。
【0101】
オルガノシラン前駆物質としてフェノキシジメチルシラン(PODMS)を使用するのに基づく本発明の期待される例を、200mmシリコンウエハー基板について下記の表IXに示す。
【0102】
【表11】
Figure 0003762304
【0103】
予想されるk値は2.7〜3.0の範囲内であり、ヤング率は約15GPa、ナノインデンテーション硬度は約2GPaである。
【0104】
オルガノシラン前駆物質としてジ−tert−ブトキシメチルシラン(DTBMS)を使用するのに基づく本発明の期待される例を、200mmシリコンウエハー基板について下記の表Xに示す。
【0105】
【表12】
Figure 0003762304
【0106】
予想されるk値は2.7〜3.0の範囲内であり、ヤング率は約15GPa、ナノインデンテーション硬度は約2GPaである。
【0107】
オルガノシラン前駆物質としてジメチルジオキソシリルシクロヘキサン(DM−DOSH)を使用するのに基づく本発明の期待される例を、200mmシリコンウエハー基板について下記の表XIに示す。
【0108】
【表13】
Figure 0003762304
【0109】
予想されるk値は2.7〜3.0の範囲内であり、ヤング率は約15GPa、ナノインデンテーション硬度は約2GPaである。
【0110】
本発明を詳細に、且つ具体的な例を参照して説明したが、その精神と範囲とから逸脱することなく様々な変更や改変を行うことができることは、当業者には明らかであろう。
【図面の簡単な説明】
【図1】明確にするため吸光度を膜厚とベースラインオフセットに対して標準化し比較1と本発明の例1、2、3についてのIRスペクトルを示す図である。

Claims (28)

  1. 低誘電率の層間絶縁膜を形成する方法であって、基材上に膜を成長させるのに十分な化学気相成長条件下で有機ケイ素前駆物質を、随意に1種以上の追加の反応性物質と一緒に、反応させて、約3.5以下の誘電率を有する層間絶縁膜を形成することを含み、当該有機ケイ素前駆物質が、下記の構造式I〜IIIのうちの1つ以上で表される1種以上のシリルエーテル、又は構造式IVで表されるシリルエーテルオリゴマーを含み、当該層間絶縁膜が式Si a b c d e で表され、原子%基準で、a+b+c+d+e=100%となるように、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、そしてe=0〜25%である、低誘電率層間絶縁膜の形成方法。
    Figure 0003762304
    (式中、xは1〜3の整数、yは1又は2、zは2〜6の整数であり、
    1は、H、フッ素、枝分かれした又は直鎖のC1〜C6アルキル基、置換又は不置換のC3〜C8シクロアルキル基、置換又は不置換のC6〜C12芳香族基、部分的又は完全にフッ素化されたC1〜C6アルキル基、部分的又は完全にフッ素化されたC3〜C8シクロアルキル基、あるいは部分的又は完全にフッ素化されたC6〜C12芳香族基のうちの1種以上であり、
    2は、置換又は不置換のC6〜C12芳香族基、部分的又は完全にフッ素化された直鎖又は枝分かれ鎖のC1〜C6アルキル基、部分的又は完全にフッ素化されたC3〜C8シクロアルキル基、あるいは部分的又は完全にフッ素化されたC6〜C12芳香族基のうちの1種以上であり、
    3は、R2、C1〜C6の線状又は枝分かれしたアルキル基、あるいは置換又は不置換のC3〜C8シクロアルキル基のうちの1種以上であり、
    4は、C1〜C6の直鎖又は枝分かれしたアルキル基、置換又は不置換のC3〜C8シクロアルキル基、置換又は不置換のC6〜C12芳香族基、部分的又は完全にフッ素化されたC1〜C6の直鎖又は枝分かれしたアルキル基、部分的又は完全にフッ素化されたC3〜C8シクロアルキル基、あるいは部分的又は完全にフッ素化されたC6〜C12芳香族基である)
  2. 低誘電率の層間絶縁膜を形成する方法であって、基材上に膜を成長させるのに十分な化学気相成長条件下で有機ケイ素前駆物質を、随意に1種以上の追加の反応性物質と一緒に、反応させて、3.5以下の誘電率を有する層間絶縁膜を形成することを含み、当該有機ケイ素前駆物質が、C2〜C10エポキシド、C2〜C8カルボキシレート、C2〜C8アルキン、C4〜C8ジエン、C3〜C5のひずみのある環式基、及び当該有機ケイ素前駆物質に立体障害もしくはひずみをもたらすことができるC4〜C10基からなる群より選ばれる1以上の反応性側基を有する1種以上の有機ケイ素化合物を含み、当該層間絶縁膜が式Si a b c d e で表され、原子%基準で、a+b+c+d+e=100%となるように、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、そしてe=0〜25%である、低誘電率層間絶縁膜の形成方法。
  3. 前記有機ケイ素化合物を、フェノキシトリメチルシラン、2−tert−ブチル−フェノキシトリメチルシラン、ジメチルエトキシシラン、ジメトキシメチルシラン、1,2−ビス(トリメチルシロキシ)エタン、1,4−ビス(トリメチルシロキシ)ベンゼン、1,2−ビス(トリメチルシロキシ)シクロブテン、1,2−ジメトキシテトラメチルジシラン、ペンタフルオロフェノキシトリメチルシラン、1,1,1−トリフルオロエトキシトリメチルシラン、1,1,1−トリフルオロエトキシジメチルシラン、ジメチオキシメチルフルオロシラン、1,2−ビス(トリメチルシロキシ)テトラフルオロエタン、1,4−(トリフルオロシロキシ)テトラフルオロベンゼン、1,1−ジメチル−1−シラ−2,6−ジオキサヘキサフルオロシクロヘキサン、1,2−ジフルオロ−1,2−ジメトキシジメチルジシラン、及びそれらの混合物からなる群より選ぶ、請求項1記載の方法。
  4. 前記有機ケイ素前駆物質を、3−グリシドキシプロピルトリメトキシシラン、トリメチルシリルアセチレン、ビス(トリメチルシリル)アセチレン、トリメチルシロキシアセチレン、1−(トリメチルシロキシ)−1,3−ブタジエン、2−(トリメチルシリル)−1,3−ブタジエン、トリメチルシリルシクロペンタジエン、4−(tert−ブチルフェニル)シラン、1,2−(ジメチルシリル)ベンゼン、トリメチルシリルアセテート、ジ−tert−ブトキシジアセトキシシラン、及びそれらの混合物からなる群より選ぶ、請求項2記載の方法。
  5. 前記誘電率が3以下である、請求項1又は2記載の方法。
  6. 前記層間絶縁膜が多孔質である、請求項1又は2記載の方法。
  7. 前記化学気相成長条件が、O2、O3、H22、N2O、及びそれらの混合物からなる群より選ばれる酸化剤の使用を含む、請求項1又は2記載の方法。
  8. 前記化学気相成長条件が酸化剤の使用を除外する、請求項1又は2記載の方法。
  9. 前記化学気相成長条件が、ヘリウム、ネオン、アルゴン、クリプトン、キセノン、窒素からなる群より選ばれる1種以上の不活性ガスの使用を含む、請求項1又は2記載の方法。
  10. 前記1種以上の反応性物質を、気体又は液体の有機物質、アンモニア、水素、二酸化炭素、一酸化炭素、フルオロカーボン、及びそれらの混合物からなる群より選ぶ、請求項1又は2記載の方法。
  11. 前記気体又は液体の有機物質が、メタン、エタン、エテン、エチン、プロパン、プロペン、ブテン、ベンゼン、ナフタレン、トルエン、スチレン、及びそれらの混合物からなる群より選ばれ、前記フルオロカーボンが、CF4、C26、C46、C66、及びそれらの混合物からなる群より選ばれる、請求項10記載の方法。
  12. 前記膜を前記基材上に、集積回路の絶縁層、層間絶縁膜層、金属間誘電体層、キャッピング層、化学的機械的平坦化又はエッチング停止層、バリヤ層、又は密着層として成長させる、請求項1又は2記載の方法。
  13. 前記化学気相成長条件がプラズマ化学気相成長の条件であり、且つ、前記1種以上のシリルエーテルが構造式IIで表される、請求項1記載の方法。
  14. 請求項1又は2記載の方法により形成された膜。
  15. 請求項13記載の方法により形成された膜。
  16. 前記膜が多孔質である、請求項14記載の膜。
  17. 小角ニュートロン散乱又は陽電子消滅寿命分光分析法で測定して、相当球体直径で5nm以下の細孔寸法を有する、請求項16記載の膜。
  18. 小角ニュートロン散乱又は陽電子消滅寿命分光分析法で測定して、相当球体直径で2.5nm以下の細孔寸法を有する、請求項16記載の膜。
  19. 2g/cm3以下の密度を有する、請求項14記載の膜。
  20. 1.5g/cm3以下の密度を有する、請求項14記載の膜。
  21. 誘電率が2.5〜3.5であり、ヤング率が3GPaより高く及び/又はナノインデンテーション硬度が0.5GPaより高い、請求項14記載の膜。
  22. 基材上に膜を成長させるのに十分な化学気相成長条件下で、ジエトキシメチルシラン、ジメチルエトキシシラン、ジメトキシメチルシラン、ジメチルメトキシシラン、フェノキシジメチルシラン、ジフェノキシメチルシラン、ジメトキシフェニルシラン、ジエトキシシクロヘキシルシラン、tert−ブトキシジメチルシラン、及びジ(tert−ブトキシ)メチルシランからなる群より選ばれる1種以上のシリルエーテルを含む有機ケイ素前駆物質を反応させて、約3.5以下の誘電率を有する層間絶縁膜を形成することを含み、当該層間絶縁膜が式Si a b c d e で表され、原子%基準で、a+b+c+d+e=100%となるように、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、そしてe=0〜25%である、低誘電率層間絶縁膜形成方法。
  23. 前記化学気相成長条件がプラズマ化学気相成長の条件である、請求項22記載の方法。
  24. 前記プラズマ化学気相成長の条件が、二酸化炭素、アルゴン、ヘリウム、窒素、酸素、亜酸化窒素、過酸化水素及びオゾンからなる群から選ばれる1種以上のガスの使用を含む、請求項23記載の方法。
  25. 前記有機ケイ素前駆物質がジエトキシメチルシランである、請求項23記載の方法。
  26. 前記プラズマ化学気相成長の条件が二酸化炭素又はヘリウムの使用を含む、請求項25記載の方法。
  27. 二酸化炭素又はヘリウムの存在下に、基材上に膜を成長させるのに十分なプラズマ気相成長条件下で、ジエトキシメチルシランを反応させて約2.5〜3.5の誘電率を有する層間絶縁膜を形成することを含み、当該層間絶縁膜が式Si a b c d e で表され、原子%基準で、a+b+c+d+e=100%となるように、a=10〜35%、b=1〜66%、c=1〜35%、d=0〜60%、そしてe=0〜25%である、低誘電率層間絶縁膜形成方法。
  28. 請求項22,23,25及び27のいずれか一つに記載の方法により形成された膜。
JP2002008476A 2001-01-17 2002-01-17 低誘電率層間絶縁膜の形成方法 Expired - Lifetime JP3762304B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US76126901A 2001-01-17 2001-01-17
US09/761269 2001-01-17
US09/944,042 US6583048B2 (en) 2001-01-17 2001-08-31 Organosilicon precursors for interlayer dielectric films with low dielectric constants
US09/944042 2001-08-31

Publications (3)

Publication Number Publication Date
JP2002256434A JP2002256434A (ja) 2002-09-11
JP2002256434A5 JP2002256434A5 (ja) 2004-08-05
JP3762304B2 true JP3762304B2 (ja) 2006-04-05

Family

ID=27116954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002008476A Expired - Lifetime JP3762304B2 (ja) 2001-01-17 2002-01-17 低誘電率層間絶縁膜の形成方法

Country Status (8)

Country Link
EP (1) EP1225194B2 (ja)
JP (1) JP3762304B2 (ja)
KR (1) KR100447684B1 (ja)
CN (2) CN1240780C (ja)
DE (1) DE02001014T1 (ja)
IL (1) IL147609A (ja)
SG (1) SG98468A1 (ja)
TW (1) TWI235428B (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6784123B2 (en) 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
EP1448807A4 (en) * 2001-10-30 2005-07-13 Massachusetts Inst Technology FLUORO CARBON ORGANOSILICIUM COPOLYMERS AND COATINGS MADE ACCORDING TO THE HFCVD PROCEDURE
KR101227664B1 (ko) 2002-01-31 2013-01-29 도소 가부시키가이샤 유기실란화합물을 포함하여 구성되는 절연막용 재료, 그 제조방법 및 반도체장치
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP4338495B2 (ja) 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4882893B2 (ja) * 2002-10-30 2012-02-22 富士通セミコンダクター株式会社 半導体装置の製造方法
TWI282124B (en) 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
JP4591651B2 (ja) * 2003-02-27 2010-12-01 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) * 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
JP4854938B2 (ja) * 2004-07-06 2012-01-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2006024670A (ja) 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
JP4489618B2 (ja) 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
EP2046893A2 (en) * 2006-08-04 2009-04-15 Dow Corning Corporation Silicone resin and silicone composition
US7718553B2 (en) 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
JP2010515804A (ja) * 2007-01-12 2010-05-13 ウオーターズ・テクノロジーズ・コーポレイシヨン クロマトグラフ分離用多孔質炭素−ヘテロ原子−ケイ素ハイブリッド無機/有機材料およびその調製のための方法
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
JP2009084329A (ja) * 2007-09-28 2009-04-23 Sumitomo Bakelite Co Ltd 樹脂組成物、樹脂膜および半導体装置
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5396837B2 (ja) * 2008-06-27 2014-01-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
TWI400534B (zh) 2009-07-15 2013-07-01 Chunghwa Picture Tubes Ltd 薄膜電晶體光感測器以及製作氟矽氧碳氫化合物介電層之方法
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
CN101989631B (zh) * 2009-07-31 2013-01-16 华映视讯(吴江)有限公司 薄膜晶体光传感器、制作氟硅氧碳氢化合物介电层的方法
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
CN102350825B (zh) * 2011-05-30 2014-03-26 周涛 水热法制备含氟高聚物高频线路板材料的工艺方法
JP5387627B2 (ja) * 2011-07-28 2014-01-15 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN104650138A (zh) * 2015-03-06 2015-05-27 苏州阳桥化工科技有限公司 阻燃剂二甲基-1,3-丙二氧基环硅烷化合物的制备方法
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
CN107523809B (zh) * 2017-08-23 2019-06-25 江苏菲沃泰纳米科技有限公司 一种有机硅硬质纳米防护涂层的制备方法
US10910216B2 (en) * 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
CN109957788A (zh) * 2017-12-22 2019-07-02 西尔科特克公司 含氟热化学气相沉积方法和制品
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
CN110158052B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
JP6993394B2 (ja) 2019-08-06 2022-02-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物及びケイ素化合物を使用してフィルムを堆積する方法
WO2021050798A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
WO2022245742A1 (en) * 2021-05-19 2022-11-24 Versum Materials Us, Llc New precursors for depositing films with high elastic modulus
CN115400930A (zh) * 2021-05-26 2022-11-29 江苏菲沃泰纳米科技股份有限公司 一种等离子体聚合涂层、制备方法及器件
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4988573A (en) * 1988-07-14 1991-01-29 Tdk Corporation Medium related members
CA2048168A1 (en) * 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JP3293934B2 (ja) 1992-10-08 2002-06-17 株式会社村田製作所 チップ型圧電部品
US5532191A (en) 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
JPH1092810A (ja) 1996-09-10 1998-04-10 Mitsubishi Electric Corp 半導体装置
CN1125138C (zh) 1997-07-15 2003-10-22 旭化成株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers

Also Published As

Publication number Publication date
CN100410420C (zh) 2008-08-13
DE02001014T1 (de) 2007-09-20
CN1644753A (zh) 2005-07-27
JP2002256434A (ja) 2002-09-11
CN1367205A (zh) 2002-09-04
TWI235428B (en) 2005-07-01
EP1225194A3 (en) 2002-09-18
EP1225194A2 (en) 2002-07-24
IL147609A0 (en) 2002-08-14
KR100447684B1 (ko) 2004-09-08
CN1240780C (zh) 2006-02-08
KR20020062192A (ko) 2002-07-25
SG98468A1 (en) 2003-09-19
IL147609A (en) 2006-12-10
EP1225194B1 (en) 2008-10-01
EP1225194B2 (en) 2013-09-18

Similar Documents

Publication Publication Date Title
JP3762304B2 (ja) 低誘電率層間絶縁膜の形成方法
US6583048B2 (en) Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
TW574410B (en) Low dielectric constant material and method of processing by CVD
KR100404536B1 (ko) 유전 상수 κ가 낮은 무기/유기 혼성 박막 및 이를제조하는 방법
JP4897505B2 (ja) 低誘電率の多孔質有機シリカガラス膜を得るための化学蒸着方法
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
US11158498B2 (en) Silicon compounds and methods for depositing films using same
JP2011014925A (ja) ポロゲン、ポロゲン化された前駆体及び低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
KR20220061162A (ko) 모노알콕시실란 및 이로부터 제조된 고밀도 오가노실리카 필름
KR20220061161A (ko) 모노알콕시실란 및 디알콕시실란과 이로부터 제조된 고밀도 오가노실리카 필름
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
JP2008263022A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
EP4325548A2 (en) Silicon compounds and methods for depositing films using same
JP2007318070A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060112

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3762304

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100120

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110120

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120120

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130120

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term