KR20230093286A - 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름 - Google Patents

알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름 Download PDF

Info

Publication number
KR20230093286A
KR20230093286A KR1020237016950A KR20237016950A KR20230093286A KR 20230093286 A KR20230093286 A KR 20230093286A KR 1020237016950 A KR1020237016950 A KR 1020237016950A KR 20237016950 A KR20237016950 A KR 20237016950A KR 20230093286 A KR20230093286 A KR 20230093286A
Authority
KR
South Korea
Prior art keywords
tetramethyldisiloxane
film
butoxy
alkoxydisiloxane
pentamethyldisiloxane
Prior art date
Application number
KR1020237016950A
Other languages
English (en)
Inventor
만차오 지아오
다니엘 피 스펜스
진지안 레이
윌리엄 로버트 엔트리
레이몬드 니콜라스 브르티스
제니퍼 린 앤 아키틸
로버트 고돈 리지웨이
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20230093286A publication Critical patent/KR20230093286A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2518/00Other type of polymers
    • B05D2518/10Silicon-containing polymers
    • B05D2518/12Ceramic precursors (polysiloxanes, polysilazanes)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0486Operating the coating or treatment in a controlled atmosphere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/12Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a coating with specific electrical properties

Abstract

개선된 기계적 특성을 갖는 치밀한 오가노실리콘 필름을 제조하는 방법은 반응 챔버 내에 기판을 제공하는 단계; 알콕시디실록산을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계; 및 반응 챔버에서 알콕시디실록산을 포함하는 가스상 조성물에 에너지를 인가하여 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리콘 필름을 침적시키는 단계를 포함하고, 여기서 오가노실리콘 필름은 ~ 2.50 내지 ~ 3.30의 유전 상수, ~ 6 내지 ~ 35 GPa의 탄성 모듈러스, 및 XPS에 의해 측정된 바와 같은 ~ 10 내지 ~ 40의 원자% 탄소를 갖는다.

Description

알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름
필름에 대한 전구체로서 알콕시디실록산을 사용하여 치밀한 오가노실리카 유전 필름을 형성하기 위한 조성물 및 방법이 본원에 기재된다. 보다 구체적으로, 유전 상수, k ≥ 2.5를 갖는 치밀한 필름을 형성하기 위한 조성물 및 화학적 증착(chemical vapor deposition; CVD) 방법이 본원에 기재되며, 여기서 필름은 통상적인 전구체로부터 제조된 필름과 비교하여 높은 탄성 모듈러스 및 탁월한 플라즈마 유도 손상 저항성을 갖는다.
전자 산업은 집적 회로(IC) 및 관련된 전자 소자의 회로들 및 부품들 사이에 절연층으로서 유전 물질을 사용한다. 라인 치수는 마이크로전자 소자(예를 들어, 컴퓨터 칩)의 속도 및 기억 저장 능력을 증가시키기 위해 감소되고 있다. 라인 치수가 감소함에 따라, 층간 유전체(interlayer dielectric; ILD)에 대한 절연 요건이 훨씬 더 엄격하게 된다. 간격의 축소는 RC 시간 상수를 최소화하기 위해 더 낮은 유전 상수를 필요로 하며, 여기서 R은 전도성 라인의 저항이며, C는 절연 유전체 중간층의 커패시턴스이다. 커패시턴스(C)는 간격과 반비례하고 층간 유전체(ILD)의 유전 상수(k)에 비례한다. 통상적인 실리카(SiO2) CVD 유전 필름은 SiH4 또는 TEOS (Si(OCH2CH3)4, 테트라에틸오르토실리케이트)로부터 형성되며, O2는 4.0보다 큰 유전 상수 k를 갖는다. 산업에서 더 낮은 유전 상수를 갖는 실리카-기반 CVD 필름을 생산하기 위해 여러 방식들이 시도되고 있으며, 가장 성공적인 것은 약 2.5 내지 약 3.5 범위의 유전 상수를 제공하는 유기 기로 절연 산화규소 필름을 도핑하는 것이다. 이러한 오가노실리카 유리는 전형적으로, 오가노실리콘 전구체, 예컨대, 메틸실란 또는 실록산, 및 산화제, 예컨대, O2 또는 N2O로부터 치밀한 필름(밀도 ~ 1.5 g/cm3)으로서 침적된다. 오가노실리카 유리는 본원에서 OSG로 지칭될 것이다.
CVD 방법에 의한 다공성 ILD의 분야에서 특허, 공개 출원, 및 간행물은 N2O와 같은 산화제 및 임의로 과산화물의 존재 하에 불안정한 기를 갖는 오가노실리콘 전구체로부터 OSG 필름을 침적시키고, 이어서 열적 어닐링으로 불안정한 기를 제거하여 다공성 OSG를 제공하는 방법이 기재되어 있는 제EP 1 119 035 A2호 및 미국 특허 제6,171,945호; 산화 어닐링으로 침적된 OSG로부터 모든 유기 기를 필수적으로 제거하여 다공성 무기 SiO2를 얻는 것이 교시된 미국 특허 제6,054,206호 및 제6,238,751호; 산화 플라즈마에 의한 후속 처리에 의하여 다공성 무기 SiO2로 변형되는 수소화 실리콘 카바이드의 침적이 기재되어 있는 제EP 1 037 275호; 및 오가노실리콘 전구체 및 유기 화합물로부터 필름을 공침적시키고, 이어서 열적 어닐링하여 중합된 유기 성분의 일부가 보유되어 있는 다중상의 OSG/유기 필름을 제공하는 것이 교시되어 있는 미국 특허 제6,312,793 B1호, 제WO 00/24050호 및 논문 문헌[Grill, A. Patel, V. Appl. Phys. Lett. (2001), 79(6), pp. 803-805]을 포함한다. 후자의 참조문헌에서, 필름의 궁극적인 최종 조성은 잔류 포로겐 및 대략 80 내지 90 원자%의 높은 탄화수소 필름 함량을 나타낸다. 또한, 최종 필름은 산소 원자의 일부가 유기 기로 치환된 SiO2-유사 네트워크를 보유한다.
미국 특허 출원 제2011/10113184호에는 PECVD 공정을 통해 ~ k = 2.4 내지 k = 2.8 범위의 유전 상수 및 증가된 -SiCH2Si- 기 밀도를 갖는 절연 필름을 침적시키는 데 사용될 수 있는 부류의 저 k 전구체가 개시되어 있다. 미국 특허 출원 제2011/10113184호에서는 적어도 하나의 분지형 탄화수소 기 R(예를 들어, 이소부틸, 이소펜틸, 네오펜틸, 또는 네오헥실 기)이 메틸렌 기(SiCH2R)를 통해 저 k 전구체의 규소 원자에 부착된 Si 기반 전구체를 사용하여 저 k 필름이 침적된다. 본 발명자들은 침적 공정 동안 분지형 탄화수소 기 R을 SiCH2R의 메틸렌 기에 연결하는 결합의 플라즈마 해리를 통해 필름 내에 고밀도의 SiCH2Si 기가 형성되는 것을 청구한다. 이러한 접근법에는 세 가지 중요한 한계가 있다. 첫 번째 한계는 전구체에 큰 분지형 알킬 기의 혼입에 비용이 많이 든다는 것이다. 두 번째 한계는 전구체로의 하나 이상의 큰 분지형 알킬 기의 혼입이 일반적으로, 큰 분지형 알킬 기로부터의 증가된 분자량으로 인해 매우 높은 비점을 갖는 전구체를 초래한다는 것이다. 증가된 비점은, 증기 전달 라인 또는 공정 펌프 배기부에서 화학 전구체를 응축시키지 않으면서 가스상 시약으로서 반응 챔버 내로 화학 전구체를 전달하는 것을 어렵게 함으로써, 제조 공정에 부정적으로 영향을 미칠 수 있다. 세 번째 한계는 미국 특허 출원 제2011/10113184호에 보고된 저 k 필름에 고밀도의 SiCH2Si 기가 침적된 그대로의(as deposited) 필름이 UV 어닐링된 후에 형성되는 것으로 보인다는 것이다. 따라서, 이러한 특허 출원에서 기술된 저 k 필름에서의 SiCH2Si 기의 형성은 전구체 선택보다는 UV 경화(즉, 침적 공정 후 후처리)에 기인할 가능성이 높다. 자외선 조사에 대한 저 k 필름의 노출 시의 SiCH2Si 기의 밀도의 증가가 잘 문서화되어 있다는 것이 인식된다. 네 번째 한계는, 이러한 접근법에서 보고된 유전 상수의 값들 대부분이 낮거나, 2.8 이하이라는 것이다. 합리적인 기계적 특성을 갖는 치밀한 저 k 필름에 대해 달성가능한 최저 유전 상수는 대략 2.7 내지 2.8이라는 것이 잘 확립되어 있다. 따라서, 미국 공개 제US201110113184A호에 개시된 접근법은 침적후 프로세싱(즉, UV 어닐링)의 부재 시의 치밀한 저 k 필름의 침적에 관한 것은 아니지만, 다공성 저 k 필름을 생성하기 위한 테더링된 포로겐 접근법과 더 유사하다.
미국 특허 출원 제US2020075321 A호에는 플라즈마-강화 화학적 증착(PECVD) 공정에 의해 높은 경도를 갖는 저-k 탄소-도핑된 실리콘 옥사이드(CDO) 층을 형성하는 방법이 개시되어 있다. 상기 방법은 운반 가스 유량의 운반 가스 및 전구체 유량에서 CDO 전구체를 공정 챔버에 제공하는 것을 포함한다. 무선 주파수(RF) 전력은 소정의 전력 수준 및 주파수로 CDO 전구체에 인가된다. CDO 층은 공정 챔버 내의 기판 상에 침적된다.
저 k 필름에서의 플라즈마 또는 공정 유도 손상은 플라즈마 노출 동안, 특히 에칭 및 포토레지스트 스트립 공정(예를 들어, NH3 기반 스트립 공정) 동안 탄소의 제거에 의해 야기된다. 탄소 고갈은 플라즈마 손상 구역이 소수성에서 친수성으로 변화되게 한다. 희석된 HF-기반 습식 화학 후속 플라즈마 처리에 대한 친수성 플라즈마 손상 구역의 노출은, 이러한 손상된 구역의 신속한 용해 및 필름의 k의 증가를 초래한다(소수성 손상 층은 수분 업데이트를 증가시킨다). (에칭 및 포토레지스트 스트립 공정을 사용하여 생성된) 패턴화된 저 k 필름에서, 희석된 HF-기반 후속 플라즈마 처리에 대한 노출은 프로파일 침식을 초래한다. 프로파일 침식은 오목한 피처의 형성(금속화 결함을 초래함) 및 금속 라인들 사이의 감소된 간격(증가된 커패시턴스를 초래함)을 초래할 수 있다. 이는 특히, 프로파일 침식의 깊이가 상당 분율의 로직 ½ 피치일 수 있는 진보된 로직 디바이스에서 문제가 된다. 일반적으로, 저 k 필름의 탄소 함량이 커질수록 PID의 깊이는 더 낮아진다. 저 k 필름에서의 공정 유도 손상 및 결과적인 프로파일 침식은, 특히 라인의 후단의 가장 낮은 수준에 대해, ULSI 인터커넥트에 저 k 재료를 통합할 때, 디바이스 제조자들이 극복해야 하는 중요한 문제이다. 따라서, 가능한 가장 높은 기계적 강도 및 가장 큰 PID 저항성 둘 모두를 갖는 저 k 필름을 침적시키는 것이 바람직하다. 불행하게도, 이들 2 개의 인자들은 종종 서로 반대로 작용하고; 더 높은 탄소 함량을 갖는 필름이 더 큰 PID 저항성을 나타내지만, 더 높은 탄소 함량은 일반적으로, 산화물 네트워크 내에 더 많은 말단 실리콘 메틸 기(Si-Me 또는 Si(CH3)x)의 혼입을 초래하여, 필름의 기계적 강도를 저하시킨다(도 1).
더 양호한 고유 전기 특성, 예컨대, 더 높은 항복 전계 및 더 낮은 누설 전류 밀도를 갖는 저 k 필름이 진보된 집적 회로를 제조하는 데 바람직하며; 최소 고유 전기 요건은 전형적으로, 1 MV/cm의 전계 강도에서 1×10-9 A/㎠ 미만의 누설 전류 밀도 및 4 MV/cm 이상의 항복 전계를 포함한다. 치수가 감소됨에 따라(즉, 디바이스가 무어의 법칙(Moore's law)에 따라 스케일링됨에 따라) 디바이스 구조에서의 항복 전계는 감소하기 때문에, 가장 높은 가능한 항복 전계를 갖는 저 k 재료가 바람직하다(> 4 MV/cm). 이는, 작은 치수가 높은 전계 강도를 초래할 수 있는 BEOL의 가장 낮은 수준에서 특히 중요하다. 또한, 낮은 누설 전류 수준이 집적 회로에서 양호한 신뢰성을 보장한다는 것이 보고되었다. 불행하게도, 고유한 낮은 누설 전류 밀도를 갖는 저 k 필름을 침적시키는 것과 연관된 다수의 난제들이 있다. 예를 들어, 단일 구조 형성제 전구체의 사용은, 아마도 산소 결핍 관련 결함의 형성으로 인해, 높은 누설 전류 밀도를 초래하는 것으로 보고되었다. 추가로, 낮은 누설 전류 밀도는 또한, 침적 후 처리, 예컨대, UV 어닐링에 의존한다. 예시하자면, 침적된 그대로의 저 k 필름은 UV 어닐링 후에 동일한 필름보다 항상 더 높은 누설 전류 밀도를 갖는다고 보고되었다. 이는 UV 어닐링이 장비 비용, 공정 복잡성을 증가시키고 처리량을 감소시키기 때문에 상당한 제한이다. 따라서, 더 양호한 고유 전기적 특성, 구체적으로는 낮은 누설 전류 밀도(1 MV/cm에서) 및 가장 높은 가능한 항복 전계(≥ 4 MV/cm)를 갖는, 단일 구조 형성제 전구체로부터 침적된, 침적된 그대로의 저 k 필름이 필요하다.
따라서, 특히, 라인의 후단에서의 가장 낮은 수준의 경우, 주어진 값의 유전 상수(k ≤ 3.5)에서 강한 플라즈마 유도 손상 저항성, 높은 기계적 강도, 및 높은 항복 전압(> 5 MV/cm)을 갖는 치밀한 저 k 필름을 침적시키기 위해 사용될 수 있는 휘발성 구조 형성제 저 k 전구체가 필요하다. 추가로, 그러한 전구체로부터 침적된 필름은, 필름의 기계적 특성 또는 필름의 전기적 특성을 개선하기 위해, 침적 후 처리, 예컨대, UV 경화를 필요로 하지 않아야 한다. 즉, 침적된 그대로의 필름의 고유 특성은 침적 후 단계(즉, UV 경화)가 필요하지 않도록 집적 회로 제조에 대한 요건을 충족해야 한다.
본원에 기재된 방법 및 조성물은 상술된 하나 이상의 요건을 충족시킨다. 본원에 기재된 방법 및 조성물은 약 2.50 내지 약 3.30의 k 값을 갖는 치밀한 저 k 필름을 침적시키기 위해 사용될 수 있는 구조 형성제로서, 예를 들어, 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산(IPOTMDS)과 같은 알콕시디실록산 화합물을 사용하고, 이러한 필름은 종래 기술의 구조 형성제 전구체, 예컨대, 디에톡시메틸실란(DEMS®)으로부터 제조된 동일한 값의 유전 상수에서의 필름보다 예기치 않게 높은 PID 저항성 및 동등하거나 더 큰 기계적 특성을 나타내고, DEMS®는 높은 기계적 강도를 갖는 필름을 침적시키도록 설계된 종래 기술의 구조 형성제이다. 또한, 특정 실시양태에서, Hg 프로브에 의해 측정된 바와 같이, 본원에 기재된 알콕시디실록산으로부터 제조된 필름은 DEMS®와 같이 높은 기계적 강도를 위해 설계된 종래 기술의 구조 형성제 전구체로부터 제조된 동일한 값의 유전 상수의 필름보다 더 높은 항복 전계를 갖는다. 또한, 요망되는 필름 특성은 UV 경화와 같은 침적 후 처리 단계의 필요 없이 알콕시디실록산 전구체로부터 제조된 침적된 그대로의 필름에서 관찰된다.
SivOwCxHy(여기서 v+w+x+y=100%이고, v는 10 내지 40 원자%이고, w는 10 내지 65 원자%이고, x는 5 내지 35 원자%이고, y는 10 내지 50 원자%임)로 표현되는 재료를 포함하는 치밀한 유전 필름이 본원에 기재되고, 여기서 필름은 3.5 미만의 유전 상수를 갖는다. 특정 실시양태에서, 필름의 탄소 함량은 IR 분광법에 의해 측정된 바와 같은 높은 분율의 SiCH2Si 기를 포함하고, SIMS 깊이 프로파일링에 의해 결정된 탄소 함량을 조사함으로써 측정된 바와 같은, 예를 들어, O2 또는 NH3 플라즈마에 노출될 때의 탄소 제거 깊이를 나타낸다.
일 양태에서, 치밀한 오가노실리카 필름을 제조하는 방법이 제공되며, 상기 방법은 반응 챔버 내에 기판을 제공하는 단계; 하기 화학식 (I)의 구조를 갖는 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계; 반응 챔버에서 알콕시디실록산을 포함하는 가스상 조성물에 에너지를 인가하여 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리카 필름을 침적시키는 단계를 포함한다:
Figure pct00001
(상기 식에서, R1은 선형 또는 분지형 C1 내지 C6 알킬, 바람직하게는 메틸, 에틸, 프로필, 이소(iso)-프로필, 부틸, 2차(sec)-부틸, 또는 3차(tert)-부틸, 및 환형 C5 내지 C6 알킬로부터 선택되고; R2는 수소, 및 선형 또는 분지형 C1 내지 C5 알킬로부터 선택되고; R3-5는 선형 또는 분지형 C1 내지 C5 알킬, 바람직하게는 메틸로부터 독립적으로 선택되고; R6은 수소, 선형 또는 분지형 C1 내지 C5 알킬, 또는 OR7로부터 선택되고, 여기서 R7은 선형 또는 분지형 C1 내지 C5 알킬로부터 선택됨).
예시적인 실시양태에 따르면, 오가노실리카 필름은 ~ 2.50 내지 ~ 3.30의 유전 상수 및 ~ 6 내지 ~ 35 GPa의 탄성 모듈러스를 갖는다. 상기 화학식 (I)의 경우, 알킬 기의 조합은 분자의 비점이 200℃ 미만이 되도록 선택된다. 또한, 최적의 성능을 위해, 알킬 기는 분자가 균일 결합 해리 시 2차 또는 3차 라디칼을 형성하도록(예를 들어, SiO-R1 -> SiO· + R1·, 여기서 R1·은 2차 또는 3차 라디칼, 예컨대, 이소프로필 라디칼 또는 3차-부틸 라디칼임) 선택될 수 있다.
도 1은 컴퓨터 모델링으로부터 수득된 저 k 유전 필름에서 기계적 강도(벌크 모듈러스 GPa)와 메틸(Me)/Si 비 사이의 예측된 관계를 예시한다.
도 2는 비교예 1, 비교예 2, 및 본 발명의 실시예 1에 대한 3500 cm-1 내지 500 cm-1의 IR 스펙트럼을 나타낸다. 흡광도는 필름 두께, 베어(bare) Si 웨이퍼에 대해 보정된 배경(background), 및 명확성을 위한 기준선 오프셋(baseline offset)으로 정규화되었다.
도 3은 비교예 1, 비교예 2, 및 본 발명의 실시예 1에 대한 1390 cm-1 내지 1330 cm-1의 IR 스펙트럼을 나타낸다. 흡광도는 필름 두께, 베어 Si 웨이퍼에 대해 보정된 배경, 및 명확성을 위해 기준선 오프셋으로 정규화되었다.
도 4는 NH3 플라즈마를 사용하여 필름이 손상된 후 비교 필름 1, 비교 필름 2, 및 본 발명의 필름 1의 탄소 제거에 대한 저항성을 입증한다.
도 5는 비교 화합물 DEMS® 및 MIPSCP를 사용하여 침적된 일련의 저 유전 필름에 대한, 본 발명의 화합물 IPOTMDS를 사용하여 침적된 일련의 저 유전 필름에 대한 필름 중 XPS 탄소의 분율로 나눈 IR에 의해 결정된 상대 SiCH2Si 농도의 비를 나타낸다.
도 6은 비교예 3 및 본 발명의 실시예 1에 대해 인가된 전계 강도의 함수로서 측정된 전류 밀도를 나타낸다.
발명의 상세한 설명
치밀한 오가노실리카 필름을 제조하기 위한 화학적 증착(CVD) 방법이 본원에 기재되며, 상기 방법은 반응 챔버 내에 기판을 제공하는 단계; 알콕시디실록산, 예를 들어, 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산(IPOTMDS) 또는 1-에톡시-1,1,3,3-테트라메틸디실록산(EOTMDS) 및 가스상 산화제, 에컨대, O2 또는 N2O, 및 불활성 가스, 예컨대, He를 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계; 및 반응 챔버에 알콕시디실록산을 포함하는 가스상 조성물에 에너지를 인가하여 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리카 필름을 침적시키는 단계를 포함하고, 여기서 오가노실리카 필름은 ~ 2.50 내지 ~ 3.50의 유전 상수를 갖는다. 예시적인 실시양태에 따르면, 오가노실리카 필름은 ~ 2.70 내지 ~ 3.30의 유전 상수, ~ 6 내지 ~ 35 GPa의 탄성 모듈러스, 및 XPS에 의해 측정된 바와 같은 ~ 15 내지 ~ 40의 원자% 탄소, 바람직하게는 ~ 2.80 내지 ~ 3.20의 유전 상수, ~ 7 내지 ~ 27 GPa의 탄성 모듈러스, 및 XPS에 의해 측정된 바와 같은 ~ 15 내지 ~ 40의 원자% 탄소를 갖는다. 요망되는 필름 특성을 갖는 오가노실리카 필름은 또한 산화제를 포함하지 않는 가스상 조성물을 사용하여 침적될 수 있는 것으로 인식된다.
본원에 기재된 알콕시디실록산 화합물은 비교적 낮은 총 탄소 함량(전형적으로 XPS에 의해 25 원자% 미만)을 갖되 NH3 또는 O2 플라즈마에 노출 시 예기치 않게 높은 탄소 제거 저항성을 나타내는, 침적된 그대로의 치밀한 OSG 필름을 침적시키는 것을 가능하게 하는 독특한 속성을 제공한다. 유전 필름의 총 탄소 함량이 증가함에 따라 유전 필름으로부터의 탄소 제거 저항성이 증가한다는 것이 잘 확립되어 있다. 즉, 높은 총 탄소 함량을 갖는 필름은 더 낮은 총 탄소 함량을 갖는 필름보다 NH3 또는 O2 플라즈마에 노출될 때 더 작은 탄소 제거의 깊이를 나타낼 것이다. 이는 미국 특허 제9,922,818호에서 예시되어 있으며, 여기서 36% 탄소(XPS, 원자%)를 함유하는 저 k 필름에 대한 탄소 제거의 깊이가 23% 탄소(XPS, 원자%)를 함유하는 저 k 필름보다 20% 더 적다(44 nm와 비교하여 35 nm). 따라서, 비교적 낮은 총 탄소 함량(XPS에 의해 측정된 바와 같이, < ~ 25%)을 함유하는 알콕시디실록산 구조 형성제 전구체를 사용하여 제조된 유전 필름이 높은 총 탄소 함량(XPS에 의해 측정된 바와 같이, > ~ 25%)을 갖는 필름을 침적시키도록 설계된 전구체를 사용하여 제조된 유전 필름과 NH3 또는 O2 플라즈마에 노출될 때 동일하거나 더 적은 탄소 제거 깊이를 나타낼 수 있다는 것은 예기치 않은 것이다. 미국 특허 제9,922,818호에 개시된 바와 같이, 1-메틸-1-이소프로폭시-1-실라사이클로펜탄(MIPSCP)과 같은 전구체는, NH3 또는 O2 플라즈마에 노출될 때, 높은 총 탄소 함량(> ~ 25%) 및 탁월한 탄소 제거 저항성을 갖는 필름을 제조하는 데 사용될 수 있다.
화학식 (I)의 알콕시디실록산 화합물의 고유한 속성은 또한, 치밀한 OSG 필름에 대해 비교적 낮은 유전 상수를 달성하고, 그러한 필름이 놀랍게도 DEMS®와 같이 높은 기계적 강도를 갖는 필름을 침적시키도록 설계된 종래 기술의 구조 형성제 전구체로부터 침적된 필름과 동등하거나 더 큰 기계적 특성을 나타내는 것을 가능하게 한다.
예를 들어, 높은 기계적 강도를 갖는 침적된 필름을 위해 설계된 종래 기술의 구조 형성제인 DEMS®는, 반응성 부위의 균형을 제공하고 요망되는 유전 상수를 유지하면서 더 기계적으로 견고한 필름의 형성을 가능하게 하는, 2개의 알콕시 기, 하나의 메틸 및 하나의 하이드라이드를 갖는 혼합된 리간드 시스템을 제공한다. 탄소가 주로 말단 Si-Me 기의 형태로 존재하는 구조 형성 전구체로서 DEMS®를 사용하여 침적된 필름에서, % Si-Me(%C와 직접적으로 관련됨) 대 기계적 강도 사이에는 관련성이 있으며, 예를 들어, 도 1에 도시된 모델링 작업을 참조하고, 여기서 브릿징 Si-O-Si 기의 2개의 말단 Si-Me 기로의 대체는 네트워크 구조가 파괴되기 때문에 기계적 특성을 감소시킨다. 예기치 않게도, 화학식 (I)의 알콕시디실록산 화합물로부터 제조된 침적된 그대로의 치밀한 OSG 필름은 DEMS®로부터 제조된 필름보다 더 높은 Si-Me 농도를 갖고, DEMS®로부터 제조된 필름과 동등하거나 더 큰 기계적 특성을 나타낸다. 따라서, 화학식 (I)의 알콕시디실록산 화합물로부터 제조된 더 높은 농도의 Si-Me 기를 갖는 필름은 DEMS®와 같이 높은 기계적 강도를 위해 설계된 종래 기술의 구조 형성제 전구체로부터 제조된 더 낮은 농도의 Si-Me 기를 갖는 필름과 동등하거나 이보다 더 큰 기계적 특성을 가질 것이라는 점은 예기치 않은 것이다.
본원에 기재된 알콕시디실록산 화합물은, 종래 기술의 구조 형성제 전구체, 예컨대, 디에톡시메틸실란(DEMS®) 및 MIPSCP와 비교하여, 유전 필름에서 상이한 분포의 탄소 타입을 혼입시키는 것을 가능하게 하는 고유한 속성을 제공한다. 예를 들어, 구조 형성제로서 DEMS®를 사용하여 침적된 치밀한 OSG 필름에서, 필름 내의 탄소는 주로 말단 Si-Me 기(Si(CH3))의 형태로 존재하며; 작은 밀도의 디실릴메텐 기(SiCH2Si)가 또한 필름에 존재할 수 있다. 본원에 기재된 알콕시디실록산 전구체, 예컨대, 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산(IPOTMDS)은 주어진 값의 유전 상수에서 DEMS® 기반 필름보다 큰 총 탄소 함량을 갖는 치밀한 OSG 필름을 침적시키는 데 사용될 수 있다. 그러나, 알콕시디실록산 전구체를 사용하여 제조된 필름에서 탄소의 분포는 DEMS®를 사용하여 제조된 필름과 매우 상이하다. 알콕시디실록산 전구체를 사용하여 제조된 필름은 DEMS®와 같은 종래 기술의 구조 형성제를 사용하여 제조된 필름보다 더 높은 농도의 말단 Si-Me 기(Si(CH3)) 및 훨씬 더 높은 농도의 브릿징 SiCH2Si 기를 갖는다. 즉, 본 발명의 알콕시디실록산 전구체를 사용하여 제조된 필름에서, DEMS®와 같은 종래 기술의 구조 형성제 전구체와 비교하여, 필름에서 훨씬 더 큰 백분율의 총 탄소가 브릿징 SiCH2Si 기로서 혼입된다.
반면에, 종래 기술의 규소-함유 구조-형성 전구체, 예를 들어, DEMS®는, 일단 반응 챔버에서 에너지 공급되면 중합하여, 폴리머 골격에서 -O- 연결(예를 들어, -Si-O-Si 또는 -Si-O-C-)을 갖는 구조를 형성하며, 알콕시디실록산 화합물, 예컨대, IPOTMDS 분자는 골격 내의 -O- 브릿지 중 일부가 -CH2-메틸렌 브릿지로 대체된 구조를 형성하는 방식으로 중합한다. 탄소가 주로 말단 Si-Me 기의 형태로 존재하는 구조 형성 전구체로서 DEMS®를 사용하여 침적된 필름에서, % Si-Me 대 기계적 강도 사이에는 관련성이 있으며, 예를 들어, 도 1에서 규소 원자당 메틸 기와 탄성 모듈러스 사이의 예측된 관련성을 참조하고, 여기서 브릿징 Si-O-Si 기의 2개의 말단 Si-Me 기로의 대체는 네트워크 구조가 파괴되기 때문에 기계적 특성을 감소시킨다. 이론으로 국한되지는 않지만, 알콕시디실록산 화합물의 경우, 전구체 구조는, 구조 형성제에서 높은 백분율의 말단 Si-Me 기(Si(CH3))를 필름의 네트워크 구조에서 브릿징 메틸렌 기(디실릴메틸렌, SiCH2Si)로 전환시키는 플라즈마에서의 반응을 용이하게 하는 것으로 사료된다. 이러한 방식으로, 기계적 강도 관점에서, 필름 내의 탄소 함량을 증가시킴으로써 네트워크 구조가 붕괴되지 않도록, 브릿징 기의 형태로 탄소를 혼입할 수 있다. 이는 또한, 필름에 탄소를 부가하여, 필름의 에칭, 포토레지스트의 플라즈마 애싱(plasma ashing), 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터의 탄소 고갈에 대해 필름이 더 탄력적일 수 있게 한다. 화학식 (I)의 알콕시디실록산 화합물, 예컨대, IPOTMDS를 사용하여 제조된 필름의 또 다른 고유한 속성은 SiCH2Si 기로 구성된 총 탄소 함량의 백분율이 DEMS® 및 MIPSCP와 같은 종래 기술의 구조 형성제와 비교하여 높다는 것이다.
다른 종래 기술의 구조 형성제 전구체, 예컨대, 1-메틸-1-이소프로폭시-1-실라사이클로펜탄(MIPSCP)은 고농도의 디실릴메틸렌 기(SiCH2Si)를 갖는 치밀한 OSG 필름을 침적시킬 수 있다. 그러나, 고농도의 디실릴메틸렌 기(SiCH2Si)를 함유하는 MIPSCP로부터 침적된 치밀한 OSG 필름은 또한 높은 총 탄소 함량을 가져서, 본원에 기재된 알콕시실록산 전구체, 예컨대, 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산(IPOTMDS)으로부터 침적된 치밀한 OSG 필름과 비교하여 더 적은 백분율의 총 탄소가 디실릴메틸렌 기로서 혼입되게 한다. 또한, MIPSCP로부터 침적된 치밀한 OSG 필름은 또한 고농도의 말단 Si-Me 기(Si(CH3)x)를 함유한다. 도 1에서 도시된 바와 같이, 고농도의 말단 Si-Me 기는 필름의 기계적 강도에 부정적으로 영향을 미쳐서, 궁극적으로, 구조 형성제로서 MIPSCP를 사용하여 달성 가능한 최고 기계적 강도를 제한한다.
알콕시디실록산은, 치밀한 오가노실리카 필름에 대해 비교적 낮은 유전 상수를 달성하고 디에톡시메틸실란(DEMS®) 및 1-이소-프로폭시-1-메틸실라사이클로펜탄(MIPSCP)과 같은 종래 기술의 구조 형성제 전구체와 비교하여 동등하거나 더 큰 기계적 특성을 나타내는 것을 가능하게 하는 독특한 속성을 제공한다. 이론으로 국한되지는 않지만, 본 발명에 따른 알콕시디실록산은 R1이 분지형 또는 환형 C3 내지 C10 알킬, 예컨대, 이소-프로필, 2차-부틸, 3차-부틸, 2차-펜틸, 3차-펜틸, 사이클로펜틸, 또는 사이클로헥실로 이루어진 군으로부터 선택될 때 플라즈마 강화 화학적 증착 동안 (CH3)2CH., (CH3)(CH3CH2)HC., (CH3)3C., 2차-펜틸, 3차-펜틸, 사이클로펜틸, 및 사이클로헥실(알콕시디실록산에서 알콕시 기에 좌우됨)과 같이, Me3SiOMe 또는 Me3SiOEt(Bayer, C., et al. "Overall Kinetics of SiOx Remote-PECVD using Different Organosilicon Monomers," 116-119 Surf. Coat. Technol. 874 (1999))와 같은 종래 기술에 개시된 바와 같은 메틸 라디칼보다 더 안정한 라디칼을 제공할 수 있는 것으로 사료된다. 플라즈마에서 (CH3)2CH., (CH3)(CH3CH2)HC., (CH3)3C., 2차-펜틸, 3차-펜틸, 사이클로펜틸, 및 사이클로헥실과 같은 더 높은 밀도의 더 안정한 라디칼은 전구체 내의 말단 실리콘 메틸 기(Si-(CH3)2) 중 하나로부터 수소 원자의 추출 가능성(SiCH2·를 형성함)을 증가시키고, 침적된 그대로의 필름에서 브릿징 Si-CH2-Si 기의 형성을 촉진시킬 수 있다. 추측컨대, IPOTMDS의 경우, 전구체에서의 4개의 말단 실리콘 메틸 기(규소 원자당 2개)의 존재는, 규소 원자당 더 적은 말단 메틸 기를 함유하는 전구체에 비해, 침적된 그대로의 필름에서 고밀도의 디실릴메틸렌 기(Si-CH2-Si)의 형성에 유리하다. 추측컨대, IPOTMDS의 경우, Si-H 결합의 존재는 전구체에서 규소당 2개의 말단 메틸 기로의 빠른 접근을 용이하게 하고, H 원자보다 더 큰 리간드를 함유하는 전구체에 비해 침적된 그대로의 필름에서 고밀도의 디실릴메틸렌 기(Si-CH2-Si)의 형성에 유리하다. 본 출원에 개시된 유리한 특성을 갖는 필름은 또한 화학식 (I)(여기서, R1은 Me 또는 Et임)의 알콕시디실록산으로부터 침적될 수 있다.
규소 전구체로서 알콕시디실록산으로 이전에 달성된 것에 비해 일부 이점은 하기를 포함하지만 이로 제한되지 않는다:
√ 비용이 저렴하고 합성이 용이함
√ 높은 PID 저항성
√ 높은 탄성 모듈러스
√ SiCH2Si를 포함하는 총 탄소 함량의 높은 백분율
√ 높은 초기 항복 전압(EBD)
일 양태에서, 개선된 PID 저항성 및 높은 기계적 특성을 갖는 치밀한 오가노실리카 필름을 제조하는 방법이 제공되며, 상기 방법은 반응 챔버 내에 기판을 제공하는 단계; 산소 공급원의 존재 또는 부재에서 하기 화학식 (I)의 구조를 갖는 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계를 포함한다:
Figure pct00002
(상기 식에서, R1은 선형 또는 분지형 C1 내지 C6 알킬, 바람직하게는 메틸, 에틸, n-프로필, 이소-프로필, n-부틸, 이소-부틸, 2차-부틸, 또는 3차-부틸, 및 환형 C5 내지 C6 알킬로부터 선택되고; R2는 수소, 및 선형 또는 분지형 C1 내지 C5 알킬, 바람직하게는 메틸로부터 선택되고; R3-5는 선형 또는 분지형 C1 내지 C5 알킬, 바람직하게는 메틸로부터 독립적으로 선택되고; R6은 수소, 선형 또는 분지형 C1 내지 C5 알킬 또는 OR7로부터 선택되고, 여기서 R7은 선형 또는 분지형 C1 내지 C5 알킬로부터 선택됨). 상기 화학식 (I)의 경우, 알킬 기의 조합은 분자의 비점이 200℃ 미만이 되도록 선택된다. 또한, 최적의 성능을 위해, 알킬 기는 균일 결합 해리 시 2차 또는 3차 라디칼을 형성하도록(예를 들어, 에너지가 반응 챔버에서 가스상 조성물에 인가될 때 형성되는 SiO-R1 -> SiO· + R1·, 여기서 R1·은 2차 또는 3차 라디칼, 예컨대, 이소프로필 라디칼 또는 3차-부틸 라디칼임) 선택된다. 이후, 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리콘 필름을 침적시키기 위해 반응 챔버에서 알콕시디실록산을 포함하는 가스상 조성물에 에너지가 인가된다. 예시적인 실시양태에 따르면, 오가노실리카 필름은 ~ 2.70 내지 ~ 3.20의 유전 상수 및 ~ 7 내지 ~ 27 GPa의 탄성 모듈러스를 갖는다. 기판 온도는 또한 생성된 치밀한 오가노실리카 필름의 특성에 영향을 미칠 수 있고, 예를 들어, 더 높은 온도, 예컨대, 300 내지 400℃, 또는 350 내지 400℃가 바람직할 수 있다. 특정 실시양태에서, 산소 공급원은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 질소 산화물 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
특정의 일 실시양태에서, 개선된 PID 저항성 및 높은 기계적 특성을 갖는 치밀한 오가노실리카 필름을 제조하는 방법이 제공되며, 상기 방법은 반응 챔버 내에 기판을 제공하는 단계; 산소 공급원의 존재 또는 부재에서, 불활성 가스, 예컨대, He의 존재 또는 부재에서 하기 화학식 (II)에 주어진 구조를 갖는 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계를 포함한다:
Figure pct00003
(상기 식에서, R1은 선형 또는 분지형 C1 내지 C6 알킬, 바람직하게는 메틸, 에틸, n-프로필, 이소-프로필, n-부틸, 이소-부틸, 2차-부틸, 또는 3차-부틸, 바람직하게는 에틸, 이소-프로필 또는 2차-부틸, 또는 3차-부틸, 및 환형 C5 내지 C6 알킬로부터 선택됨). 이후, 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리콘 필름을 침적시키기 위해 반응 챔버에서 알콕시디실록산을 포함하는 가스상 조성물에 에너지가 인가된다. 예시적인 실시양태에 따르면, 오가노실리카 필름은 Si-CH2-Si 연결로 인해 ~ 2.70 내지 ~ 3.20의 유전 상수 및 ~ 7 내지 ~ 27 GPa의 더 높은 탄성 모듈러스를 갖는다.
표 I은 화학식 (II)를 갖는 바람직한 알콕시디실록산을 나열한 것이다. 다수의 화합물이 개시되어 있지만, 가장 바람직한 분자는 분자의 비점이 200℃ 미만(바람직하게는 150℃ 미만)이 되도록 선택된 알킬 기(R1-6)의 조합을 갖는 분자이다. 또한, 최적의 성능을 위해, 균일 결합 해리 시 2차 또는 3차 라디칼을 형성하는(예를 들어, Si-R2-5 -> Si· + R2-5· 또는 SiO-R1 -> SiO· + R1·, 여기서 R2· 및 R1·은 2차 또는 3차 라디칼, 예컨대, 이소프로필 라디칼 또는 3차-부틸 라디칼임) R1-6이 선택된다. 알콕시디실록산의 가장 바람직한 예는 1-에톡시-1,1,3,3-테트라메틸디실록산, 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산(IPOTMDS) 또는 1-2차-부톡시-1,1,3,3-테트라메틸디실록산(SBOTMDS)이고, 각각 760 Torr에서 110℃ 및 180℃의 예측 비점을 갖는다.
하기 화학식 (II)를 갖는 바람직한 알콕시디실록산 화합물의 목록
Figure pct00004
Figure pct00005
본 발명에 따른 화학식 (I) 또는 (II)를 갖는 알콕시디실록산 및 본 발명에 따른 화학식 (I) 또는 (II)를 갖는 알콕시디실록산 화합물을 포함하는 조성물은 바람직하게는 실질적으로 할라이드 이온을 함유하지 않는다. 예를 들어, 클로라이드(즉, 클로라이드-함유 종, 예컨대, HCl 또는 적어도 하나의 Si-Cl 결합을 갖는 규소 화합물) 및 플루오라이드, 브로마이드, 및 요오다이드와 같은 할라이드 이온(또는 할라이드)과 관련하여 본원에서 사용되는 "실질적으로 함유하지 않는"이라는 용어는 이온 크로마토그래피(IC)에 의해 측정된 5 ppm(중량 기준) 미만, 바람직하게는 IC에 의해 측정된 3 ppm 미만, 및 더욱 바람직하게는 IC에 의해 측정된 1 ppm 미만, 및 가장 바람직하게는 IC에 의해 측정된 0 ppm을 의미한다. 클로라이드는 화학식 (I) 또는 (II)를 갖는 규소 전구체 화합물에 대한 분해 촉매로서 작용하는 것으로 알려져 있다. 최종 생성물에서 상당한 수준의 클로라이드가 규소 전구체 화합물이 분해되게 할 수 있다. 규소 전구체 화합물의 점진적인 분해는 필름 침적 공정에 직접적으로 영향을 미쳐서 반도체 제조업체가 필름 사양을 충족시키기 어렵게 만들 수 있다. 또한, 저장-수명 또는 안정성은 규소 전구체 화합물의 더 높은 분해 속도에 의해 부정적으로 영향을 받아서, 1 내지 2 년의 저장 수명을 보장하기 어렵게 만든다.
화학식 (I) 또는 (II)를 갖는 알콕시디실록산은 바람직하게는 Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe3+, Ni2+, Cr3+와 같은 금속 이온을 실질적으로 함유하지 않는다. Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr과 관련하여 본원에서 사용되는 "실질적으로 함유하지 않는"이라는 용어는 ICP-MS에 의해 측정된 바와 같은 5 ppm(중량 기준) 미만, 바람직하게 3 ppm 미만, 및 더욱 바람직하게는 1 ppm 미만, 및 가장 바람직하게는 0.1 ppm을 의미한다. 일부 실시양태에서, 화학식 (I)을 갖는 규소 전구체 화합물은 Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe3+, Ni2+, Cr3+와 같은 금속 이온을 함유하지 않는다. Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr과 관련하여 본원에서 사용되는 금속 불순물을 "함유하지 않는"이라는 용어는 ICP-MS에 의해 측정된 바와 같은 1 ppm 미만, 바람직하게 0.1 ppm(중량 기준), 가장 바람직하게는 ICP-MS 또는 금속을 측정하기 위한 다른 분석 방법에 의해 측정된 바와 같은 0.05 ppm(중량 기준)을 의미한다. 또한, 화학식 (I)을 갖는 알콕시디실록산은 치밀한 오가노실리카 필름을 침적시키기 위한 전구체로서 사용될 때 GC에 의해 측정된 바와 같은 바람직하게는 98 중량% 이상, 더욱 바람직하게는 99 중량% 이상의 순도를 갖는다.
중요하게는, 화학식 (I)을 갖는 알콕시디실록산 화합물은 바람직하게는 합성 동안 사용된 출발 물질 또는 합성 동안 생성된 부산물로부터 유래하는 것들과 같은 산소-함유 또는 질소-함유 불순물을 실질적으로 함유하지 않는다. 예는 테트라메틸디실록산, 유기 아민, 예컨대, 트리에틸아민, 피리딘 및 반응을 촉진시키기 위해 사용되는 임의의 다른 유기 아민을 포함하지만, 이로 제한되지 않는다. 테트라메틸디실록산, 테트라메틸디실라잔, 유기 아민, 예컨대, 트리에틸아민, 피리딘 및 임의의 다른 유기 아민과 관련하여 본원에서 사용되는 산소-함유 또는 질소-함유 불순물을 "함유하지 않는"이라는 용어는 GC에 의해 측정된 바와 같은 10000 ppm 이하, 바람직하게는 500 ppm 이하(중량 기준), 가장 바람직하게는 GC 또는 검정을 위한 다른 분석 방법에 의해 측정된 바와 같은 100 ppm 이하(중량 기준)를 의미한다. 본원에 정의된 바와 같은 산소-함유 불순물은 적어도 하나의 산소 원자를 갖는 화합물이며, 출발 물질로부터 유래되거나 화학식 (I)을 갖는 알콕시디실록산 화합물의 합성으로부터 생성된다. 이들 산소-함유 불순물은 화학식 (I)을 갖는 알콕시디실록산 화합물에 가까운 비점을 가질 수 있고, 따라서 정제 후 생성물에 잔류할 수 있다. 마찬가지로, 본원에 정의된 바와 같은 질소-함유 불순물은 적어도 하나의 질소 원자를 갖는 화합물이며, 출발 물질로부터 유래되거나 화학식 (I)을 갖는 알콕시디실록산 화합물의 합성으로부터 생성된다. 이들 질소-함유 불순물은 화학식 (I)을 갖는 알콕시디실록산 화합물에 가까운 비점을 가질 수 있고, 따라서 정제 후 생성물에 잔류할 수 있다.
저 k 유전 필름은 오가노실리카 유리("OSG") 필름 또는 물질이다. 오가노실리케이트는, 예를 들어, 저 k 물질로서 전자 산업에서 사용된다. 물질 특성은 필름의 화학적 조성 및 구조에 좌우된다. 오가노실리콘 전구체의 타입이 필름 구조 및 조성에 대한 강력한 효과를 갖기 때문에, 요망되는 유전 상수에 도달하기 위한 요구되는 양의 다공성의 첨가가 기계적으로 부적절한 필름을 형성하지 않음을 보장하기 위해 요망되는 필름 특성을 제공하는 전구체를 사용하는 것이 유익하다. 본원에 기재된 방법 및 조성물은 전기적 및 기계적 특성뿐만 아니라 개선된 집적 플라즈마 손상 저항성을 제공하기에 높은 탄소 함량과 같은 다른 유리한 필름 특성의 요망되는 균형을 갖는 저 k 유전 필름을 생성시키기 위한 수단을 제공한다.
본원에 기재된 방법 및 조성물의 특정 실시양태에서, 규소-함유 유전 물질 층은 반응 챔버를 이용하여, 화학적 증기 침적(CVD) 공정을 통해 기판의 적어도 일부분 상에 침적된다. 따라서, 방법은 반응 챔버 내에 기판을 제공하는 단계를 포함한다. 적합한 기판은 반도체 물질, 예컨대, 갈륨 아르세나이드("GaAs"), 규소, 및 규소를 함유한 조성물, 예컨대, 결정질 규소, 폴리규소, 비정질 규소, 에피택셜 규소, 이산화규소("SiO2"), 규소 유리, 질화규소, 용융 실리카, 유리, 석영, 보로실리케이트 유리, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다. 다른 적합한 물질은 크롬, 몰리브덴, 및 반도체, 집적 회로, 평판 디스플레이, 플렉서블 디스플레이 적용에서 일반적으로 사용되는 다른 금속들을 포함한다. 기판은, 예를 들어, 규소, SiO2, 오가노실리케이트 유리(OSG), 불소화된 실리케이트 유리(FSG), 보론 카보니트라이드, 탄화규소, 수소화된 탄화규소, 질화규소, 수소화된 질화규소, 탄질화규소, 수소화된 탄질화규소, 보로니트라이드, 유기-무기 복합 물질, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질 및 복합물, 금속 옥사이드, 예컨대, 알루미늄 옥사이드, 및 게르마늄 옥사이드와 같은 추가 층을 가질 수 있다. 다른 추가 층은 또한, 게르마노실리케이트, 알루미노실리케이트, 구리, 및 알루미늄, 및 확산 배리어 물질, 이로 제한되지는 않지만, 예컨대, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN일 수 있다.
반응 챔버는 전형적으로, 예를 들어, 다양한 방식의 열적 CVD 또는 플라즈마 강화 CVD 반응기 또는 배치로형 반응기이다. 일 실시양태에서, 액체 전달 시스템이 사용될 수 있다. 액체 전달 포뮬레이션에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로, 용매 포뮬레이션 또는 이를 포함하는 조성물에 사용될 수 있다. 따라서, 특정의 실시양태에서, 전구체 포뮬레이션은 기판 상에 필름을 형성하기 위한 주어진 최종 용도 적용에 요망되고 유리할 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다.
본원에 개시된 방법은 알콕시디실록산을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계를 포함한다. 일부 실시양태에서, 조성물은, 예를 들어, O2, O3 및 N2O, 가스상 또는 액체 유기 물질, CO2, 또는 CO와 같은 산소-함유 종과 같은 추가 반응물을 포함할 수 있다. 한 가지 특정 실시양태에서, 반응 챔버에 도입된 반응 혼합물은 O2, N2O, NO, NO2, CO2, 물, H2O2, 오존 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 산화제를 포함한다. 대안적인 실시양태에서, 반응 혼합물은 산화제를 포함하지 않는다.
본원에 기재된 유전 필름을 침적시키기 위한 조성물은 약 40 내지 약 100 중량 퍼센트의 알콕시디실록산을 포함한다.
실시양태에서, 알콕시디실록산을 포함하는 가스상 조성물은 침적된 그대로의 필름의 탄성 모듈러스를 추가로 증가시키기 위해 경화 첨가제와 사용될 수 있다.
실시양태에서, 알콕시디실록산을 포함하는 가스상 조성물은, 예를 들어, 클로라이드와 같은 할라이드를 함유하지 않거나 실질적으로 함유하지 않는다.
알콕시디실록산 이외에, 침적 반응 전, 동안 및/또는 후에 추가 물질이 반응 챔버에 도입될 수 있다. 이러한 물질은, 예를 들어, 불활성 가스(예를 들어, He, Ar, N2, Kr, Xe 등(이는 덜 휘발성인 전구체를 위한 운반 가스로서 사용될 수 있고/있거나 침적시 물질의 경화를 촉진시키고 개선된 필름 특성을 제공할 수 있음))를 포함한다.
알콕시디실록산을 포함하는 사용되는 임의의 시약은 별개의 공급원과 별도로 또는 혼합물로서 반응기로 운반될 수 있다. 시약은 임의의 수의 수단에 의해, 바람직하게 액체를 공정 반응기로 전달할 수 있게 하는 적절한 밸브 및 피팅이 장착된 가압 가능한 스테인레스 스틸 용기를 사용하여 반응기 시스템으로 전달될 수 있다. 바람직하게는, 전구체는 가스로서 공정 진공 챔버에 전달된다. 즉, 액체는 공정 챔버에 전달되기 전에 기화되어야 한다.
다른 실시양태에서, 본원에 개시된 방법은 1-알콕시-1-메틸실라사이클로펜탄과 알콕시디실록산의 혼합물을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계를 포함한다.
본원에 개시된 방법은 반응 챔버에서 알콕시디실록산을 포함하는 가스상 조성물에 에너지를 인가하여 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리카 필름을 침적시키는 단계를 포함하고, 여기서 오가노실리카 필름은 일부 실시양태에서 ~ 2.50 내지 ~ 3.30, 다른 실시양태에서 2.80 내지 3.20, 및 추가의 바람직한 실시양태에서 2.80 내지 3.10의 유전 상수; ~ 6 내지 ~ 35 GPa, 바람직하게는 7 내지 27 GPa의 탄성 모듈러스; 및 XPS에 의해 측정된 바와 같은 ~ 15 내지 ~ 40의 원자% 탄소를 갖는다. 알콕시디실록산, 및 존재 시, 다른 반응물이 반응하도록 유도하여 기판 상에 필름을 형성시키기 위해 가스상 시약에 에너지가 인가된다. 이러한 에너지는, 예를 들어, 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 원격 플라즈마, 고온 필라멘트, 및 열(즉, 비-필라멘트) 및 방법에 의해 제공될 수 있다. 이차 rf 주파수원은 기판 표면에서의 플라즈마 특징을 개질시키는 데 사용될 수 있다. 이차 RF 주파수는 일차 RF 주파수와 함께 또는 이차 RF 주파수의 인가 후에 인가될 수 있다. 바람직하게는, 필름은 플라즈마-강화 화학적 증착("PECVD")에 의해 형성된다.
각각의 가스상 시약에 대한 유량은 바람직하게는 단일 300 mm 웨이퍼당 10 내지 7000 sccm, 더욱 바람직하게는 30 내지 3000 sccm의 범위이다. 필요한 실제 유량은 웨이퍼 크기 및 챔버 구성에 좌우될 수 있으며, 어떠한 방식으로도 300 mm 웨이퍼 또는 단일 웨이퍼 챔버로 제한되지 않는다.
특정의 실시양태에서, 필름은 분당 약 ~ 5 내지 ~ 400 나노미터(nm)의 침적 속도로 침적된다. 다른 실시양태에서, 필름은 분당 약 30 내지 약 200 나노미터(nm)의 침적 속도로 침적된다.
침적 동안 반응 챔버 내 압력은 전형적으로 약 0.01 내지 약 600 torr 또는 약 1 내지 15 torr의 범위이다.
필름은 바람직하게는 0.001 내지 500 마이크론의 두께로 침적되지만, 두께는 필요에 따라 달라질 수 있다. 패턴화되지 않은 표면 상에 침적된 블랭킷 필름은 탁월한 균일성을 가지며, 적정한 에지 배제를 갖는 기판에 대한 1 표준 편차에 비해 3% 미만의 두께 편차를 가지며, 이때, 예를 들어, 기판의 최외곽 에지 5 mm는 균일성의 통계 계산에 포함되지 않는다.
본 발명의 OSG 제품 이외에, 본 발명은 제품을 제조하는 공정, 제품을 사용하는 방법 및 제품을 제조하는 데 유용한 화합물 및 조성물을 포함한다. 예를 들어, 반도체 소자 상에 집적 회로를 제조하는 공정은 본원에 참조로 포함되는 미국 특허 제6,583,049호에 개시되어 있다.
개시된 방법에 의해 제조된 치밀한 오가노실리카 필름은 특히 에칭 및 포토레지스트 스트립 공정 동안 플라즈마 유도 손상에 대해 우수한 저항성을 나타낸다.
개시된 방법에 의해 제조된 치밀한 오가노실리카 필름은 동일한 유전 상수를 갖지만 알콕시디실록산이 아닌 전구체로부터 제조된 치밀한 오가노실리카 필름에 비해 주어진 유전 상수에 대해 우수한 기계적 특성을 나타낸다. 생성된 오가노실리카 필름(침적된 그대로)은 전형적으로 일부 실시양태에서 ~ 2.50 내지 ~ 3.30, 다른 실시양태에서 ~ 2.80 내지 ~ 3.20, 및 추가의 다른 실시양태에서 ~ 2.80 내지 ~ 3.10의 유전 상수, ~ 6 내지 ~ 35 GPa의 탄성 모듈러스, 및 XPS에 의해 측정된 바와 같은 ~ 15 내지 ~ 40의 원자% 탄소를 갖는다. 다른 실시양태에서, 생성된 오가노실리카 필름은 일부 실시양태에서 ~ 2.50 내지 ~ 3.30, 다른 실시양태에서 ~ 2.80 내지 ~ 3.20, 및 또 다른 실시양태에서 ~ 2.80 내지 ~ 3.10의 유전 상수, 다른 실시양태에서 ~ 6 내지 35 GPa의 탄성 모듈러스를 갖고, 생성된 오가노실리카 필름은 일부 실시양태에서 ~ 7 내지 ~ 27 GPa, 및 다른 실시양태에서 ~ 7 내지 ~ 23 GPa의 탄성 모듈러스, 및 XPS에 의해 측정된 바와 같은 ~ 15 내지 ~ 40의 원자% 탄소를 갖는다. 일부 실시양태에서, 질소의 혼입이 잠재적으로 치밀한 오가노실리카 필름의 유전체를 증가시키고 치밀한 오가노실리카 필름의 전기적 특성에 부정적으로 영향을 미칠 수 있다고 사료되므로, 질소 함량은 XPS, SIMS 또는 RBS 또는 임의의 분석 방법에 의해 측정된 바와 같은 0.1 원자% 이하, 바람직하게는 0.1 원자% 이하, 가장 바람직하게는, 0.01 원자% 이하인 것으로 예상된다. 또한, 오가노실리카 필름은 FTIR 스펙트럼으로부터 계산된 바와 같은 ~ 1 내지 ~ 45, 또는 ~ 5 내지 ~ 40, 또는 ~ 10 내지 ~ 40의 상대 디실릴메틸렌 밀도를 갖는다. 일부 실시양태에서, 오가노실리카 필름은 ~ 5 nm/min 내지 ~ 200 nm/min, 또는 ~ 5 nm/min 내지 ~ 100 nm/min의 속도로 침적된다. 다른 실시양태에서, 오가노실리카 필름은 ~ 100 nm/min 내지 ~ 500 nm/min, 또는 ~ 100 nm/min 내지 ~ 350 nm/min, 또는 ~ 200 nm/min 내지 ~ 350 nm/min의 더 높은 속도로 침적된다. 중요하게는, 화학식 (I)을 갖는 알콕시디실록산은 이들이 기존의 Si-O-Si 연결을 갖기 때문에 다른 알콕시실란보다 더 높은 침적 속도를 제공할 것으로 예상된다.
설명 전반에 걸쳐서, 기호 "~" 또는 "약"은 값으로부터 약 5.0% 편차를 지칭하고, 예를 들어, ~ 3.00은 약 3.00(±0.15)을 나타낸다.
얻어진 치밀한 오가노실리카 필름은 또한, 일단 침적되면 후 처리 공정을 거칠 수 있다. 따라서, 본원에서 사용되는 "후-처리"라는 용어는 물질 특성을 추가로 향상시키기 위해, 에너지(예컨대, 열, 플라즈마, 광자, 전자, 마이크로파 등) 또는 화학물질로 필름을 처리하는 것을 나타낸다.
후-처리가 수행되는 조건은 크게 다를 수 있다. 예를 들어, 후-처리는 고압 하에 또는 진공 분위기 하에 수행될 수 있다.
UV 어닐링은 하기 조건들 하에서 수행되는 바람직한 방법이다.
환경은 불활성(예를 들어, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 공기, 희석 산소 환경, 풍부한 산소 환경, 오존, 아산화 질소, 등) 또는 환원(희석 또는 농축된 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족) 등) 환경일 수 있다. 압력은 바람직하게는 약 1 Torr 내지 약 1000 Torr이다. 그러나, 열적 어닐링뿐만 아니라 임의의 다른 후-처리 수단을 위해서는 진공 분위기가 바람직하다. 온도는 바람직하게는 200 내지 500℃이며, 온도 램프 레이트는 0.1 내지 100 ℃/min이다. 총 UV 어닐링 시간은 바람직하게는 0.01 min 내지 12 시간이다.
본 발명은 하기 실시예를 참조하여 더욱 상세히 예시될 것이지만, 본 발명이 이에 제한되는 것으로 간주되지 않는다는 것이 이해되어야 한다. 또한, 본 발명에 기재된 전구체는, 기존의 다공성 저 k 필름에 비해 유사한 공정 이점(즉, 주어진 값의 유전 상수에 대해 더 큰 플라즈마 유도 손상 저항성 및 동등하거나 더 높은 기계적 특성)을 갖는 다공성 저 k 필름을 침적시키는 데 사용될 수 있다는 것이 인식된다.
실시예
모든 실험들을 2개의 웨이퍼 상에 필름을 동시에 침적시키는 300 mm AMAT Producer SE 상에서 수행하였다. 따라서, 전구체 및 가스 유량은 2개의 웨이퍼 상에 동시에 필름을 침적시키는 데 필요한 유량에 상응한다. 명시된 웨이퍼당 RF 전력은 각 웨이퍼 가공 스테이션이 자체의 독립적인 RF 전력 공급부를 갖고 있으므로 정확하다. 명시된 침적 압력은 둘 모두의 웨이퍼 가공 스테이션이 동일한 압력에서 유지되므로 정확하다. 침적 후, 일부 필름을 UV 경화 또는 어닐링에 주어지게 하였다. 300 mm AMAT Producer® Nanocure™ UV 경화 모듈 상에서 UV 어닐링을 수행하였으며, 웨이퍼를 10 Torr 미만의 하나 이상의 압력에서 및 400℃ 이하의 하나 이상의 온도에서 헬륨 가스 유동 하에 유지하였다.
소정의 특정 실시양태 및 실시예를 참조하여 상기에서 예시되고 설명되었지만, 그럼에도 불구하고 본 발명은 나타낸 세부 사항으로 제한되는 것으로 의도되지 않는다. 오히려, 본 발명의 사상에서 벗어나지 않고 청구범위의 등가물의 범주 및 범위 내에서 세부 사항의 다양한 수정이 이루어질 수 있다. 예를 들어, 본 문서에서 광범위하게 인용된 모든 범위는 더 넓은 범위에 속하는 더 좁은 범위 모두를 그 범위 내에 포함하도록 명백하게 의도된다. 또한, 본 발명에 개시된 알콕시디실록산은 높은 탄성 모듈러스, 높은 XPS 탄소 함량, 및 높은 플라즈마 유도 손상 저항성을 갖는 다공성 저 k 필름의 침적을 위한 구조 형성제로서 사용될 수 있음이 인식된다.
두께 및 굴절률을 Woollam 모델 M2000 분광 엘립소미터에서 측정하였다. 중간-저항 p-형 웨이퍼(8 내지 12 ohm-cm 범위)에서 Hg 프로브 기술을 사용하여 유전 상수를 결정하였다. FTIR 스펙트럼을 12-인치 웨이퍼 취급용 질소 퍼지 Pike Technologies Map300이 장착된 Thermo Fisher Scientific 모델 iS50 분광기를 사용하여 측정하였다. 필름에서 브릿징 디실릴메틸렌 기의 상대 밀도를 계산하기 위해 FTIR 스펙트럼을 사용하였다. 적외선 분광법에 의해 결정된 바와 같은, 필름 내의 브릿징 디실릴메틸렌 기의 상대 밀도(즉, SiCH2Si 밀도)는 1E4 곱하기 1360 cm-1 근처에 중심이 있는 SiCH2Si 적외선 대역의 면적을 대략 1250 cm-1 내지 920 cm-1의 SiO 대역의 면적으로 나눈 것으로 정의된다. 필름에서 말단 실리콘 메틸 기의 상대 밀도를 계산하기 위해 FTIR 스펙트럼을 사용하였다. 적외선 분광법에 의해 결정된 바와 같은 필름 내의 말단 실리콘 메틸 기의 상대 밀도(즉, Si(CH3)x (x=1,2,3) 밀도)는 1E2 곱하기 1273 cm-1 근처에 중심이 있는 Si(CH3)x 적외선 대역의 면적을 대략 1250 cm-1 내지 920 cm-1의 SiOx 대역의 면적으로 나눈 것으로 정의된다. 100으로 나눈 XPS에 의해 측정된 필름의 총 탄소 함량의 값에 대한 IR 분광법에 의해 결정된 SiCH2Si 기의 상대 밀도의 비에 의해 정의된 바와 같은, SiCH2Si 기를 포함하는 필름에서 총 탄소의 백분율. 이 비는 IR 분광법에 의해 결정된 바와 같은 SiCH2Si 기의 상대 밀도의 실험 값(최대 4개의 유효 숫자) 및 반올림 전 XPS 탄소 함량의 실험 값(최대 4개의 유효 숫자)을 사용하여 계산되었다(예를 들어, IPOTMDS 기반 본 발명의 실시예 1 필름의 경우, 이 비는 표 1에 제시된 바와 같이 24/(25/100) = 96이 아니라 반올림 후 실제로 97임). 표 1 내지 4에서 100으로 나눈 XPS에 의해 측정된 필름의 총 탄소 함량의 값에 대한 IR 분광법에 의해 결정된 SiCH2Si 기의 상대 밀도의 보고된 비는 가장 가까운 정수로 반올림되었다. KLA iNano Nano Indenter를 사용하여 기계적 특성을 결정하였다.
X-선 광전자 분광법(XPS)에 의해 조성 데이터를 수득하였다. Aluminum K-Alpha 1486.68 eV 공급원과 함께 Thermo Fisher Thermo K-Alpha XPS를 사용하여 XPS를 수행하였고, 검출기는 샘플 표면에 대해 보통각이다. 기기는 각 측정 전에 내부 Au 표준을 사용하여 보정하였다. 벌크 조성을 1keV Ar+ 스퍼터링 건을 사용하여 물질의 ~ 상부 20 nm까지 스퍼터링 후 조사하였다. 보고된 원자 중량 퍼센트(%) 값은 수소를 포함하지 않는다.
스퍼터링에 의해 저 k 필름의 표면으로부터 물질을 제거하기 위해 저에너지 Cs+ 이온의 연속 집속된 빔을 사용하여 동적 SIMS 프로파일을 획득하였다. 저에너지 Cs+ 이온을 사용하여 충돌 캐스케이드로 인한 원자 혼합을 감소시키고 깊이 분해능을 최대화하였다. 필름-웨이퍼 계면에 매우 가깝게 스퍼터링한 다음, 스타일러스 프로파일로미터(stylus profilometer)로 스퍼터링된 깊이를 측정함으로써 스퍼터 레이트를 보정하였다. 분석되는 것들과 유사한 치밀한 저 k 필름의 RBS/HFS 데이터를 사용하여 SIMS 프로파일을 정량화하였다. 동적 SIMS 깊이 프로파일을 획득하기 위해 사용된 파라미터는 조사된 모든 플라즈마 손상된 저 k 필름에 대해 동일하였다.
하기에 나열된 실시예들에서의 각각의 전구체에 대해, 목표된 유전 상수에서 높은 기계적 강도를 갖는 필름을 산출하도록 침적 조건을 최적화하였다.
소정의 특정 실시양태 및 실시예를 참조하여 상기에서 예시되고 설명되었지만, 그럼에도 불구하고 본 발명은 나타낸 세부 사항으로 제한되는 것으로 의도되지 않는다. 오히려, 본 발명의 사상에서 벗어나지 않고 청구범위의 등가물의 범주 및 범위 내에서 세부 사항의 다양한 수정이 이루어질 수 있다. 예를 들어, 본 문서에서 광범위하게 인용된 모든 범위는 더 넓은 범위에 속하는 더 좁은 범위 모두를 그 범위 내에 포함하도록 명백하게 의도된다. 또한, 본 발명에 개시된 알콕시디실록산은 높은 플라즈마 유도 손상 저항성 및 높은 기계적 특성을 갖는 다공성 저 k 필름의 침적을 위한 구조 형성제로서 사용될 수 있음이 인식된다.
합성예 1: 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산의 합성.
93.7 g(1.56 mol)의 이소프로필 알코올(무수)을 실온에서 1.25 L의 무수 THF 중 209 g(1.56 mol)의 1,1,3,3-테트라메틸디실록산 및 0.01% 몰 투입량의 촉매에 적가하였다. 하루 경과 후, GC-MS는 요망되는 생성물 m/z 192의 형성을 가리켰다. GC는 비스-치환된 요망되는 생성물의 비가 9:1임을 가리켰다. 용매를 대기압에서 증류에 의해 제거하였다. 생성물을 28 Torr 진공 하에 51℃의 증기 온도에서 221.8 g의 양으로 분리하였고, 95% 순수하였다. 수율은 74%였다.
합성예 2: 1-2차-부톡시-1,1,3,3-테트라메틸디실록산의 합성
0.21 g(2.8 mmol)의 2-부탄올을 실온에서 3 mL의 무수 THF 중 0.38 g(2.8 mmol)의 1,1,3,3-테트라메틸디실록산 및 0.03% 몰 투입량의 촉매에 적가하였다. 1 시간 후, GC-MS는 요망되는 생성물 m/z 206의 형성을 가리켰다.
합성예 3: 1-3차-부톡시-1,1,3,3-테트라메틸디실록산의 합성
0.21 g(2.8 mmol)의 t-부탄올을 실온에서 3 mL의 무수 THF 중 0.38 g(2.8 mmol)의 1,1,3,3-테트라메틸디실록산 및 0.03% 몰 투입량의 촉매에 적가하였다. 1 시간 후, GC-MS는 요망되는 생성물 m/z 206의 형성을 가리켰다.
합성예 4: 1-사이클로헥속시-1,1,3,3-테트라메틸디실록산의 합성
0.28 g(2.8 mmol)의 사이클로헥산올을 실온에서 3 mL의 무수 THF 중 0.38 g(2.8 mmol)의 1,1,3,3-테트라메틸디실록산 및 0.03% 몰 투입량의 촉매에 적가하였다. 1 시간 후, GC-MS는 요망되는 생성물 m/z 232의 형성을 가리켰다.
다른 화합물을 실시예 1 내지 4와 유사한 방식을 통해 제조하고 GC-MS로 특징화하였다. 하기와 같이 이들의 식별을 확인하기 위해, 각각의 화합물의 분자량(MW), 구조, 및 상응하는 주요 MS 단편화 피크가 하기에 제공된다.
Figure pct00006
Figure pct00007
Figure pct00008
Figure pct00009
Figure pct00010
Figure pct00011
비교예 1: 디에톡시메틸실란(DEMS®)으로부터의 치밀한 OSG 필름의 침적.
치밀한 DEMS® 기반 필름을 300 mm 가공을 위해 하기 공정 조건을 이용하여 침적시켰다. 1250 분당 표준 입방 센티미터(sccm) He 운반 가스 흐름, 25 sccm O2, 380 밀리-인치 샤워헤드/가열된 페데스탈 간격, 350℃ 페데스탈 온도, 615 와트 13.56 MHz 플라즈마가 인가된 7.5 Torr 챔버 압력를 사용하여 2500 mg/min의 유량으로 직접 액체 주입(DLI)을 통해 DEMS® 전구체를 반응 챔버에 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 1에 제공되어 있다.
비교예 2: 1-메틸-1-이소-프로폭시-1-실라사이클로페난(MIPSCP)으로부터의 치밀한 OSG 필름의 침적.
치밀한 1-메틸-1-이소-프로폭시-1-실라사이클로페난(MIPSCP) 기반 필름을 300 mm 가공을 위해 하기 공정 조건을 이용하여 침적시켰다. 750 분당 표준 입방 센티미터(sccm) He 운반 가스 흐름, 8 sccm O2, 380 밀리-인치 샤워헤드/가열된 페데스탈 간격, 390℃ 페데스탈 온도, 275 와트 13.56 MHz 플라즈마가 인가된 7.5 Torr 챔버 압력를 사용하여 850 mg/min의 유량으로 직접 액체 주입(DLI)을 통해 1-메틸-이소-프로폭시-1-실라사이클로페난 전구체를 반응 챔버에 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 1에 제공되어 있다.
비교예 3: DEMS®로부터의 치밀한 OSG 필름의 침적.
치밀한 DEMS® 기반 필름을 300 mm 가공을 위해 하기 공정 조건을 이용하여 침적시켰다. 1500 분당 표준 입방 센티미터(sccm) He 운반 가스 흐름, 75 sccm O2, 380 밀리-인치 샤워헤드/가열된 페데스탈 간격, 350℃ 페데스탈 온도, 465 와트 13.56 MHz 플라즈마가 인가된 7.5 Torr 챔버 압력를 사용하여 1500 mg/min의 유량으로 직접 액체 주입(DLI)을 통해 DEMS® 전구체를 반응 챔버에 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 2에 제공되어 있다.
비교예 4: DEMS®로부터의 치밀한 OSG 필름의 침적.
치밀한 DEMS® 기반 필름을 300 mm 가공을 위해 하기 공정 조건을 이용하여 침적시켰다. 1500 분당 표준 입방 센티미터(sccm) He 운반 가스 흐름, 25 sccm O2, 380 밀리-인치 샤워헤드/가열된 페데스탈 간격, 350℃ 페데스탈 온도, 217 와트 13.56 MHz 플라즈마가 인가된 7.5 Torr 챔버 압력를 사용하여 2000 mg/min의 유량으로 직접 액체 주입(DLI)을 통해 DEMS® 전구체를 반응 챔버에 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 3에 제공되어 있다.
본 발명의 실시예 1: 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산으로부터의 치밀한 OSG 필름의 침적.
오가노실리케이트(OSG) 필름을 규소 전구체로서 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산을 사용하여 침적시켰다. 300 mm 웨이퍼 상에 복합 필름을 침적시키기 위한 침적 조건은 다음과 같았다: 1399 밀리그램/분(mg/min)의 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산의 유량, 975 분당 표준 입방 센티미터(sccm)의 헬륨 운반 가스 흐름, 19 sccm O2, 380 밀리-인치 샤워헤드/웨이퍼 간격, 400℃ 웨이퍼 척 온도, 427 W 플라즈마가 인가된 6.7 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버로 전구체를 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 1 및 3에 제공되어 있다.
본 발명의 실시예 2: 1-에톡시-1,1,3,3-테트라메틸디실록산으로부터의 치밀한 OSG 필름의 침적.
오가노실리케이트(OSG) 필름을 규소 전구체로서 1-에톡시-1,1,3,3-테트라메틸디실록산을 사용하여 침적시켰다. 300 mm 웨이퍼 상에 복합 필름을 침적시키기 위한 침적 조건은 다음과 같았다: 1400 밀리그램/분(mg/min)의 1-에톡시-1,1,3,3-테트라메틸디실록산의 유량, 925 분당 표준 입방 센티미터(sccm)의 헬륨 운반 가스 흐름, 19 sccm O2, 380 밀리-인치 샤워헤드/웨이퍼 간격, 400℃ 웨이퍼 척 온도, 425 W 플라즈마가 인가된 6.7 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버로 전구체를 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 1에 제공되어 있다.
본 발명의 실시예 3: 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산으로부터의 치밀한 OSG 필름의 침적.
오가노실리케이트(OSG) 필름을 규소 전구체로서 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산을 사용하여 침적시켰다. 300 mm 웨이퍼 상에 복합 필름을 침적시키기 위한 침적 조건은 다음과 같았다: 800 밀리그램/분(mg/min)의 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산의 유량, 975 분당 표준 입방 센티미터(sccm)의 헬륨 운반 가스 흐름, 8 sccm O2, 380 밀리-인치 샤워헤드/웨이퍼 간격, 400℃ 웨이퍼 척 온도, 375 W 플라즈마가 인가된 6.7 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버로 전구체를 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 2에 제공되어 있다.
본 발명의 실시예 4: 1-에톡시-1,1,3,3-테트라메틸디실록산으로부터의 치밀한 OSG 필름의 침적.
오가노실리케이트(OSG) 필름을 규소 전구체로서 1-에톡시-1,1,3,3-테트라메틸디실록산을 사용하여 침적시켰다. 300 mm 웨이퍼 상에 복합 필름을 침적시키기 위한 침적 조건은 다음과 같았다: 1400 밀리그램/분(mg/min)의 1-에톡시-1,1,3,3-테트라메틸디실록산의 유량, 925 분당 표준 입방 센티미터(sccm)의 헬륨 운반 가스 흐름, 8 sccm O2, 380 밀리-인치 샤워헤드/웨이퍼 간격, 400℃ 웨이퍼 척 온도, 350 W 플라즈마가 인가된 6.7 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버로 전구체를 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 2에 제공되어 있다.
본 발명의 실시예 5: 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산으로부터의 치밀한 OSG 필름의 침적.
규소 전구체로서 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산을 사용하여 오가노실리케이트(OSG) 필름을 침적시켰다. 300 mm 웨이퍼 상에 복합 필름을 침적시키기 위한 침적 조건은 다음과 같았다: 800 밀리그램/분(mg/min)의 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산의 유량, 975 분당 표준 입방 센티미터(sccm)의 헬륨 운반 가스 흐름, 30 sccm O2, 380 밀리-인치 샤워헤드/웨이퍼 간격, 400℃ 웨이퍼 척 온도, 410 W 플라즈마가 인가된 6.7 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버로 전구체를 전달하였다. 필름의 다양한 속성(예를 들어, 유전 상수(k), 탄성 모듈러스 및 경도, 적외선 스펙트럼에 의한 Si(CH3)x 및 SiCH2Si의 상대 밀도, 및 XPS에 의한 원자 조성(원자 퍼센트 탄소, 원자 퍼센트 산소, 및 원자 퍼센트 규소))을 상기 기재된 바와 같이 수득하였고, 이는 표 4에 제공되어 있다.
저 k 필름에 화학적으로 혼입된 말단 Si(CH3) 기의 양은 유전 상수와 기계적 강도 둘 모두에 영향을 미친다. 말단 Si(CH3) 기의 양을 증가시키는 것은 유전 상수를 낮추고 필름의 기계적 강도를 감소시킨다. 도 1은 네트워크에서 규소 원자당 메틸 기가 첨가된 저 k 필름의 예측된 벌크 모듈러스의 예시를 제공한다. 도 1은 추가 메틸 기가 첨가됨에 따라 벌크 모듈러스가 연속적으로 떨어지는 것을 보여준다. 그러나, 필름 내에 Si(CH3) 기가 너무 적으면 유전 상수가 불리하게 영향을 받을 수 있다. 따라서, 주어진 유전 상수에 대해 말단 메틸 기로 치환된 Si 원자의 백분율을 제한하는 수단을 갖는 것은 이것이 기계적 특성을 최대화할 수 있기 때문에 바람직하다. SiCH2Si 브릿징 기의 형태로 필름에 탄소를 첨가하는 수단을 갖는 것이 훨씬 더 바람직하다. 브릿징 기의 형태로 탄소를 혼입시키는 것은 기계적 강도의 관점에서, 탄소 함량을 증가시킴으로써 네트워크 구조가 파괴되지 않고 말단 Si(CH3)x 기의 형태로 필름에 동일한 양의 탄소를 혼입시키는 것에 비해 더 높은 기계적 강도가 달성될 수 있기 때문에 바람직하다. SiCH2Si 브릿징 기의 형태로 필름에 탄소를 첨가하는 것은 또한 필름이 필름의 에칭, 포토레지스트의 플라즈마 애싱, 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터의 OSG 필름의 탄소 고갈에 대해 보다 탄력적일 수 있게 한다. OSG 필름에서 탄소 고갈은 필름의 유효 유전 상수의 증가, 습식 세정 단계 동안 필름 에칭 및 피처 휨과 관련된 문제, 및/또는 구리 확산 장벽을 침적시킬 때 통합 문제를 일으킬 수 있다.
표 1은 구조 형성제로서, 예를 들어, IPOTMDS, 및 EOTMDS와 같은 본 발명에 기재된 알콕시디실록산 전구체를 사용하여 제조된 3.0의 유전 상수를 갖는 필름이 동일한 값의 유전 상수에서 DEMS® 구조 형성제 또는 MIPSCP 구조 형성제를 사용하여 제조된 필름에 비해 동등하거나 더 큰 기계적 강도를 갖는다는 것을 보여준다. 유사하게, 표 2는 구조 형성제로서, 예를 들어, IPOTMDS, 및 EOTMDS와 같은 본 발명에 기재된 알콕시디실록산 전구체를 사용하여 제조된 3.1의 유전 상수를 갖는 필름이 동일한 값의 유전 상수에서 DEMS® 구조 형성제를 사용하여 제조된 필름에 비해 동등하거나 더 큰 기계적 강도를 갖는다는 것을 보여준다.
표 1. DEMS®, MIPSCP, IPOTMDS, 및 EOTMDS를 사용하여 침적된 치밀한 OSG 필름에 대한 비교 필름 특성.
Figure pct00012
3.0의 유전 상수를 갖는 필름에 대한 표 1의 데이터를 고려한다. 표 1에서 본 발명의 IPOTMDS 기반 필름의 탄성 모듈러스는 비교 DEMS® 기반 필름의 탄성 모듈러스보다 20% 더 크고, 표 1에서 본 발명의 EOTMDS 기반 필름의 탄성 모듈러스는 비교 DEMS® 기반 필름의 탄성 모듈러스보다 27% 더 크다. 본 발명의 IPOTMDS 및 EOTMDS 기반 필름 둘 모두의 탄성 모듈러스는 비교 MIPSCP 기반 필름의 탄성 모듈러스와 유사하다. 그러나, 도 1의 컴퓨터 모델링 작업에 기초하여, Si(CH3) 기의 가장 낮은 밀도를 갖는 필름은 가장 높은 기계적 강도를 갖는 것으로 예상될 것이고, 가장 높은 밀도의 Si(CH3) 기를 갖는 필름은 가장 낮은 기계적 강도를 갖는 것으로 예상될 것이다. 표 1에 제시된 바와 같이, 이는 그렇지 않다. 예시하자면, 본 발명에 기재된 알콕시디실록산 전구체, IPOTMDS, 및 EOTMDS를 사용하여 제조된 필름의 상대 Si(CH3) 밀도(이들의 적외선 스펙트럼으로부터 결정됨)는 비교 DEMS® 기반 필름의 Si(CH3) 밀도보다 20% 더 크다. 그러나, 본 발명에 기재된 알콕시디실록산 전구체, IPOTMDS, 및 EOTMDS를 사용하여 제조된 필름의 탄성 모듈러스 및 경도는 종래 기술의 구조 형성제 DEMS®를 사용하여 제조된 필름의 탄성 모듈러스 및 경도보다 크다. 유사하게, 비교 MIPSCP 기반 필름은 비교 DEMS® 기반 필름보다 더 높은 Si(CH3) 밀도(+8%) 및 더 높은 기계적 강도(+20%)를 갖는다. 따라서, 예를 들어, IPOTMDS 및 EOTMDS와 같은 본 발명에 기재된 알콕시디실록산 전구체를 사용하여 제조된 필름, 및 MIPSCP를 사용하여 제조된 필름은 종래 기술의 구조 형성제 DEMS®를 사용하여 제조된 필름보다 더 높은 Si(CH3) 밀도 및 더 높은 기계적 강도를 갖는다. 이는 예기치 않은 것이며, Si(CH3) 밀도 이외의 인자가 이들 필름의 기계적 강도에 기여하고 있음을 가리킨다.
표 2. DEMS®, TMIPODS, 및 TMEODS를 사용하여 침적된 치밀한 OSG 필름에 대한 비교 필름 특성.
Figure pct00013
3.1의 유전 상수를 갖는 필름에 대한 표 2의 데이터를 고려한다. 표 2에서 본 발명의 IPOTMDS 기반 필름의 탄성 모듈러스는 비교 DEMS® 기반 필름의 탄성 모듈러스보다 29% 더 크고, 표 1에서 본 발명의 EOTMDS 기반 필름의 탄성 모듈러스는 비교 DEMS® 기반 필름의 탄성 모듈러스보다 18% 더 크다. 본 발명의 알콕시디실록산 전구체를 사용하여 제조된 필름에서 Si(CH3) 밀도는 종래 기술의 전구체 DEMS®를 사용하여 제조된 필름의 Si(CH3) 밀도보다 크다. 예를 들어, 본 발명의 알콕시디실록산 전구체 IPOTMDS를 사용하여 제조된 필름의 Si(CH3) 밀도는 비교 DEMS® 기반 필름의 Si(CH3) 밀도보다 32% 더 큰 반면, 본 발명의 알콕시디실록산 전구체 EOTMDS를 사용하여 제조된 필름의 Si(CH3) 밀도는 비교 DEMS® 기반 필름의 Si(CH3) 밀도보다 36% 더 크다. 예를 들어, IPOTMDS 및 EOTMDS와 같은 알콕시디실록산 전구체를 사용하여 제조된 필름이 비교 DEMS® 기반 필름에 비해 더 높은 Si(CH3) 밀도와 더 높은 기계적 강도 둘 모두를 갖는다는 것은 예기치 않은 것이다. 이는 Si(CH3) 밀도 이외의 인자가 이들 필름의 기계적 강도에 기여하고 있음을 가리킨다.
3500 cm-1 내지 500 cm-1의 투과 적외선 스펙트럼은 표 1에 요약된 3개의 상이한 필름에 대해 도 2에 도시되어 있고; 3개의 필름 모두는 3.0의 유전 상수를 갖는다. 도 3은 3개의 필름 모두에 대한 1360 cm-1 근처에 중심을 둔 디실릴메틸렌(SiCH2Si) 적외선 대역의 확대된 이미지를 보여준다. IPOTMDS 구조 형성제 전구체를 사용하여 제조된 필름에 대한 SiCH2Si 대역의 피크 흡광도는 DEMS® 구조 형성제 전구체를 사용하여 제조된 필름에 대한 SiCH2Si 대역의 피크 흡광도보다 2배 초과 더 크다. MIPSCP 구조 형성제 전구체를 사용하여 제조된 필름에 대한 SiCH2Si 대역의 피크 흡광도는 DEMS® 구조 형성제 전구체를 사용하여 제조된 필름에 대한 SiCH2Si 대역의 피크 흡광도보다 대략 2배 더 크다. 따라서, 적외선 스펙트럼은 IPOTMDS 구조 형성제를 사용하여 제조된 필름이 종래 기술의 MIPSCP 구조 형성제 전구체를 사용하여 제조된 필름에 비해 더 높은 농도의 SiCH2Si 기를 갖고 IPOTMDS 및 MIPSCP 기반 필름 둘 모두가 종래 기술의 DEMS® 구조 형성제 전구체를 사용하여 제조된 필름에 비해 훨씬 더 높은 농도의 SiCH2Si 기를 갖는다는 것을 가리킨다.
표 1은, 예를 들어, IPOTMDS, 및 EOTMDS와 같은 본 발명에 기재된 알콕시디실록산 전구체를 사용하여 제조된 3.0의 유전 상수를 갖는 필름이 동일한 값의 유전 상수에서 DEMS® 구조 형성제 또는 MIPSCP 구조 형성제를 사용하여 제조된 필름에 비해 유의하게 더 큰 SiCH2Si 밀도를 갖는다는 것을 보여준다. 유사하게, 표 2는 본 발명에 기재된 알콕시디실록산 전구체, IPOTMDS, 및 EOTMDS를 사용하여 제조된 3.1의 유전 상수를 갖는 필름이 동일한 값의 유전 상수에서 DEMS® 구조 형성제를 사용하여 제조된 필름에 비해 유의하게 더 큰 SiCH2Si 밀도를 갖는다는 것을 보여준다.
3.0의 유전 상수를 갖는 필름에 대한 표 1의 데이터를 고려한다. 표 1에서 본 발명의 IPOTMDS 기반 필름의 SiCH2Si 밀도는 비교 DEMS® 기반 필름보다 300% 더 크고, 표 1에서 본 발명의 EOTMDS 기반 필름의 SiCH2Si 밀도는 비교 DEMS® 기반 필름보다 267% 더 크다. 본 발명의 IPOTMDS 및 EOTMDS 기반 필름 둘 모두의 SiCH2Si 밀도는 비교 MIPSCP 기반 필름의 SiCH2Si 밀도보다 적어도 16% 더 크다. 3.1의 유전 상수를 갖는 필름에 대한 표 2의 데이터를 고려한다. 표 1에서 본 발명의 IPOTMDS 기반 필름의 SiCH2Si 밀도는 비교 DEMS® 기반 필름보다 300% 더 크고, 표 1에서 본 발명의 EOTMDS 기반 필름의 SiCH2Si 밀도는 비교 DEMS® 기반 필름보다 257% 더 크다. 이론으로 국한되지는 않지만, DEMS®로부터 제조된 비교 필름에 비해 알콕시디실록산 구조 형성제 전구체 IPOTMDS 및 EOTMDS를 사용하여 제조된 필름의 Si(CH3) 밀도의 증가와 기계적 강도의 예기치 않은 증가는 DEMS®로부터 제조된 비교 필름에 비해 이들의 매우 높은 SiCH2Si 밀도에 기인한다. 예시하자면, 표 1 및 2의 데이터는 IPOTMDS 및 EOTMDS 기반 필름의 Si(CH3) 밀도가 동일한 값의 유전 상수에서 DEMS® 기반 필름의 Si(CH3) 밀도보다 ~ 20 내지 ~ 35% 더 큰 반면, IPOTMDS 및 EOTMDS 기반 필름의 SiCH2Si 밀도는 동일한 값의 유전 상수에서 DEMS® 기반 필름의 SiCH2Si 밀도보다 ~ 255 내지 300% 더 크다는 것을 보여준다. 저 k 필름 내에 브릿징 SiCH2Si 기로서 탄소를 혼입하는 것은 3-차원 네트워크 구조를 유지하고 필름의 기계적 강도를 유지하거나 증가시킴에 따라, DEMS® 기반 필름에 비해 IPOTMDS 및 EOTMDS 기반 필름의 SiCH2Si 밀도의 훨씬 더 큰 증가는 DEMS® 기반 필름에 비해 IPOTMDS 및 EOTMDS 기반 필름의 Si(CH3) 밀도의 약간의 증가로 인한 기계적 강도의 임의의 감소를 상쇄시켜야 한다.
본 발명에 따른 화학식 (I) 또는 화학식 (II)를 갖는 알콕시디실록산 전구체를 사용하여 제조된 필름의 경우에, 전구체 구조는, 구조 형성제에서 높은 백분율의 3 또는 4개의 말단 Si-Me 기(Si(CH3))를 필름의 네트워크 구조에서 브릿징 메틸렌 기(디실릴메틸렌, SiCH2Si)로 전환시키는 플라즈마에서의 반응을 촉진시키도록 하는 것으로 사료된다. 이러한 방식으로, 기계적 강도 관점에서, 필름 내의 탄소 함량을 증가시킴으로써 네트워크 구조가 붕괴되지 않도록, 브릿징 기의 형태로 탄소를 혼입할 수 있다. 이는 또한, 필름에 탄소를 부가하여, 필름의 에칭, 포토레지스트의 플라즈마 애싱(plasma ashing), 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터의 탄소 고갈에 대해 필름이 더 탄력적일 수 있게 한다. 추측컨대, IPOTMDS 및 EOTMDS의 경우, 전구체에서의 4개의 말단 실리콘 메틸 기(규소 원자당 2개)의 존재는, 종래 기술의 구조 형성제 DEMS®와 같이 규소 원자당 더 적은 말단 메틸 기를 함유하는 전구체에 비해, 침적된 그대로의 필름에서 고밀도의 디실릴메틸렌 기(SiCH2Si)의 형성에 유리하다. MIPSCP의 경우에, SiCH2Si 기의 형성은 또한 침적 동안 환형 구조의 파괴에 기인한 것으로 사료된다. 그러나, 표 1에 제시된 바와 같이, 본 발명의 알콕시디실록산 전구체 IPOTMDS 및 EOTMDS로부터 침적된 필름에서 SiCH2Si 기의 밀도는 동일한 값의 유전 상수에서 비교 MIPSCP 구조 형성제로부터 침적된 필름에서 SiCH2Si 기의 밀도보다 유의하게 더 크다. 실제로, 화학식 (II)에 의해 기재된 바와 같은 알콕시디실록산 전구체로부터 침적된 저 k 필름은 본원의 실험실에서 침적된 임의의 저 k 필름의 가장 높은 SiCH2Si 밀도를 초래하였다. 따라서, 예를 들어, IPOTMDS 및 EOTMDS와 같은 화학식 (I) 및 (II)에 의해 기재된 본 발명의 알콕시디실록산 전구체로부터 침적된 필름은, 예를 들어, DEMS® 및 MIPSCP와 같은 종래 기술의 구조 형성제로부터 침적된 필름에 비해 예기치 않게 높은 기계적 특성 및 예기치 않게 높은 SiCH2Si 밀도를 갖는다.
유전 필름의 총 탄소 함량이 증가함에 따라 유전 필름으로부터의 탄소 제거 저항성이 증가한다는 것은 잘 확립되어 있다. 예를 들어, 본 발명자들이 아는 한, 종래 기술의 전구체 1-메틸-1-에톡시-1-실라사이클로펜탄 또는 MESCP, 또는 이의 유도체, 예컨대, 1-메틸-1-에톡시-1-실라사이클로펜탄 또는 MIPSCP를 사용하여 제조된 필름은 지금까지 침적된 임의의 치밀한 저 k 필름(미국 특허 제9,922,818호)의 NH3 플라즈마에 노출될 때의 가장 강한 탄소 제거 저항성을 갖는 것으로 보고되어 있다. 이는 이들 필름의 매우 높은 탄소 함량(전형적으로 > 30%)에 기인한다. 이는 미국 특허 제9,922,818호에 예시되어 있으며, 여기서 36% 탄소(XPS, 원자%)를 함유한 사이클로옥탄과 MIPSCP 구조 형성제 전구체의 조합을 사용하여 제조된 저 k 필름에 대한 NH3 플라즈마에 노출 후 탄소 제거의 깊이가 23% 탄소(XPS, 원자%)를 함유한 사이클로옥탄과 DEMS® 구조 형성제 전구체의 조합을 사용하여 제조된 저 k 필름보다 20% 더 낮다(44 nm와 비교하여 35 nm임). 따라서, 표 1에 주어진 DEMS® 구조 형성제 전구체를 사용하여 침적된 필름(비교 필름 1), MIPSCP 구조 형성제 전구체를 사용하여 침적된 필름(비교 필름 2), 및 IPOTMDS 구조 형성제 전구체를 사용하여 침적된 필름(본 발명의 필름 1)을 비교하면, MIPSCP 구조 형성제 전구체를 사용하여 침적된 필름은 NH3 플라즈마에 노출될 때 가장 큰 탄소 제거 저항성을 가질 것이고, 반면에 DEMS® 구조 형성제 전구체를 사용하여 침적된 필름은 NH3 플라즈마에 노출될 때 최소의 탄소 제거 저항성을 가질 것이다.
도 4는 필름이 NH3 플라즈마를 사용하여 손상된 후 비교 필름 1(DEMS® 구조 형성제를 사용하여 침적됨), 비교 필름 2(MIPSCP 구조 형성제를 사용하여 침적됨), 본 발명의 필름 1(IPOTMDS 구조 형성제를 사용하여 침적됨)의 동적 SIMS 프로파일 필름을 보여준다. 4개의 필름 모두가 300 W 플라즈마 전력에서 25 초 NH3 플라즈마에 노출되어 적분에서 보여진 플라즈마 손상 조건을 모델링하였다. 탄소 제거 깊이(플라즈마 유도 손상의 깊이로도 표시됨)는 동적 SIMS 깊이 프로파일링에 의해 지시된 바와 같이 필름으로부터 탄소가 제거된 깊이로 지시된다.
NH3 플라즈마에 노출 후 탄소 제거 깊이는 IPOTMDS 및 MIPSCP 구조 형성제 전구체를 사용하여 제조된 필름에 대해 SIMS 깊이 프로파일링에 의해 결정된 바와 같은 대략 15 nm인 반면, DEMS® 구조 형성제 전구체를 사용하여 제조된 필름에 대한 NH3 플라즈마에 노출 후 탄소 제거 깊이는 대략 24 nm로 훨씬 더 높다. DEMS® 구조 형성제 전구체를 사용하여 제조된 필름에 대한 높은 탄소 제거 깊이는 이러한 필름이 가장 낮은 총 탄소 함량을 갖기 때문에 예상된다. 예기치 않게도, MIPSCP 기반 필름이 가장 큰 탄소 함량(이의 SIMS 깊이 프로파일로부터 결정된 바와 같은 34 원자% 탄소)을 갖더라도, MIPSCP를 사용하여 제조된 필름으로부터의 탄소 제거 깊이는 가장 작지 않다. 더욱 놀랍게도, 화학식 (II)에 기재된 알콕시디실록산 화합물, 예를 들어, IPOTMDS를 사용하여 제조된 필름은 종래 기술의 MIPSCP 구조 형성제를 사용하여 제조된 필름과 동일한, SIMS 깊이 프로파일링에 의해 결정된 바와 같은, 작은 탄소 제거 깊이를 갖는다. 이는 IPOTMDS 구조 형성제 화합물을 사용하여 제조된 필름이 MIPSCP 구조 형성제를 사용하여 제조된 필름에 비해 더 낮은 총 탄소 함량(28% 적은 탄소)을 갖기 때문에 매우 예기치 않은 것이었다. 이는, 예를 들어, IPOTMDS 및 EOTMDS와 같은 화학식 (I) 및 화학식 (II)에 기재된 알콕시디실록산 화합물을 사용하여 제조된 필름의 또 다른 독특한 속성으로서, 화학식 (I) 및 화학식 (II)에 기재된 알콕시디실록산 화합물을 사용하여 제조된 필름은 비교적 낮은 총 탄소 함량(< ~ 28 원자%)을 갖는 필름에 대해 예상되는 것보다 NH3 플라즈마에 노출될 때 훨씬 더 높은 탄소 제거 저항성을 갖는다.
이론으로 국한되지는 않지만, 예를 들어, IPOTMDS와 같은 화학식 (I) 및 화학식 (II)에 기재된 알콕시디실록산 화합물을 사용하여 제조된 필름에서 매우 높은 플라즈마 유도 손상 저항성은 이들 필름에서 탄소의 독특한 분포; 비교적 낮은 총 탄소 함량(< ~ 28 원자%), 높은 밀도의 디실릴메틸렌 기(~ > 20, IR 분광법에 의해 결정됨), 및 디실릴메틸렌 기를 포함하는 총 탄소 함량의 높은 백분율(> 60, IR 분광법 및 XPS의 조합에 의해 결정됨)에 기인한다. 예시하자면, 표 1에 제시된 바와 같이, IPOTMDS 및 EOTMDS 구조 형성제 전구체를 사용하여 제조된 필름은 종래 기술의 구조 형성제 MIPSCP(56) 및 DEMS®(33)을 사용하여 제조된 필름에 비해 디실릴메틸렌 기(각각 97 및 77)를 포함하는 총 탄소 함량의 가장 높은 백분율을 갖는다. 실제로, 종래 기술의 구조 형성제 MIPSCP는 NH3 플라즈마에 노출 후 강한 탄소 제거 저항성을 제공하기 위해 높은 백분율의 탄소를 갖는 필름을 침적시키도록 특별히 설계되었다. 이 필름은 높은 백분율의 총 탄소(34 원자%, 이의 SIMS 깊이 프로파일로부터 측정됨) 및 이의 적외선 스펙트럼에 의해 결정된 바와 같은 고밀도의 SiCH2Si 기를 함유하지만, 이는 또한 고밀도의 다른 형태의 탄소, 예컨대, 말단 메틸 기를 함유한다. MIPSCP 기반 필름의 높은 총 탄소 함량은 MIPSCP 기반 필름 내에 디실릴메틸렌 기를 포함할 수 있는 총 탄소 함량의 백분율을 제한한다. 대조적으로, IPOTMDS 및 EOTMDS 구조 형성제 전구체를 사용하여 제조된 필름은 본 발명자가 아는 한 임의의 저 k 필름의 디실릴메틸렌 기를 포함하는 총 탄소 함량의 가장 높은 백분율을 갖는다. 이는, 예를 들어, IPOTMDS 및 EOTMDS와 같이 화학식 (I) 및 화학식 (II)에 기재된 알콕시디실록산 화합물을 사용하여 제조된 필름의 또 다른 독특한 속성으로서, 화학식 (I) 및 화학식 (II)에 기재된 알콕시디실록산 화합물을 사용하여 제조된 필름은 비교적 낮은 총 탄소 함량(< ~ 28 원자%)을 포함하는 임의의 알려진 저 k 필름 중에서 디실릴메틸렌 기를 포함하는 총 탄소 함량의 가장 높은 백분율을 갖는다. 이러한 독특한 탄소 분포의 이러한 효과는 MIPSCP 기반 필름과 같이 훨씬 더 큰 총 탄소 함량을 갖는 필름의 플라즈마 유도 손상 저항성과 동등하거나 더 큰 예기치 않게 높은 플라즈마 유도 손상 저항성이다. 따라서, 저 k 유전 필름에서 더 높은 총 탄소 함량은 NH3 플라즈마에 노출될 때 높은 탄소 제거 저항성을 제공할 수 있지만, 필름에서 탄소의 유형 또한 중요한 역할을 한다.
225 내지 615 와트 플라즈마 전력, 6.7 내지 9.5 Torr 챔버 압력, 350 내지 400℃ 기판 온도, 0 내지 125 sccm O2 가스 흐름, 625 내지 1550 sccm He 운반 가스 흐름, 0.600 내지 2.500 g/min의 전구체 액체 흐름, 및 0.380 인치 전극 간격의 다양한 공정 조건 하에 300 mm PECVD 반응기에서 저 k 전구체로서 IPOTMDS, MIPSCP, 또는 DEMS®를 사용하여 일련의 침적의 치밀한 저 k 유전 필름이 침적되었다. 각각의 필름에 대한 디실릴메틸렌 기를 포함하는 총 탄소 함량의 백분율은 필름에서 XPS 탄소의 분율(XPS 탄소(원자%)/100)에 대한 이의 적외선 스펙트럼으로부터 결정된 SiCH2Si 기의 상대 밀도의 비로서 계산되었다. 도 5는 IPOTMDS 전구체, MIPSCP 전구체, 및 상이한 유전 상수를 갖는 DEMS® 전구체를 사용하여 제조된 치밀한 OSG 필름에 대한 디실릴메틸렌 기를 포함하는 총 탄소 함량의 백분율 사이의 관련성을 보여준다. 도 5는 종래 기술의 MIPSCP 및 DEMS® 기반 저 k 필름이 유전 상수가 약 2.7에서 약 3.4로 증가됨에 따라 IPOTMDS 기반 필름에 비해 동일한 값의 유전 상수에서 디실릴메틸렌 기를 포함하는 총 탄소 함량의 훨씬 더 낮은 백분율을 갖는다는 것을 보여준다. 이는 치밀한 저 k 유전 필름을 침적시키기 위해, 예를 들어, IPOTMDS와 같은 화학식 (I) 및 화학식 (II)의 알콕시디실록산 화합물을 사용하는 중요한 이점 중 하나로서, 유사한 값의 유전 상수에 대해 알콕시디실록산 전구체 IPOTMDS가 임의의 다른 종래 기술의 구조 형성제만큼 높거나 이보다 더 높은 디실릴메틸렌 기를 포함하는 총 탄소 함량의 백분율을 갖는 필름을 침적시키기 위해 사용될 수 있음을 예시하는 것이다. 따라서, 예를 들어, IPOTMDS와 같은 화학식 (I) 및 화학식 (II)의 알콕시디실록산 화합물을 사용하여 제조된 필름의 고유한 속성 중 하나는 총 탄소 함량이 다소 낮고(< ~ 28 원자%) SiCH2Si 기를 포함하는 총 탄소 함량의 백분율이 DEMS® 및 MIPSCP와 같은 종래 기술의 구조 형성제로부터 제조된 필름보다 유의하게 더 크다는 것이다. 예기치 않게도, 이러한 독특한 탄소 분포는 종래 기술의 구조 형성제 MIPSCP로부터 제조된 필름과 같이 훨씬 더 높은 총 탄소 함량을 갖는 필름의 플라즈마 유도 손상 저항성과 동등하거나 더 큰 플라즈마 유도 손상 저항성을 야기한다.
도 6은, 1 MV/cm 내지 8 MV/cm의 전계 강도의 함수로서, DEMS® 구조 형성제를 사용하여 및 IPOTMDS 구조 형성제로부터 제조된 치밀한 OSG 필름에 대한 누설 전류 밀도를 보여준다. 항복 전계는 적어도 2X의 누설 전류 밀도의 급격한 상승으로 정의된다. 따라서, IPOTMDS 전구체를 사용하여 제조된 필름의 항복 전계는 5.0 MV/cm의 전계 강도에서 발생하는 반면, DEMS® 전구체를 사용하여 제조된 필름의 항복 전계는 4.6 MV/cm의 전계 강도에서 발생한다. 가장 높은 가능한 항복 전계를 갖는 낮은 유전 상수 필름이 집적 회로 제조의 경우 바람직한데(> 4 MV/cm), 그 이유는 치수가 감소됨에 따라 디바이스 구조에서의 항복 전계가 감소되기 때문이다. 더 높은 항복 전계 강도는 작은 치수가 높은 전계 강도를 초래할 수 있는 BEOL의 가장 낮은 수준에서 특히 중요하다. 도 6은, IPOTMDS와 같은 화학식 (I) 및 화학식 (II)의 알콕시디실록산 화합물을 사용하여 제조된 필름이 DEMS®와 같은 종래 기술의 구조 형성제를 사용하여 제조된 필름에 비해 더 높은 항복 전계를 갖고, 따라서 집적 회로 제조에 바람직할 것임을 예시한다.
도 6에 도시된 2개의 필름의 특성은 표 3에 제시되어 있다. 둘 모두의 필름은 3.0의 유전 상수를 갖는다. IPOTMDS 구조 형성제를 사용하여 제조된 필름은 DEMS® 구조 형성제를 사용하여 제조된 필름보다 더 높은 기계적 특성을 갖고, 이의 탄성 모듈러스 및 경도는 DEMS® 구조 형성제를 사용하여 제조된 필름보다 각각 20% 및 29% 더 크다. IR 분광법에 의해 결정된 바와 같이, IPOTMDS 구조 형성제를 사용하여 제조된 필름의 상대 디실릴메틸렌(SiCH2Si) 밀도는 DEMS® 구조 형성제를 사용하여 제조된 필름의 상대 디실릴메틸렌 밀도보다 380% 더 크다. 디실릴메틸렌 기로서 혼입된 총 탄소의 백분율은 DEMS® 구조 형성제를 사용하여 제조된 필름에 비해 IPOTMDS 구조 형성제를 사용하여 제조된 필름의 경우 162% 더 크다. 따라서, IPOTMDS와 같은 화학식 (I) 또는 화학식 (II)의 알콕시디실록산 화합물을 사용하여 제조된 필름은 유리한 필름 특성의 독특한 조합을 야기하는 독특한 속성을 갖는다: DEMS® 또는 MIPSCP와 같은 종래 기술의 저 k 구조 형성제로부터 침적된 필름에 비해 예기치 않게 높은 플라즈마 유도 손상 저항성, 예기치 않게 높은 기계적 특성, 예기치 않게 높은 SiCH2Si 기 밀도, 및 예기치 않게 높은 항복 전계(≥ 5 MV/cm). 이론으로 국한되지는 않지만, DEMS® 또는 MIPSCP와 같은 종래 기술의 저 k 구조 형성제로부터 침적된 필름에 비해 비교적 낮은 총 탄소 함량(< ~ 28 원자%), 높은 디실릴메틸렌 기 밀도(> 20), 및 디실릴메틸렌 기를 포함하는 총 탄소 함량의 더 높은 백분율(> 60)인 이러한 독특한 필름 특성은 이들 필름에서 독특한 탄소 분포에 기인한다. 이러한 독특한 필름은, 예를 들어, IPOTMDS 및 EOTMDS와 같은 화학식 (I) 및 화학식 (II)에 기재된 본 발명의 알콕시디실록산 화합물을 사용하여 침적될 수 있다.
표 3. 도 6에 나타나 있는 비교 및 본 발명의 치밀한 OSG 필름의 필름 특성.
Figure pct00014
지금까지 논의된 모든 필름 특성은 침적된 그대로의 필름, 즉, UV 경화와 같은 임의의 침적 후 처리를 거치지 않은 저 k 필름으로 지칭된다. 침적된 그대로의 필름은 침적 후 처리를 거친 필름에 비해 여러 이점을 갖는다. 예를 들어, UV 경화와 같은 침적 후 처리는 처리량을 감소시키고 침적 공정에 비용 및 복잡성을 추가한다. 그러나, UV 경화와 같은 침적 후 처리는 침적된 그대로의 필름의 기계적 특성을 증가시키는 것과 같은 특정 필름 특성을 개선하기 위해 사용될 수 있는 것으로 인식된다.
UV 경화 전 및 후에 화학식 (II)에 기재된 알콕시디실록산 전구체 구조 IPOTMDS를 사용하여 침적된 본 발명의 치밀한 OSG 필름(본 발명의 실시예 5)의 특성은 표 4에 제시되어 있다. UV 경화 전 및 후 필름의 유전 상수는 3.2이고; 즉, UV 경화는 필름의 유전 상수를 변화시키지 않았다. UV 경화된 필름은 침적된 그대로의 필름보다 더 높은 기계적 특성을 갖고, 이의 탄성 모듈러스 및 경도는 침적된 그대로의 필름보다 18% 더 크다. UV 경화된 필름의 IR 분광법에 의해 결정된 바와 같은 상대 디실릴메틸렌(SiCH2Si) 밀도는 침적된 그대로의 필름의 상대 디실릴메틸렌 밀도보다 14% 더 크다. IR 분광법에 의해 결정된 바와 같은 UV 경화된 필름의 상대 Si(CH3) 밀도는 침적된 그대로의 필름의 상대 Si(CH3) 밀도보다 30% 낮다. 따라서, 이 실시예는 침적된 그대로의 필름의 UV 경화가 필름의 유전 상수를 증가시키지 않으면서 필름 기계적 특성 및 SiCH2Si 밀도를 증가시키고 이의 Si(CH3) 밀도를 감소시킬 수 있음을 예시한다.
표 4. UV 경화 전 및 후 본 발명의 치밀한 OSG 필름의 필름 특성.
Figure pct00015
따라서, 화학식 (I) 및 화학식 (II)에 주어진 알콕시디실록산 화합물은 집적 회로 제조에서, 특히 라인의 후단에서 가장 낮은 수준에 대한, 침적된 그대로의 치밀한 저 k 물질에 대한 시급한 요구를 충족시킨다. 예를 들어, IPOTMDS 및 EOTMDS와 같은 화학식 (I) 및 화학식 (II)에 주어진 알콕시디실록산 화합물은 주어진 값의 유전 상수(k ≤ 3.5)에서 가장 높은 플라즈마 유도 손상 저항성, 높은 기계적 강도, 높은 SiCH2Si 밀도, 및 높은 항복 전압(> 5 MV/cm)을 갖는 치밀한 저 k 필름을 침적시키는 데 사용될 수 있다. 추가로, 그러한 전구체로부터 침적된 필름은, 필름의 기계적 특성 또는 필름의 전기적 특성을 개선하기 위해, 침적 후 처리, 예컨대, UV 경화를 필요로 하지 않는다. 즉, 이들의 침적된 그대로의 필름의 고유 특성은 집적 회로 제조에 대한 요건을 충족시키고 침적 후 단계(즉, UV 경화)가 필요하지 않다. 그러나, UV 경화는 이의 유전 상수를 증가시키지 않으면서 필름의 기계적 강도를 추가로 증가시키는 것과 같이, 요망되는 경우 특정 필름 특성을 추가로 개선하기 위해 사용될 수 있다.

Claims (28)

  1. 치밀한 오가노실리카 필름을 제조하는 방법으로서, 상기 방법은
    반응 챔버 내에 기판을 제공하는 단계;
    하기 화학식 (I)에 주어진 구조를 갖는 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계:
    Figure pct00016

    상기 식에서, R1은 선형 또는 분지형 C1 내지 C6 알킬, 및 환형 C5 내지 C6 알킬로부터 선택되고, R2는 수소, 및 선형 또는 분지형 C1 내지 C5 알킬로부터 선택되고, R3-5는 선형 또는 분지형 C1 내지 C5 알킬로부터 독립적으로 선택되고, R6은 수소, 선형 또는 분지형 C1 내지 C5 알킬, 또는 OR7로부터 선택되고, 여기서 R7은 선형 또는 분지형 C1 내지 C5 알킬로부터 선택됨; 및
    반응 챔버 내의 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물에 에너지를 인가하여 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물의 반응을 유도하고 이로써 기판 상에 오가노실리카 필름을 침적시키는 단계를 포함하고,
    오가노실리카 필름은 ~ 2.50 내지 ~ 3.30의 유전 상수 및 ~ 6 내지 ~ 35 GPa의 탄성 모듈러스를 갖는, 치밀한 오가노실리카 필름을 제조하는 방법.
  2. 제1항에 있어서, 가스상 조성물은 할라이드, 물, 금속, 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 불순물을 실질적으로 함유하지 않는, 방법.
  3. 제1항에 있어서, 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물은 경화 첨가제를 함유하지 않는, 방법.
  4. 제1항에 있어서, 화학적 증착 방법인 방법.
  5. 제1항에 있어서, 플라즈마-강화 화학적 증착 방법인 방법.
  6. 제1항에 있어서, 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 질소 산화물 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 혼합물로 이루어진 군으로부터 선택된 적어도 하나의 산화제를 추가로 포함하는, 방법.
  7. 제1항에 있어서, 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물은 산화제를 포함하지 않는, 방법.
  8. 제1항에 있어서, 인가 단계에서 반응 챔버는 He, Ar, N2, Kr, Xe, CO2, 및 CO로 이루어진 군으로부터 선택된 적어도 하나의 가스를 포함하는, 방법.
  9. 제1항에 있어서, 오가노실리카 필름은 632 nm에서 ~ 1.3 내지 ~ 1.6의 굴절률(RI)을 갖고, XPS에 의해 측정된 탄소 함량이 ~ 10 원자% 내지 ~ 45 원자%인, 방법.
  10. 제1항에 있어서, 오가노실리카 필름은 ~ 5 nm/min 내지 ~ 2000 nm/min의 속도로 침적되는, 방법.
  11. 제1항에 있어서, 오가노실리카 필름은 IR 분광법에 의해 결정된 상대 디실릴메틸렌 밀도가 ~ 10 내지 ~ 40인, 방법.
  12. 제1항에 있어서, 100으로 나눈 XPS에 의해 측정된 오가노실리카 필름의 총 탄소 함량의 값에 대한 IR 분광법에 의해 결정된 SiCH2Si 기의 상대 밀도의 비가 60 이상인, 방법.
  13. 유전 필름의 증착을 위한 조성물로서, 하기 화학식 (I)의 구조를 갖는 적어도 하나의 알콕시디실록산 화합물을 포함하는 조성물:
    Figure pct00017

    상기 식에서, R1은 선형 또는 분지형 C1 내지 C6 알킬, 및 환형 C5 내지 C6 알킬로부터 선택되고, R2는 수소, 및 선형 또는 분지형 C1 내지 C5 알킬로부터 선택되고, R3-5는 선형 또는 분지형 C1 내지 C5 알킬로부터 독립적으로 선택되고, R6은 수소, 선형 또는 분지형 C1 내지 C5 알킬, 및 OR7로 이루어진 군으로부터 선택되고, 여기서 R7은 선형 또는 분지형 C1 내지 C5 알킬로부터 선택된다.
  14. 제13항에 있어서, 적어도 하나의 알콕시디실록산 화합물은 1-에톡시-1,1,3,3-테트라메틸디실록산, 1-이소-프로폭시-1,1,3,3-테트라메틸디실록산, 1-2차-부톡시-1,1,3,3-테트라메틸디실록산, 1-이소-부톡시-1,1,3,3-테트라메틸디실록산, 1-3차-부톡시-1,1,3,3-테트라메틸디실록산, 1-3차-펜톡시-1,1,3,3-테트라메틸디실록산, 1-사이클로헥실옥시-1,1,3,3-테트라메틸디실록산, 1-사이클로펜톡시-1,1,3,3-테트라메틸디실록산, 1-에톡시-1,1,3,3,3-펜타메틸디실록산, 1-이소-프로폭시-1,1,3,3,3-펜타메틸디실록산, 1-2차-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-이소-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-3차-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-3차-펜톡시-1,1,3,3,3-펜타메틸디실록산, 1-사이클로헥실옥시-1,1,3,3,3-펜타메틸디실록산, 1-사이클로펜톡시-1,1,3,3,3-펜타메틸디실록산, 1,3-디에톡시-1,1,3,3-테트라메틸디실록산, 1,3-디-이소-프로폭시-1,1,3,3-테트라메틸디실록산, 1-에톡시-1,3,3,3-테트라메틸디실록산, 1-이소-프로폭시-1,3,3,3-테트라메틸디실록산, 1-2차-부톡시-1,3,3,3-테트라메틸디실록산, 1-이소-부톡시-1,3,3,3-테트라메틸디실록산, 1-3차-부톡시-1,3,3,3-테트라메틸디실록산, 1-3차-펜톡시-1,3,3,3-테트라메틸디실록산, 1-사이클로헥실옥시-1,3,3,3-테트라메틸디실록산, 1-사이클로펜톡시-1,3,3,3-테트라메틸디실록산, 1-메톡시-1,1,3,3-테트라메틸디실록산, 1-프로폭시-1,1,3,3-테트라메틸디실록산, 1-부톡시-1,1,3,3-테트라메틸디실록산, 1-펜톡시-1,1,3,3-테트라메틸디실록산, 1-(1'-메틸부톡시)-1,1,3,3-테트라메틸디실록산, 1-(1'-에틸프로폭시)-1,1,3,3-테트라메틸디실록산, 1-(1',2'-디메틸프로폭시)-1,1,3,3-테트라메틸디실록산, 1-헥속시-1,1,3,3-테트라메틸디실록산 1-메톡시-1,1,3,3,3-펜타메틸디실록산, 1-프로폭시-1,1,3,3,3-펜타메틸디실록산, 1-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-펜톡시-1,1,3,3,3-펜타메틸디실록산, 1-(1'-메틸부톡시)-1,1,3,3,3-펜타메틸디실록산, 1-(1'-에틸프로폭시)-1,1,3,3,3-펜타메틸디실록산, 1-(1',2'-디메틸프로폭시)-1,1,3,3,3-펜타메틸디실록산, 및 1-헥속시-1,1,3,3,3-펜타메틸디실록산으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는, 조성물.
  15. 제13항에 있어서, 조성물은 0 ppm 내지 5 ppm 이하의 클로라이드 이온을 포함하는, 조성물.
  16. 제13항에 있어서, 적어도 하나의 알콕시디실록산 화합물은 1-에톡시-1,1,3,3-테트라메틸디실록산, 1-3차-펜톡시-1,1,3,3-테트라메틸디실록산, 1-이소-프로폭시-1,3,3,3-테트라메틸디실록산, 1-2차-부톡시-1,3,3,3-테트라메틸디실록산, 1-이소-부톡시-1,3,3,3-테트라메틸디실록산, 1-3차-부톡시-1,3,3,3-테트라메틸디실록산, 1-3차-펜톡시-1,3,3,3-테트라메틸디실록산, 1-사이클로헥속시-1,3,3,3-테트라메틸디실록산, 1-사이클로펜톡시-1,3,3,3-테트라메틸디실록산, 1-2차-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-이소-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-사이클로펜톡시-1,1,3,3,3-펜타메틸디실록산, 1-2차-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-이소-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-프로폭시-1,1,3,3-테트라메틸디실록산, 1-부톡시-1,1,3,3-테트라메틸디실록산, 1-펜톡시-1,1,3,3-테트라메틸디실록산, 1-(1'-메틸부톡시)-1,1,3,3-테트라메틸디실록산, 1-(1'-에틸프로폭시)-1,1,3,3-테트라메틸디실록산, 및 1-(1',2'-디메틸프로폭시)-1,1,3,3-테트라메틸디실록산으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는, 조성물.
  17. 치밀한 오가노실리카 필름을 제조하는 방법으로서, 상기 방법은
    반응 챔버 내에 기판을 제공하는 단계;
    하기 화학식 (II)에 주어진 구조를 갖는 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물을 반응 챔버에 도입하는 단계로서, 가스상 조성물은 할라이드, 물, 금속, 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 불순물을 실질적으로 함유하지 않는 단계;
    Figure pct00018

    상기 식에서, R1은 선형 또는 분지형 C1 내지 C6 알킬, 및 환형 C5 내지 C6 알킬로부터 선택됨; 및
    반응 챔버 내의 알콕시디실록산을 포함하는 가스상 조성물에 에너지를 인가하여 알콕시디실록산을 포함하는 가스상 조성물의 반응을 유도하여 기판 상에 오가노실리카 필름을 침적시키는 단계를 포함하고,
    오가노실리카 필름은 ~ 2.50 내지 ~ 3.30의 유전 상수 및 ~ 6 내지 ~ 35 GPa의 탄성 모듈러스를 갖는, 치밀한 오가노실리카 필름을 제조하는 방법.
  18. 제17항에 있어서, 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물은 경화 첨가제를 함유하지 않는, 방법.
  19. 제17항에 있어서, 화학적 증착 방법인 방법.
  20. 제17항에 있어서, 플라즈마-강화 화학적 증착 방법인 방법.
  21. 제17항에 있어서, 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 질소 산화물 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 혼합물로 이루어진 군으로부터 선택된 적어도 하나의 산화제를 추가로 포함하는, 방법.
  22. 제17항에 있어서, 적어도 하나의 알콕시디실록산 화합물을 포함하는 가스상 조성물은 산화제를 포함하지 않는, 방법.
  23. 제17항에 있어서, 인가 단계에서 반응 챔버는 He, Ar, N2, Kr, Xe, CO2, 및 CO로 이루어진 군으로부터 선택된 적어도 하나의 가스를 포함하는, 방법.
  24. 제17항에 있어서, 오가노실리카 필름은 632 nm에서 ~ 1.3 내지 ~ 1.6의 굴절률(RI)을 갖고, XPS에 의해 측정된 탄소 함량이 ~ 10 원자% 내지 ~ 45 원자%인, 방법.
  25. 제17항에 있어서, 오가노실리카 필름은 IR 분광법에 의해 결정된 상대 디실릴메틸렌 밀도가 ~ 10 내지 ~ 45인, 방법.
  26. 제17항에 있어서, 100으로 나눈 XPS에 의해 측정된 오가노실리카 필름의 총 탄소 함량의 값에 대한 IR 분광법에 의해 결정된 SiCH2Si 기의 상대 밀도의 비가 60 이상인, 방법.
  27. 제17항에 있어서, 오가노실리카 필름은 632 nm에서 ~ 1.3 내지 ~ 1.6의 굴절률(RI)을 갖고, XPS 또는 SIMS 또는 RBS에 의해 측정된 질소 함량이 0.1 원자% 이하인, 방법.
  28. 1-에톡시-1,1,3,3-테트라메틸디실록산, 1-3차-펜톡시-1,1,3,3-테트라메틸디실록산, 1-이소-프로폭시-1,3,3,3-테트라메틸디실록산, 1-2차-부톡시-1,3,3,3-테트라메틸디실록산, 1-이소-부톡시-1,3,3,3-테트라메틸디실록산, 1-3차-부톡시-1,3,3,3-테트라메틸디실록산, 1-3차-펜톡시-1,3,3,3-테트라메틸디실록산, 1-사이클로헥속시-1,3,3,3-테트라메틸디실록산, 1-사이클로펜톡시-1,3,3,3-테트라메틸디실록산, 1-2차-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-이소-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-사이클로펜톡시-1,1,3,3,3-펜타메틸디실록산, 1-2차-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-이소-부톡시-1,1,3,3,3-펜타메틸디실록산, 1-프로폭시-1,1,3,3-테트라메틸디실록산, 1-부톡시-1,1,3,3-테트라메틸디실록산, 1-펜톡시-1,1,3,3-테트라메틸디실록산, 1-(1'-메틸부톡시)-1,1,3,3-테트라메틸디실록산, 1-(1'-에틸프로폭시)-1,1,3,3-테트라메틸디실록산, 및 1-(1',2'-디메틸프로폭시)-1,1,3,3-테트라메틸디실록산으로 이루어진 군으로부터 선택된 규소 화합물.
KR1020237016950A 2020-10-20 2021-10-20 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름 KR20230093286A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063094183P 2020-10-20 2020-10-20
US63/094,183 2020-10-20
PCT/US2021/055879 WO2022087151A1 (en) 2020-10-20 2021-10-20 Alkoxydisiloxanes and dense organosilica films made therefrom

Publications (1)

Publication Number Publication Date
KR20230093286A true KR20230093286A (ko) 2023-06-27

Family

ID=81289367

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237016950A KR20230093286A (ko) 2020-10-20 2021-10-20 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름

Country Status (7)

Country Link
US (1) US20230386825A1 (ko)
EP (1) EP4211291A1 (ko)
JP (1) JP2023546911A (ko)
KR (1) KR20230093286A (ko)
CN (1) CN116490640A (ko)
TW (2) TW202325880A (ko)
WO (1) WO2022087151A1 (ko)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002164346A (ja) * 2000-07-21 2002-06-07 Canon Sales Co Inc 成膜方法、半導体装置及びその製造方法
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
JP4148969B2 (ja) * 2003-07-17 2008-09-10 ローツェ株式会社 低誘電率膜、及びその製造方法、並びそれを用いた電子部品
JP2005294333A (ja) * 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
WO2020046980A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Non-uv high hardness low k film deposition

Also Published As

Publication number Publication date
TW202325880A (zh) 2023-07-01
JP2023546911A (ja) 2023-11-08
EP4211291A1 (en) 2023-07-19
CN116490640A (zh) 2023-07-25
US20230386825A1 (en) 2023-11-30
TW202217051A (zh) 2022-05-01
WO2022087151A1 (en) 2022-04-28
TWI798884B (zh) 2023-04-11

Similar Documents

Publication Publication Date Title
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
US7074489B2 (en) Low dielectric constant material and method of processing by CVD
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
KR100494194B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
TWI772883B (zh) 單烷氧基矽烷及使用其製造的密有機二氧化矽膜
US20240052490A1 (en) Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
KR20230093286A (ko) 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름
US20220388033A1 (en) Precursors for depositing films with high elastic modulus
US20230103933A1 (en) New precursors for depositing films with elastic modulus
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
KR20230072493A (ko) 유전체 필름의 특성을 향상시키는 첨가제
WO2023064773A1 (en) Alkoxysilanes and dense organosilica films made therefrom