JP3568537B2 - マイクロエレクトロニクス構造体用電子ビーム加工膜 - Google Patents

マイクロエレクトロニクス構造体用電子ビーム加工膜 Download PDF

Info

Publication number
JP3568537B2
JP3568537B2 JP50312597A JP50312597A JP3568537B2 JP 3568537 B2 JP3568537 B2 JP 3568537B2 JP 50312597 A JP50312597 A JP 50312597A JP 50312597 A JP50312597 A JP 50312597A JP 3568537 B2 JP3568537 B2 JP 3568537B2
Authority
JP
Japan
Prior art keywords
electron beam
film
support
sog
cured
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP50312597A
Other languages
English (en)
Other versions
JPH11506872A (ja
Inventor
フォレスター,リン
ヘンドリックス,ニール・エイチ
キュ−チョイ,ドン
Original Assignee
アライドシグナル・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル・インコーポレーテッド filed Critical アライドシグナル・インコーポレーテッド
Publication of JPH11506872A publication Critical patent/JPH11506872A/ja
Application granted granted Critical
Publication of JP3568537B2 publication Critical patent/JP3568537B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

関連出願への言及
この出願は、1995年6月5日に出願された米国特許出願第60/000,239号の一部継続出願であり、該出願は、参照により本明細書中に組み入れられるものとする。
発明の背景
1.発明の分野
本発明は、集積回路(IC)のようなマイクロエレクトロニクス構造体用電子ビーム加工膜に関する。より特定的には、この発明は、そうした膜を加工する方法の改良法であって、そのうちの幾つかは低い誘電率と低い湿潤腐蝕速度しか有さない均一で密な膜をもたらす方法に関する。
2.発明の背景
マルチチップモジュール、印刷回路板、高速論理装置、平面パネルディスプレイ、集積回路、及び他のマイクロエレクトロニクス装置のような種々の装置は、堆積された又はスピンオン(spin−on)された誘電膜を必要とする。
そのような望ましい膜を支持体上に生成させるために広く用いられている1つの技術は、350〜900℃の温度で約1時間熱アニーリング又は熱硬化することを包含する。AlliedSignal Inc.により出版された“Spin/Bake/Cure/Prpcedure for Spin−On−Glass Materials for Interlevel and intermetal Dielectric Planarization"(1994)(熱で硬化されたスピンオンされた膜)及びKern,W.,“Deposited Dielectrics for VLSI,"8(7)Semiconductor International 122(July 1985)[Kern];Gorczyca,T.B.ら,“PECVD of Dielectrics,"8(4)VLSI Electronics Microstructure Science(New York 1984)[Gorczyca];及びMattson,B.,“CVD Films for Interlayer Dielectrics,"Solid State Technology 60(Jan.1980)[Mattison](熱でアニーリングされた化学蒸着(chemical vapor deposited,CVD)膜)を参照のこと。しかしながら、熱加工法には幾つかの欠点が伴う。
スピンオンガラス膜(spin−on grass,SOG)を支持体上にスピンオンする場合には、シロキサン型SOGは酸素プラズマにより損傷を受け易い。続くIC加工の間、酸素プラズマにより損傷を受けたSOGは水分のガスを発生しがちとなり、このことが電気的及び機械的信頼性の欠如を招く。加えて、熱で硬化したSOGの酸素プラズマに対する不安定さは、離層のような製造上の困難性だけでなく、気孔度の増加、収縮率の増加、及び平坦性(planarization)の乏しさのような最終製品における物理的、機械的及び外観的欠陥の原因ともなる。
第2に、シリケートSOGを硬化するのにそのような高温を使用すると、シリサイドの酸化又は劣化も起こる。これは、しばしば、シリサイドの劣化又はその先のICの浅いドーパントプロフィールの劣化による装置の欠陥を招く。更に、その酸化された表面層の存在は、抵抗を増加させるか又はシリサイドに対する電気的接触を無くしてしまうことにより並びにトランジスター間の連絡の劣化の原因となることにより、そのICの全体的な電気的性能を害する。
支持体をCVD膜でコートする用途では、そのCVD膜の品質を向上させるために、約1000℃までの高温での追加のアニーリング工程も必要となる。しかしながら、これは、シリサイド劣化、高温キャリア劣化、装置の不安定さ等のような複雑さ及び装置の欠陥問題を招く。これら困難さは熱加工で観察されるものに類似しているが、その影響の大きさは、関与する温度が有意に高いのでより大きなものである。
支持体上に超薄ゲート酸化物及び窒化物を生長させるに際して、それらの生長の均一さを制御できないことが問題となっている。そのような酸化物を生長させる先行技術方法は、単一ウェハー急速熱加工システム(single wafer Rapid Thermal Processing systems,RTP)又は、例えば、Sheets,R., “Rapid Thermal Processing Systems,"Microelectronic Mfg.and Test,16(July 1985)に記載されている炉を用いる。しかしながら、ppbほどの少ない量で汚染物質が存在するだけで、これら方法では生長の停止が起こるであろう。そのように均一な酸化物及び窒化物を生成させる能力がないことは、しばしば、その後のICの作動中にその酸化物又は窒化物の燃焼を招き、かくしてその全体的信頼性に影響を及ぼす。
低い誘電率を有する誘電性物質を有することは、全ての進歩したICにとって望ましい。一般に、CVD膜は、それらを高いレベルのフッ素でドープしなければ低い誘電率を有さない。Takeshi,S.ら,“Stabilizing Dielectric Constants of Fluorine−Doped−Silicon Dioxide Films by N2O−Plasma Annealing,"Dielectrics for VLSI/ULSI multilevel Interconnection Conference(DUMIC)(Feb.1995)を参照のこと。しかしながら、そのようなフッ素でドープされた酸化物は、通常、不安定でありかつ湿った環境及び酸素プラズマ環境下で劣化し易い。
スピンオンポリマー含有膜(SOP)を用いることによって低い誘電率を得ることができるが、そのような膜は、それらの乏しい熱安定性、酸素プラズマに曝したときに劣化する傾向、及びICにおいて金属層堆積のために典型的に用いられる温度で分解する傾向に起因するプロセス集成が大きな問題となる。更には、熱で硬化されたSOGについて達成され得る最低の誘電率は、典型的には、約3.8〜4.1に過ぎない。そのような誘電値は、装置寸法が小さくなるにつれてより重要となるキャパシタンスのような機械的及び電気的作用に関するより厳重な制御のために、次世代マイクロエレクトロニック分野の末端用途には適していないといえる。
支持体上に低温で誘電膜コーティングを速やかに加工する改善された方法であって、熱に安定で酸素プラズマに非感受性である製品をもたらす方法を提供することが望ましいであろう。低い誘電率しか有さない均一で密なSOG又はCVD物質を提供することも望ましいであろう。更には、支持体上に超薄ゲート酸化物を均一に生長させることが望ましいであろう。
発明の要旨
この発明によれば、支持体上に誘電性物質を硬化させるに際しての改良法であって、
(a)該支持体の表面に誘電性物質を適用すること;及び
(b)前記誘電性物質を、該誘電性物質を硬化するのに十分な条件下で電子ビーム照射に曝すこと;を含む方法が提供される。
この発明の他の側面によれば、化学蒸着物質でコートされた支持体をアニーリングするのに際しての改良法であって、
(a)該支持体の表面に化学蒸着物質を適用すること;及び
(b)該化学蒸着物質を、該化学蒸着物質をアニーリングするのに十分な条件下で電子ビーム照射に曝すこと;を含む方法が提供される。
この発明の他の側面によれば、支持体上に超薄膜酸化物又は窒化物を生長させるに際しての改良法であって、
(a)該支持体の表面を気体状態にある物質の存在下及び該物質をイオン化するのに十分な条件下で電子ビーム照射に曝して、該支持体の該表面上で酸化又は窒化反応を促進すること;を含む方法が提供される。
この発明の更なる他の側面によれば、上記の方法により生成する電子ビーム加工膜でコートされた支持体が提供される。
この発明の他の側面によれば、誘電膜及び化学蒸着膜コーテッド支持体における誘電率を低下させる方法であって、前記膜を、前記膜を加工するのに十分な条件下で電子ビーム照射に曝すことを含む方法が提供される。
この発明の他の側面によれば、化学蒸着コーティングからシリコン豊富膜を製造する方法であって、前記コーティングを前記膜を加工するのに十分な条件下で電子ビーム照射に曝すことを含む方法が提供される。
この発明の他の態様においては、電子ビーム加工膜でコートされた支持体を含有するマイクロエレクトロニクック装置であって、前記電子ビーム加工膜の誘電率が約3未満である装置が提供される。
この発明の電子ビーム加工膜は、密で均一なコーティングを支持体上に有利に形成するだけでなく、電子ビーム硬化SOG膜は、高温で熱処理された類似の組成物について報告されたものよりも有意に低い誘電率をも有する。更には、そのような膜を加工するための時間及び温度が有意に低くされている。
【図面の簡単な説明】
以下の本発明の詳細な説明及び添付の図面を参照すれば、本発明がより十分に理解され、そして更なる効果が明らかになるであろう。
図1は、ホットプレートで焼いたか、熱で硬化させたか又は電子ビームで硬化させたシロキサンSOGコーテッドウェハーのフーリエ変換赤外(FTIR)スペクトルについての吸収vs波数(cm-1)のグラフである。
図2(a)及び(b)は、種々のビーム線量及び硬化温度で電子ビームで硬化させたシロキサンSOGコーテッドウェハーのFTIRスペクトルについての吸収vs波数(cm-1)のグラフである。
図3は、熱で及び電子ビームにより硬化させたシロキサンSOGコーテッドウェハーについての膜収縮率(%)vs電子ビーム線量(μC/cm2)のグラフである。
図4は、電子ビーム硬化シロキサンSOGコーテッドウェハーについての膜収縮率(%)vs電子ビームエネルギー(KeV)のグラフである。
図5は、熱硬化SOGコーテッドウェハー、熱酸化物ウェハー、及び電子ビーム硬化SOGコーテッドウェハーについての電子ビーム線量(μC/cm2)を関数とする酸化物腐蝕緩衝液(buffered oxide etch,B.O.E.)50:1中での湿潤腐食速度(Å/秒)のグラフである。
図6(a)〜(d)は、電子ビーム硬化SOGコーテッドウェハーについての膜厚の深さ(Å)を関数とするB.O.E.50:1中での湿潤腐蝕速度(Å/秒)のグラフである。
図7は、種々の度合いの電子ビームエネルギー(KeV)での電子ビーム硬化SOGコーテッドウェハーについての膜厚の深さ(Å)を関数とするB.O.E.50:1中での湿潤腐蝕速度(Å/秒)のグラフである。
図8は、種々の段階の加工が終了した後の電子ビーム硬化SOGコーテッドウェハーのFTIRスペクトルについての吸収vs波数(cm-1)のグラフである。
図9は、両方とも硬化後周囲条件に曝した熱硬化SOG膜及び電子ビーム硬化SOG膜のFTIRスペクトルについての吸収vs波数(cm-1)のグラフである。
図10(a)及び(b)は、それぞれ電子ビーム硬化後の、並びに電子ビームで硬化してから水中に浸漬した後の電子ビーム硬化SOGコーテッドウェハーのFTIRスペクトルについての吸収vs波数(cm-1)のグラフである。
図11は、種々の気体の存在下で電子ビームで硬化させた熟成膜についてのFTIRスペクトルについての吸収vs波数(cm-1)のグラフである。
図12は、テトラエチルオルトシリケート(TEOS)でキャップした電子ビーム硬化SOGを有するゲート酸化物のブレークダウンまでの時間の累積確率(QBD)vsブレークダウンまでの時間(秒)のグラフである。
図13は、前記酸化物の厚さを貫くNa、K、H、C、及びO不純物についての二次イオン質量分光分析法(Secondary Ion Mass Spectroscopy,SIMS)深さプロフィール分析についての深さ(ミクロン)vs濃度(原子/cc)のグラフである。
好ましい態様の説明
特に断らない限り、本明細書における全ての言及は重量で特定されるものとする。本明細書で用いる“線量”は、電子ビーム線の線量を意味する。
種々の物質を“スピニングオン”、CVD又は生長技術を介して本発明の支持体上に適用することができる。
支持体上にスピンオンできる適する誘電性物質又はSOGには、シリケート、ホスホシリケート、シロキサン、ホスホシロキサン、及びそれらの混合物が含まれる。シロキサンが好ましい。より好ましいシロキサンは、式SiOx(式中、xは1に等しいか又はそれより大きく2に等しいか又はそれより小さい)を有する非晶質の架橋したガラスタイプの物質であり、約1〜約10の炭素原子を有するアルキル基、約4〜約10の炭素原子を有する芳香族基、約4〜約10の炭素原子を有する脂肪族基、及びそれらの混合物のような、そのシロキサン物質の全重量を基準として約2〜約90%、好ましくは約10〜約25%の有機基の“予備暴露含量”を有する。場合により、このシロキサン及びシリケート物質は、それら誘電性物質の全モル%を基準として、約0〜約10%、好ましくは約2〜約4%のリンを含有することができる。
この発明に使用するのに適する好ましいシロキサン物質は、商品名Accuglass▲R▼でAlliedSignal Inc.から市販されている。
適するシロキサン物質は、約100ppb又はそれ未満、好ましくは50ppb又はそれ未満、より好ましくは10ppb又はそれ未満のナトリウム、カリウム、塩素、ニッケル、マグネシウム、クロム、銅、マンガン、鉄、カルシウム等の微量元素不純物を含有し、そして好ましくは約300〜約50,000、より好ましくは約500〜約10,000分子量単位の分子量を有する。
本誘電性物質は、慣用的なスピンコーティング、浸漬コーティング、噴霧、又は当該技術分野で周知のメニスカスコーティングにより支持体上に塗布することができる。そのような方法の詳細は、例えば、Integrated Technologiesにより出版された“Processing Equipment and Automated Systems"に記載されている。
支持体上の誘電膜の厚さは、その支持体に適用されるSOG液の量に依存して変動し得るが、典型的には約500〜約20000Å、より好ましくは約3000〜約9000Åであることができる。支持体に適用されるSOG液の量は、約1〜約10ml、好ましくは約2〜約8mlで変動してもよい。
好ましい態様においては、シロキサン物質を支持体の中央に適用してから、その溶液を支持体表面に一様に拡げるために、約500〜約6000rpm、好ましくは約1500〜約4000rpmの速度で約5〜約60秒、好ましくは約10〜約30秒間スピンする。
CVDにより支持体上に堆積されることができる適する物質には、プラズマ促進(plasma−enhanced)テトラエチルオルトシリケート(PETEOS)、シラン及びジシランのようなシラン基剤酸化物、ボロン−ホスホシリケートガラス(PSG)、窒化ケイ素(SiN)のような窒化物及びそれとの非理論量混合物、無水物膜;シラン(SiH4)、アンモニア(NH3)、窒素及び亜酸化窒素(N2O)及びそれらの混合物と共に堆積されるもののようなオキシ窒化物;及びテトラエチルオルトシランからのボロホスホガラス(BPTEOS)、及びそれらの混合物が含まれる。シラン基剤酸化物膜が好ましい。
CVD膜は、当該技術分野で周知の慣用的なCVD法によりガスの存在下で支持体に適用することができる。そのような方法の詳細は当該技術分野で周知であり、例えば、Gorczyca、Kern及びMattisonに記載されている。なお、これは参照により本明細書中に組み入れられるものとする。CVD用に選択されるガスは、望まれる膜のタイプに依存するが、典型的には、そのようなガスには、TEOSと酸素の混合物、又は酸素、シラン及び場合によりジボラン(B2H6)の混合物、ホスフィン(PH3)、及び亜酸化窒素(N2O)が含まれ、好ましくはTEOSである。
支持体の表面上に堆積されるCVDコーティングの量は所望の膜厚に比例しており、約1000〜約30000Å、好ましくは約3000〜約8000Åの範囲であることができる。支持体上に適用されるCVDの量は、所望の膜厚に応じて変動してもよい。これら厚さを得るために要求されるガス流はKern、Gorczyca、及びMattisonに記載されている。
典型的には、それらの表面上に回路パターンを有するシリコンウェハーのようなウェハー又は他の平坦な支持体上にSOG又はCVD膜を適用し、そして超薄酸化物又は窒化物膜を生長させ、IC又は他のマイクロエレクトロニック装置に加工する。典型的には、その支持体の直径は約2〜約12インチであるが、本発明はもっと大きな支持体にも有効である。
場合により、予備硬化SOGコーテッド支持体を約50〜約250℃の温度で約1〜約3分間加熱してもよい。好ましい態様においては、予備硬化SOGをまず約50℃で約30秒〜1分間加熱してから、約150℃で約30秒〜1分間加熱し、そして約250℃で約30秒〜1分間の3回目の加熱を行う。予備硬化液体SOG物質を部分的に架橋させて、そのような加熱の結果として固化させるのである。
SOGコーテッド支持体は、酸素、アルゴン、窒素、ヘリウム及びそれらの混合物、好ましくは、酸素、アルゴン、窒素及びそれらの混合物からなる群から選択されるガスの存在下で、その支持体の表面を電子の束に曝すことによって硬化される。窒素ガスがより好ましい。
この電子ビーム暴露が行われる温度は、得られる膜に望まれる特性及び望まれる加工時間の長さに依存するであろう。当業者は、要求される結果を得るための暴露の条件を容易に最適化することができるが、温度は、一般に約25〜約400℃の範囲であろう。電子ビーム硬化中の圧力は、約10〜約200ミリトル、好ましくは約10〜約40ミリトルの範囲であろう。
電子ビーム暴露の時間は、支持体に適用される電流密度及びビーム線量に依存するであろう。当業者は、要求される結果を得るための暴露の条件を容易に最適化することができるが、暴露は、約1000〜約50,000、好ましくは約2500〜約10,000μC/cm2の電子ビーム線量の適用で、一般に約2〜約45分、好ましくは約5〜約25分の範囲であろう。電子ビームの加速電圧は約1〜約25KeVで変動してもよい。選択される線量及び加速電圧は、加工される膜の特性及び厚さに依存するであろう。
コーテッドSOG支持体は、その中に置かれた支持体に電子ビーム照射を提供するための手段を有するあらゆるチャンバー内で電子ビームに曝されることができる。典型的には、そのチャンバーは、同時に電子ビーム暴露を行いながら、酸素、アルゴン、窒素、ヘリウム及びそれらの混合物、好ましくは、酸素、アルゴン及び窒素を含むガス性雰囲気内に電子を放出するための手段も備えている。
好ましい態様においては、コーテッドSOG支持体は、カリフォルニア州サンディエゴのElectron Visionから“ElectronCure"TMという商品名で商業的に入手可能であるチャンバー内に入れられ、その作動及び性能の原理は、米国特許第5,001,178号に記載されている。なお、この特許は参照により本明細書中に組み入れられるものとする。このチャンバーは、有利なことに、約4〜約144平方インチの面積に作用することができる電子の“広くて大きなビーム”を提供する。
同じく、CVDコーテッド膜は、SOGコーテッド支持体を硬化するのに記載したのと同じ方法により及び同じ条件下でアニーリングされる。
超薄ゲート酸化物又は窒化物を支持体上で生長させる用途については、生長される膜のタイプは、支持体及び選択されるガス状態中で生長させられる物質の組成に依存する。ガリウム砒素(GsAs)のような組成物又は結晶質シリコン、ポリシリコン、非晶質シリコン、又はエピタキシャルシリコン、好ましくはシリコンジオキシド(SiO2)のようなシリコンを含有する組成物が適する支持体材料である。酸化物又は窒化物の生長は、酸素、アンモニア、窒素、亜酸化窒素、及びガスの形の反応生成物及びそれらの混合物、昇華した固体又は気化した液体の存在下で起こる。酸素が好ましい。
本発明のよれば、ガスの存在下で電子ビームに曝される時間、同時に酸化物又は窒化物超薄膜層が支持体上で生長する。電子ビーム暴露の時間は、ガスがイオン化して支持体の表面上に存在する化合物と反応できる十分な時間である。生長した膜の厚さは、約10〜約1000Å、好ましくは約50〜約80Åの範囲であることができる。また、そのような酸化物又は窒化物の超薄膜層を生長させるための方法及び条件は、SOGコーテッド支持体を硬化するのに記載したものと類似している。結果として、支持体上に生長する超薄酸化物又は窒化物膜の組成と厚さのいずれの均一さも向上する。
本発明によりコーテッド支持体を電子ビーム照射に曝す結果として、その上に生成する膜は、意外にも、新規で独特な化学的形態に修飾される。例えば“FTIR"分析で、SOG出発化合物の主鎖に結合したCH基が、電子ビームでそれらを硬化した後には既になくなっていることが示される。しかしながら、二次イオン質量分光分析法(SIMS)は、その膜内に炭素が残っていることを証明している。硬化されたコーティング又は膜の0.05〜0.3ミクロンの表層内に酸化された炭素を有する熱硬化コーティングと比較して、本発明の硬化シロキサンSOG及び炭素含有SOPコーティング内の炭素は、その膜全体に均一に分布している。
本発明により加工された膜でコートされた支持体は、マイクロエレクトロニクス二次加工品中のあらゆる誘電性の平坦化用途に用いることができる。本発明により加工されたSOGコーティングに注目すべき一つの特性は、追加のポリマーをそれらに付加しなくても、それらが優れた誘電特性を示すことである。本発明に従って硬化されたSOG又はSOPコーティングの誘電特性は、約2.5〜約3.3、好ましくは約2.9〜約3.0は範囲であった。
本発明により加工された膜の注目すべきもう一つの特性は、電子ビーム加工の工程の結果として、それらの密度が有意に高まることである。加えて、かく加工された膜コーティングは、熱酸化物について記録されたものに匹敵する酸化物腐蝕緩衝液“BOE"50:1中での湿潤腐蝕速度しか有さないだけでなく、それら膜は酸素プラズマに対して抵抗性でもあり、良好な均一性で化学的及び機械的に研磨することができ、そして典型的なWプラグ加工に付随する温度バジェット(temperature budget)に持ち堪えることができる。より特定的には、本発明により硬化れた後に窒素に425℃で1時間曝されたシロキサンコーティングは4%しか収縮せず、そしてこの膜を700℃で1時間まで曝しても更なる収縮が起こらない。
堆積酸化物については、本発明は、高温アニーリングを用いることなしに密な膜を形成する手段を提供する。より特定的には、PETEOSを含んでなるCVD膜を本発明の方法によりアニーリングする結果として、膜堆積又は熱アニーリング中にガスの割合を変化させるなどの当該技術分野で公知の他の手段によっては得ることができない“シリコン豊富”膜ができることが、屈折率分析で明らかになっている。そのような“シリコン豊富”膜はフィールドインバージョン(field inversion)及び熱電子劣化(hot electron degration)作用を阻止することが知られているので、このことは特に有益である。
更には、本発明の加工が起こる温度が先行技術の熱硬化法又はアニーリング法で用いられる温度よりも有意に低いだけでなく、そのような膜を硬化又はアニーリングする時間も有意に短い。
この発明の使用は、支持体に向上した超薄膜酸化物又は窒化物コーティングをもたらし、それによって、そのような酸化物又は窒化物の生長を制御することができる。
本発明は、1)使い捨て後加工(disposable post Processing);2)慣用的蝕刻接触加工(conventionally−etched contact Processing);及び3)金属間誘電加工(inter−metal dielectric Processing)のような幾つかの公知の方法に組み込むことができる。
以下の非限定的な実施例は、向上した特性を有する膜をコーテッド支持体上に形成するために、そのコーテッド支持体を電子ビームに曝すことの効果を示すものである。
以下の実施例で生成する膜は、以下の試験法に従って分析された。
1)膜厚:Nanometrics,Co.から入手可能な較正されたNanospec▲R▼AFTモデル010−180コンピューター制御膜厚測定システムを用いて、約480〜790nmの波長をウェハーを貫いて走査させ、そしてその内部コンピューターによりオングストローム(Å)に変換した。ウェハー上の異なる5箇所について測定値を得てから、これら5測定値の平均値を出した。
2)膜収縮率(%):この値は、膜厚の項に記載した操作に従って得られた厚さ測定値及び種々の加工工程後に記録された厚さ測定値の比から得られる。
3)湿潤腐蝕速度測定:この試験を行うための詳細は、AlliedSignal Inc.,Advanced Microelectronic Materials Divisionによる報文“Relative Etch Rate Determination"(November 30,1995)に記載されている。
4)誘電率:SOG膜の誘電率は、他のあらゆる誘電薄膜について用いられる金属酸化物半導体(MOS)コンデンサー構造体を用いる標準キャパシタンス−電圧(CV)曲線法(standard capacitance−voltage curve technique)により測定される。誘電率は、このCV曲線、測定される膜の厚さ、及びコンデンサープレート(Alドット)面積から誘導されるC(最大)/C(酸化物)から計算される。
感受性多周波数(10Khz〜10Mhz)誘導キャパシタンス及び抵抗(LCA)メーター(sensitive multifrequency Induction Capacitance and Resistance meter)、電流及び電圧源、ランプ発生器、及びピコアンメーターから主としてなるHewlitt Packard Model 4061A半導体測定システムを用いて、誘電膜のCV曲線を測定した。その測定、計算、及びプロッティイングファンクションは、IEEE−488標準界面母線(standard interface bus)を介する公共Hewlitt Packardマイクロコンピューターにより行われる。支持体は、金属製の暗い箱の中に入れられたマニュアルプローブステーション上でプローブされる。この操作の更なる詳細は、AlliedSignal Inc.,Advanced Microelectronic Materials Divisionによる報文“SOG Dielectric Constant Theory"(January 3,1195)に記載されている。
5)屈折率:この値は、Rudolph Researchから入手可能な較正されたAutoEL II▲R▼Revision 307楕円偏光測定器を用いて測定される。較正及び測定操作は、AlliedSignal Inc.,Advanced Microelectronic Materials Divisionによる報文“AutoEL II Revision 307 Ellipsometer Calibration and Maintenance"(June 5,1995)に記載されている。
6)フーリエ交換赤外スペクトル分析:フーリエ変換赤外スペクトル分析は、分子内の原子の振動を明らかにする。一定の基の原子は、異なる化合物を表わす特徴的な振動周波数を有している。幾つかのオルガノシリコン基の赤外バンド特性の周波数位置のような詳細は、例えば、Launer,“Infrared Analysis of Organosilicon Compounds:Spectra−structure Correlations",(Burnt Hills,New York,1990)に記載されている。
7)接触抵抗:接触抵抗操作は、Loh,W.M.ら,“Modeling and Measurement of Contact Resistances"IEEE Transactions Electron Devices 512(March 1987)に記載されている。
8)装置電圧及びフィールドスレスホールド電圧(field threshold voltage)及びトランジスター電圧(Vt):これら電圧測定値及び実施例で用いるものに類似する測定値を得るための方法は、Andoh,T.ら,“Design Methodology for Low Voltage MOSFETS"Int'l.Electron Device Meeting(Dec.1994)に記載されている。
9)ブレークダウンまでの時間(QBD):この操作は、Grove,Physics & Technology of Semiconductor Devices,Section 10.5(New York 1967);Chen,K.L.ら,Tech.Digest IEDM 484(1986);及びRountree,R.N.,Tech.Digest IEDM 580(1988)に記載されている。
10)路(via)抵抗:路抵抗は、VLSI/ULSI Multilevel Interconnection Conference(Feb.1995)のための“Pre−sputter Degassing Treatment in Via Contact for Via Reliability Enhancement in Spin−On Glass Planarization Process"に記載されている方法を用いて測定した。
11)抵抗及びシリサイド抵抗:シリサイド抵抗は、Shimizu,S.ら,“0.15μm CMOS Process for High Performance and High Reliability,"Intermetal Electron Device Meeting(Dec.1994)に記載された方法を用いて測定した。
12)二次イオン質量分光分析法(SIMS):SIMS分析は、SOG膜中の微量元素の存在を測定するために用いた。まず、SOG膜をppb検出限界を有するカメラSIMS装置を用いて測定した。抵抗アノードエンコーダー(RAE)イオンイメージング検出器の使用で、該カメラ装置からの入力を受けて、微量イオン元素濃度のようなデータを時間に対応させ、それを膜表面上のあらゆる元素の直接イオンマップを生じさせるのに用い、そして膜の深さの関数としてその元素の側面分布に変換する。
このSIMS分析は、膜を6KeVのネット衝撃エネルギーで酸素及びセシウム一次イオンボンバードの曝して、陽及び陰の両方の二次イオン質量分光分析値を得るPHI−6600 4重極子質量分析計を用いて行った。分析条件を表1に示す。
Figure 0003568537
これらデータを濃度(元素/cm3)vs分析物の深さとしてプロットした。二次イオン計数から濃度への変換は、SiO2における既知線量のイオン打ち込み標準の分析から誘導される相対感度因子(RSF)の基づく。この分析物の二次イオン計数は、酸化物を貫く平均マトリックス30Siシグナルに比例させて出した。分析の再現性は、典型的には、1×103を越えるイオン計数レートで±10%未満である。スパッター深さは、Tencor P−10表面プロフィラーを用いてクレーターの深さを測定することにより較正した。この方法の更なる委細は、Charleu Evans and Associates(October 1993)に更に記載されている。
実 施 例
実施例1:SOGコーテッド支持体の調製
6インチの直径を有するシリコンウェハーを、商品名Accuglass▲R▼311でAlliedSignal Inc.から入手可能なシロキサンSOGで、約3〜約4mlのSOGをそのウェハーの表面に吐出し、次いでDai Nippon Screen,Inc.から入手可能なSOGコータートラックで約350rpmで2秒間、72゜F、20〜30mmHg、及び40%のスピンカップ湿度でスピンオンすることによりコートした。コートしたウェハーを更に約3000rpmで20秒間同様な条件下でスピンした後、そのウェハーをDNS SOGコータートラック内のホットプレート上で80℃、120℃及び175℃でそれぞれ120秒の3間隔で連続加熱した。
実施例2:SOGコーテッドウェハーの熱硬化(比較例)
次いで、実施例1に従って作ったウェハーをMRL Industriesから入手可能なBlack−Max型の炉で425℃及び窒素存在下1気圧で1時間硬化した。
得られたコーテッドウェハーの分析で、膜厚(硬化後)が3000Å、膜収縮率が7%、及び湿潤腐蝕速度が約37Å/秒であることが示された。
実施例3:SOGコーテッドウェハーの電子ビーム硬化
実施例1に従って作ったウェハーをElectron Visionから“ElectronCure"TMという商品名で入手可能なチャンバー内に入れて、8〜20mAの電流、1000〜10000μC/cm2の線量及び5〜25KeVの加速電圧を有する電子ビームに、それぞれ、窒素、酸素、アルゴン、及び水素を含む種々のガスの存在下で、25〜400℃の温度及び10〜40ミリトルの圧力で曝した。
電子ビーム硬化SOGコーテッドウェハーの分析で、選択される線量、エネルギー及び温度に依存して、膜収縮率が10〜30%であり、50:1(脱イオン水:フッ化水素(HF))溶液の酸化物腐蝕緩衝液中での湿潤腐蝕速度が1〜11Å/秒であることが示された。
図1は、実施例2に従って熱硬化させたウェハー、実施例3に従って電子ビーム硬化させたウェハー、及び硬化させなかった、即ち実施例1に従ってホットプレートで焼いたウェハーについてのFTIRスペクトルを示している。図1のFTIRスペクトル中にCH伸縮様式を示す吸収増加がないこと及び図13のSIMSスペクトル中に炭素ピークにより示される炭素の均一な分布により明らかなように、電気ビーム加工に曝した後の膜の組成は変化しており、そして水はその中に吸収されなかったことが分かる。
実施例4:種々の温度及び電子ビーム線量で硬化されたウ ェハー
実施例1に従ってウェハーを作り、そして、各ウェハーを、1000、3000、5000又は10000μC/cm2の4線量のうちの1線量に10KeVのエネルギーで25℃、250℃又は400℃のいずれかの温度でアルゴンガスの存在下で曝した以外は、実施例3に従って硬化させた。
図2(a)及び2(b)は、実施例4に従って作られた各々のウェハーのFTIRスペクトルを示している。図2(a)における3600と3700cm-1の間の吸収の増加により明らかなように、上記3種のどの温度で1000及び3000μC/cm2に曝したウェハーも、ヒドロキシル基の伸縮を示した。これは、膜内に残留水が存在することを示している。しかしながら、電子ビーム線量を5000μC/cm2に等しくするか又はそれより大きくすることにより、図2(b)に示されるように、膜内の水は大きく減少するか又は完全に排除される。
実施例5:種々の線量、エネルギー及び温度で電子ビーム 硬化されたウェハー及び熱硬化ウェハーについての膜収 縮率の比較
実施例1及び3に従ってウェハーを作って硬化し、次いで、焼いた後及び電子ビーム硬化した後の膜厚を測定することにより、膜収縮率について分析した。
図3は、25℃、250℃及び400℃の温度での電子ビーム線量を関数とする膜収縮率を、熱硬化膜の膜収縮率と比較しながら示している。図4は、膜収縮率vs電子ビームエネルギーを示している。図3及び4から、電子ビーム硬化膜の膜収縮率は、概して、熱硬化膜の膜収縮率よりも大きいことが明らかである。更に、線量が増加するにつれて、電子ビーム硬化膜についての膜収縮率も増加する。加えて、膜収縮率への温度の影響が、低電子ビーム線量で硬化した膜についてだけ認められた。しかしながら、膜収縮率は、10000μC/cm2を越える線量及び400℃を上回る温度での電子ビーム照射における変動に対して比較的非感受性であった。
実施例6:種々の線量及び温度でSOGが電子ビーム硬化さ れたウェハー、熱酸化物ウェハー、及び熱硬化SOGウェ ハーについての湿潤腐蝕速度の比較
実施例1及び3に従ってウェハーを作って硬化し、次いで、湿潤腐蝕速度について分析した。
未コーテッドウェハーに関しては、熱酸化物膜を実施例2で言及したような拡散炉内で、約1050℃の温度及び大気圧下、4リッター/分の気流の酸素の存在下で生長させた。
50:1溶液の酸化物腐蝕緩衝液中での種々の膜の湿潤腐蝕速度を、その膜の湿潤腐蝕速度に依存してその溶液中に1〜5分間浸漬した後の残存膜厚を測定することにより測定した。
図5は、電子ビーム硬化ウェハーについての湿潤腐蝕速度vs線量に加えて熱硬化ウェハー及び熱酸化物ウェハーについての湿潤腐蝕速度を示している。図5から、電子ビームで硬化したSOGコーテッドウェハーの湿潤腐蝕速度は3〜5Å/秒の範囲内であることが分かる。これは、熱酸化物ウェハーについて測定された3Å/秒の腐蝕速度に非常に近いが、熱硬化SOGウェハーについて測定された37Å/秒の腐蝕速度よりかなり低い。このSOGコーテッドウェハーにより示される低腐蝕速度は、そのようなSOG膜は、熱的に生長させた酸化物膜に比べてより密であることを示している。
図6(a)〜(d)は、それぞれ1000、3000、5000及び10000μC/cm2の線量で電子ビーム硬化した膜についての膜厚の深さでの湿潤腐蝕速度の変化を示している。図6(a)及び(b)から、25〜400℃の温度条件及び1000μC/cm2の線量で硬化させた膜について並びに25℃の温度及び3000μC/cm2の線量で硬化させた膜についての湿潤腐蝕速度は、膜の厚さ全体にわたって比較的一定であることが分かる。湿潤腐蝕速度値におけるこの一致性は、上記の電子ビーム加工条件を用いて高度に均一な密度を有する膜を作ることが可能であることを示している。
図6(b)〜(d)に示すように、250〜400℃の温度及び3000μC/cm2の線量で硬化させた膜について並びにあらゆる温度及び5000μC/cm2に等しいか又はそれより高い線量で硬化させた膜について、湿潤腐蝕速度は、約1500Åまでの膜厚の増加と共に増加してから比較的一定値を維持した。
同じく、図7は、400℃の温度、5〜25KeVの電子ビームエネルギー、及び1000μC/cm2の線量で硬化させた膜についての湿潤腐蝕速度も比較的一定であることを示している。
実施例7:化学的−機械的研磨後に酸素プラズマ灰磨きに 付された電子ビーム硬化ウェハーについてのFTIR結果
実施例1及び3に記載した方法に従ってコーテッドウェハーを作って硬化し、実施例13に記載した方法に従って研磨してHFで清浄にしてから、酸素プラズマで灰磨き(ashing)した。酸素プラズマ灰磨きの詳細は、例えば、C.K.Wangら,“A Study of Plasma Treatments on Siloxane SOG",VIMIC Conference(June 1994)に記載されている。
図8は、硬化の種々の段階:即ち、(1)10000μC/cm2の線量及び200℃の温度で電子ビーム照射で硬化させた後;(2)段階(1)で硬化させた膜を化学的−機械的研磨(CMP)に付してからHF溶液中で湿潤清浄化して酸素プラズマで灰磨きした後;(3)段階(2)の後に周囲条件に3日間曝した後;及び(4)段階(3)の周囲暴露後に段階(1)の条件下で電子ビーム照射に曝した後におけるこれら膜のFTIRスペクトルを示している。
図8は、3600〜3700cm-1の波長において吸収が増加していることを示している。これは、膜内のヒドロキシル伸縮、つまり膜水分吸収の増加を示唆するものである。このヒドロキシル基伸縮は、CMP及び段階(2)の清浄化工程の後に特に明らかである。しかしながら、この水分は、図8に見られるように、膜を電子ビーム加工に曝すことにより除去することができた。
実施例8:周囲環境及び場合により水中に浸漬した後の電 子ビーム硬化膜についてのFTIR結果
実施例1、2及び3に記載した方法に従ってウェハーを作って硬化した後、FTIR分析を行った。
図9は、窒素の存在下で425℃の温度で1時間熱硬化したウェハーについてのFTIRスペクトルを、10000μC/cm2の線量及び200℃の温度で電子ビーム照射で硬化させて周囲水分条件下に7日間曝したウェハーのFTIRスペクトルと比較するものである。電子ビーム硬化ウェハーについて3600〜3700cm-1の波長における吸収増加が存在しないことが、それらが、熱硬化膜とは対照的に、水分を吸収しないことを示している。
図10(a)及び(b)は、25℃の温度を有する水中に24時間浸漬する前及び浸漬した後に、アルゴンガスの存在下で10000μC/cm2の線量及び400℃の温度で、種々のエネルギーレベルで、電子ビーム照射で硬化させた膜についてのFTIRスペクトルを比較するものである。水中に浸漬する前及び浸漬した後のFTIRスペクトルに見て分かるほどの差がないことが、電子ビーム硬化膜は水中に24時間浸漬しても水分を吸収しなかったことを示している。
実施例9:窒素、アルゴン、ヘリウム、及び酸素環境下で 電子ビーム硬化した膜についてのFTIR結果
それぞれ窒素、アルゴン、ヘリウム、及び酸素の存在下で10000μC/cm2の線量及び200℃の温度で、膜を電子ビームエネルギーに曝した以外は、実施例1、2及び3に記載した方法に従ってウェハーを作って硬化させた。これらウェハーを周囲水分条件に7日間曝すことにより熟成させた後、FTIR分析を行った。
図11は、ヘリウムを除く全てのガスについて、3600〜3700cm-1の波長における吸収がほんの僅かしか増加していないことを示している。かくして、その後の水分の吸収に感受性となることなく、窒素、アルゴン、及び酸素の存在下で膜を硬化させることができることが明らかである。
実施例10:電子ビーム硬化ウェハーの化学的−機械的研
実施例4に記載した方法に従ってウェハーを作って硬化してから、実施例7及び13に記載した方法に従って研磨して清浄にした。研磨後の清浄化の間に、HFでの短時間酸化物蝕刻で膜表面から汚染物質を除去した。このHF浸漬は、典型的には、滑らかにするためにはキャップ堆積を必要とするところのプラズマTEOS中の低密度の溝を加飾する。
CMP加工膜の厚さ測定で、電子ビーム硬化シロキサン物質は、ドープされていないTEOSの研磨率と類似する十分に制御された研磨率を有したこと、及び研磨後清浄化された膜中にいかなる高腐蝕速度域も示さなかったことが証明された。
実施例11:使い捨て後装置ウェハー
Cypress Semiconductor Inc.から入手可能な0.5μmCMOS SRAM使い捨て後加工装置ウェハー(disposable post device wafer)を実施例1に記載した方法に従って2回コートしてから、150、250又は300℃のいずれかの温度及び5000、7500及び10,000μC/cm2の線量で、実施例4に記載した操作に従って硬化した。使い捨て後加工の一般的詳細は、例えば、Cleeves,M.ら,“IEEE Symposium on VLSI Technology Digest of Technical Papers,61(1994)に記載されている。
ウェハー上に得られた“二重コート”の厚さは約6500Åであった。得られたウェハーを実施例7及び13に記載した通りに灰磨きにより研磨及び加工してからHFで清浄にした後、そのウェハーの研磨されたSOG表面上にTi−TiW接着剤層を直接堆積させた。その後、そのウェハーを600℃急速熱アニーリング(RTA)に1分間曝した後に、450℃でタングステン化学蒸着(CVDW)した。得られたウェハーに膜の浮きもガス発生も認められなかった。
この電子ビーム硬化“二重コーテッド”膜を425〜700℃の温度に設定された炉内で30分間焼いた。425℃の温度で焼かれた膜の膜収縮率分析で、急速熱アニーリング後に直接測定した厚さを基準として、厚さが4%収縮したことが示された。700℃までの温度では追加の収縮は起こらなかった。従って、実施例11から、膜収縮の量が温度に依存していないことが明らかである。更には、得られたウェハーには亀裂がなく十分に平坦であった。
実施例12:蝕刻接触装置ウェハー
0.5μmCMOS SRAM慣用的蝕刻接触装置ウェハー(etched contact device wafer)を作り、単コーテッドウェハー及び二重コーテッドウェハーの両方を調製した以外は、実施例11に記載した操作に従って、硬化させ、研磨し、清浄にした。これら装置の二次加工に要求される全ての工程の間に膜の浮きもガス発生も認められなかった。
実施例13:金属上への直接CMPウェハー
Accuglass▲R▼ 311 SOGの2層のコートを金属1、即ちアルミニウムでパターン化されたシリコンウェハー上に直接堆積させて、実施例4に記載した条件に従って電子ビームで硬化させた。得られたSOG層の上に9,000ÅのTEOSをCVDのやり方で堆積させてから、Rodelからの入手可能なIC 1000/SUBA 4研磨パッドを備えたIpec Westech研磨機を用いて、次の条件下で研磨した:7psiのウェハー圧力;110゜Fのウェハーの研磨温度;Rippeyから入手可能な130ml/分で流れるSC 112スラリー;28のプラテン(保持パッド)rpm;28のキャリヤ(保持ウェハー)rpm;185mmの研磨位置;及び2mm/秒のスピードでの5mmのプラテン振動。ウェハーを研磨してHFで清浄にしてから、酸素プラズマで灰磨きした。SOG層とTEOS層の間には接着上の問題も他の望ましくない相互作用も見られなかった。
その後、SOG層とTEOS層の間の界面を研磨応力に曝すために、この実施例で作ったウェハーを類似の条件下で研磨した。離層や他の異常は認められなかった。
実施例14:露出した界面を有する金属上への種々の数のS OG層
ウェハー上の1層、2層又は3層いずれかのSOGのコートを用いて実施例13を繰り返した。これらウェハーも、SOG層の亀裂なしに優れた平坦性を示した。
実施例15:TEOSでキャップされた種々の数のSOG層が積層 されたウェハー
TEOSでキャップしたウェハーを、活性な装置上に約12,000ÅのドープされたTEOS酸化物誘電体を用い、5000及び10000μC/cm2の線量並びに9及び15KeVのエネルギーを用いた以外は、実施例13及び14に記載した方法に従って作った。ウェハーの表面に電子を到達させるのに要求されるエネルギーは、約12KeVと見積もられた。かくして、これら選ばれた電子ビームエネルギー値は、電子にTEOS膜の表面を越えさせてシリコンウェハー自体の中に入らせると考えられた。
次いで、それら硬化させたウェハーを実施例11に記載した接触蝕刻(contact etch)工程、接触充填(contact fill)(Wプラグ)工程及び局所的相互連絡形成(local interconnect formation)工程により加工し、装置電圧及びフィールドスレスホールド電圧及びゲート酸化物のQBDについて試験した。これら試験の詳細は、Wolf,“The Submicron MOSFET",3 Sillicon Processing for the VLSI Era(1995)に記載されている。フィールドスレスホールド試験の結果は、n−チャンネルトランジスターの電圧(Vt)にシフトを示さなかったが、p−チャンネルトランジスターのVtに小さなシフトを示した。しかしながら、15KeVという高いエネルギーでのp−チャンネル装置のVtのこの30mVシフトは、Vt変動について許容される範囲、即ち約150mVまでに比べて依然として小さい。15000KeVの電子ビームエネルギーでの10000μC/cm2を越える線量の増加は、QBDの体系的劣化をもたらした。これは、そのような高いレベルの電子ビーム暴露の間にゲート酸化物が損傷を受けるようになることを示唆している。
実施例16:ポリシリコン上の誘電体として膜を取り込むS RAM試験構造体の特性
Accuglass▲R▼SOG膜の“二重コート”を、実施例3に記載した操作に従って及び200℃、10KeV及び10000μC/cm2の条件下で、0.5μmポリシリコンコーテッド静的ランダムアクセスメモリー(Static Random Access Memory)(SRAM)試験構造体上に作って硬化させた。次いで、実施例12のアプローチに基づく慣用的な蝕刻又は実施例11の使い捨て後加工のいずれかにより、この硬化SOGコーテッド構造内に0.6μm接触を作った。
使い捨て後加工構造体においては、SOG特性を、これら接触の形成後であるが接触金属化の前に、異なるアニーリング温度、即ち425℃、600℃及び700℃において評価した。シリサイド抵抗は、その低い熱的バジェットの故にSOGプロセスにより影響を受けなかった。
慣用的な蝕刻法により路(via)を形成するために、実施例13及び14に記載した通りに、そのSOG層を9000ÅのTEOS SiO2によりキャップしてから、実施例13に記載した方法に従って研磨した。0.7の路をこの誘電体中に蝕刻した。路の充填(via filling)は、例えば、H.Kojimaら,“Planarization Process Using a Multi−Coating of Spin−On−Glass"VLSI,(June 1988)に記載されたブランケットW及び蝕刻戻し加工(etchback processing)で行った。
蝕刻接触を含有する構造体の、例えば、Anner,“Planar Processing Primer"79−90(1990)に記載された電気抵抗試験で、電子ビーム硬化SOG層の接触抵抗は、ドープされて再流化されたTEOS SiO2誘電層(doped reflowed TEOS SiO2 dielectric layer)の接触抵抗よりも高いことが示された。これは、下層にある酸化物から殆どのTiSi2を蝕刻し出してしまった接触蝕刻における過剰蝕刻に起因するようである。
図12に示すように、ゲート酸化物のQBDは、蝕刻接触を有するドープされて再流化されたTEOS SiO2のQBDと等しい。これは、薄いSOG層を有するウェハーについての電子ビーム加工の間の酸化物の損傷がTEOS SiO2酸化物を有するウェハーについてのものよりも少なかったことを示唆している。これら電気的結果を表2に纏めた。
Figure 0003568537
実施例17:ポリレベル誘電体のための電子ビーム加工CVD TEOS
1000〜8000Å、好ましくは約1500〜約3000Åの厚さを有するTEOS膜の層を、約350〜約450℃の温度及び約7〜9トルの圧力下でCVDによりポリシリコンウェハー上に堆積させる。次いで、そのTEOS膜を、Electron Vision,Inc.から入手可能なElectronCureTM装置内で、約5000〜約10000μC/cm2の線量及び約5〜約15KeVのエネルギーの電子ビーム照射の束に、約200〜約250℃及び約10〜約40ミリトルの圧力下、窒素又はアルゴンの存在下で約10分間曝す。得られる膜はシリコン豊富で密なTEOS酸化物である。
次いで、シリケート、ホスホシリケート、及び/又はシロキサンSOGのいずれかをこの硬化TEOSウェハー上にスピンオンして硬化させた。選択されたSOGのタイプ及びSOGコーティングの厚さは、望まれる平坦化に依存する。場合によっては、この誘電体堆積をCVD TEOS酸化物堆積により完結させても、また、SOGをインターレベル誘電体堆積中の最終層として残してもよい。
実施例18:超薄ゲート酸化物の形成
ポリシリコンウェハーを、約10〜200ミリトルの圧力及び約250℃の温度下、酸素ガスの存在下で、所望の厚さの酸化物が成長するのに十分な時間、実施例15の電子ビーム加工条件に曝す。結果物は、マイクロエレクトロニック用途に要求される更なる加工に適する一様に密で均一な膜である。

Claims (7)

  1. マイクロエレクトロニック装置を形成する方法であって、
    (a)マイクロエレクトロニック装置を形成するのに適する支持体の表面に誘電性物質を適用すること;及び
    (b)前記誘電性物質を、該誘電性物質を膜に硬化するのに十分な条件下で1,000〜50,000μC/cm2の電子ビーム照射線量に曝すこと
    を含む方法。
  2. 前記誘電性物質が、前記電子ビーム照射への暴露の前に、シロキサンを含んでなり、該シロキサンが、約1〜約10の炭素原子を有するアルキル基、約4〜約10の炭素原子を有する芳香族基、約4〜約10の炭素原子を有する脂肪族基、又はそれらの混合物を含む有機基を、前記シロキサンの全重量を基準として約2〜約90%有する、請求項1の方法。
  3. 化学蒸着物質でコートされた支持体をアニーリングする方法であって、
    (a)該支持体の表面に化学蒸着物質を適用すること;及び
    (b)その後全支持体上の該全化学蒸着物質を、該化学蒸着物質を膜にアニーリングするのに十分な条件下で、広くて大きなビームの1,000〜50,000μC/cm 2 電子ビーム照射に同時に曝すこと、ここで前記電子ビーム照射に曝すことを25〜400℃の温度範囲において行うこと、
    を含む方法。
  4. 前記化学蒸着物質が、プラズマ促進テトラエチルオルトシリケート、シラン基剤酸化物、ボロン−ホスホシリケートガラス、ホスホシリケートガラス、窒化物、無水物膜、オキシ窒化物、テトラエチルオルトシランからのボロホスホガラス、又はそれらの混合物を含んでなる、請求項3の方法。
  5. 前記化学蒸着物質が、前記支持体に、テトラエチルオルトシリケートと酸素の混合物、又は酸素、シラン及び場合によりジボランの混合物、ホスフィン、及び亜酸化窒素を含んでなるガスの存在下で適用される、請求項3の方法。
  6. 請求項3の膜の少なくとも1の層でコートされた支持体。
  7. 請求項6の支持体を含有するマイクロエレクトロニック装置。
JP50312597A 1995-06-15 1996-06-04 マイクロエレクトロニクス構造体用電子ビーム加工膜 Expired - Fee Related JP3568537B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US23995P 1995-06-15 1995-06-15
US60/000,239 1995-06-15
US08/652,893 US6652922B1 (en) 1995-06-15 1996-05-23 Electron-beam processed films for microelectronics structures
US08/652,893 1996-05-23
PCT/US1996/008678 WO1997000535A1 (en) 1995-06-15 1996-06-04 Electron-beam processed films for microelectronics structures

Publications (2)

Publication Number Publication Date
JPH11506872A JPH11506872A (ja) 1999-06-15
JP3568537B2 true JP3568537B2 (ja) 2004-09-22

Family

ID=26667374

Family Applications (1)

Application Number Title Priority Date Filing Date
JP50312597A Expired - Fee Related JP3568537B2 (ja) 1995-06-15 1996-06-04 マイクロエレクトロニクス構造体用電子ビーム加工膜

Country Status (6)

Country Link
US (2) US6652922B1 (ja)
EP (1) EP0834193A1 (ja)
JP (1) JP3568537B2 (ja)
KR (1) KR19990022952A (ja)
CN (1) CN1143367C (ja)
WO (1) WO1997000535A1 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607991B1 (en) * 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
KR100246779B1 (ko) * 1996-12-28 2000-03-15 김영환 반도체 소자의 스핀 온 글라스막 형성방법
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6177143B1 (en) * 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6746969B2 (en) 2000-10-20 2004-06-08 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
JP2002299337A (ja) 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法および半導体装置
TW559860B (en) 2001-05-10 2003-11-01 Toshiba Corp Method for manufacturing semiconductor device
CN1323441C (zh) 2001-10-12 2007-06-27 日亚化学工业株式会社 发光装置及其制造方法
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
KR101030068B1 (ko) 2002-07-08 2011-04-19 니치아 카가쿠 고교 가부시키가이샤 질화물 반도체 소자의 제조방법 및 질화물 반도체 소자
US20040145030A1 (en) * 2003-01-28 2004-07-29 Meagley Robert P. Forming semiconductor structures
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP4372442B2 (ja) * 2003-03-28 2009-11-25 東京エレクトロン株式会社 電子ビーム処理方法及び電子ビーム処理装置
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
TW200538491A (en) * 2004-01-16 2005-12-01 Jsr Corp The composition of forming insulating film and the method of producing insulating film
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
WO2005108469A1 (ja) * 2004-05-11 2005-11-17 Jsr Corporation 有機シリカ系膜の形成方法、有機シリカ系膜、配線構造体、半導体装置、および膜形成用組成物
JP5110239B2 (ja) 2004-05-11 2012-12-26 Jsr株式会社 有機シリカ系膜の形成方法、膜形成用組成物
US20070065756A1 (en) * 2005-09-16 2007-03-22 Quantiscript Inc., Universite De Sherbrooke High sensitivity electron beam resist processing
JP4544475B2 (ja) * 2006-03-30 2010-09-15 東京エレクトロン株式会社 変化率予測方法、記憶媒体及び基板処理システム
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US8574728B2 (en) 2011-03-15 2013-11-05 Kennametal Inc. Aluminum oxynitride coated article and method of making the same
US9138864B2 (en) 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US9017809B2 (en) 2013-01-25 2015-04-28 Kennametal Inc. Coatings for cutting tools
US9427808B2 (en) 2013-08-30 2016-08-30 Kennametal Inc. Refractory coatings for cutting tools

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3877989A (en) * 1971-09-13 1975-04-15 United Aircraft Corp Power system and an electrochemical control device therefor
IT977622B (it) * 1972-01-18 1974-09-20 Philips Nv Procedimento per produrre su un substrato uno strato a disegno di vetro fosfolicico particolarmente in applicazione a dispositivi semi conduttori
US4222792A (en) 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
JPS58151517A (ja) * 1982-03-05 1983-09-08 Sumitomo Metal Ind Ltd 粉粒体の流量測定方法及び装置
EP0090615B1 (en) * 1982-03-26 1989-01-11 Hitachi, Ltd. Method for forming fine resist patterns
JPS59143362A (ja) * 1983-02-03 1984-08-16 Fuji Xerox Co Ltd パツシベ−シヨン膜
US4666735A (en) * 1983-04-15 1987-05-19 Polyonics Corporation Process for producing product having patterned metal layer
JPS6043814A (ja) * 1983-08-22 1985-03-08 Toshiba Corp 半導体結晶薄膜の製造方法
JPH077826B2 (ja) * 1983-08-25 1995-01-30 忠弘 大見 半導体集積回路
US4569720A (en) * 1984-05-07 1986-02-11 Allied Corporation Copper etching system
JPS6141762A (ja) * 1984-08-06 1986-02-28 Res Dev Corp Of Japan 超微細パタ−ンの形成法
US4566937A (en) * 1984-10-10 1986-01-28 The United States Of America As Represented By The United States Department Of Energy Electron beam enhanced surface modification for making highly resolved structures
US4596720A (en) 1985-10-03 1986-06-24 Dow Corning Corporation Radiation-curable organopolysiloxane coating composition
JPH0639703B2 (ja) * 1986-04-15 1994-05-25 キヤノン株式会社 堆積膜形成法
US4921882A (en) * 1987-10-08 1990-05-01 Hercules Incorporated Electron beam irradiated release film
JP2650930B2 (ja) * 1987-11-24 1997-09-10 株式会社日立製作所 超格子構作の素子製作方法
JPH01215832A (ja) 1988-02-24 1989-08-29 Showa Denko Kk 剥離性材料の製造方法
DE68922734T2 (de) * 1988-03-16 1995-09-14 Toshiba Kawasaki Kk VERFAHREN ZUR HERSTELLUNG EINES DüNNSCHICHTOXYDSUPRALEITERS.
US4961886A (en) * 1988-06-09 1990-10-09 Dow Corning Corporation Method of controlling flow by a radiation formed dam
US5033178A (en) * 1988-07-06 1991-07-23 The Boeing Company Assembly jig and method for making wing panels
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
JPH0397224A (ja) * 1989-09-11 1991-04-23 Toshiba Corp 半導体装置の製造方法
US5045360A (en) * 1989-10-26 1991-09-03 Dow Corning Corporation Silicone primer compositions
CA2017720C (en) * 1990-05-29 1999-01-19 Luc Ouellet Sog with moisture-resistant protective capping layer
DE4202652C2 (de) * 1992-01-30 1996-03-28 Fraunhofer Ges Forschung Verfahren zum Aufbringen einer UV- und/oder elektronenstrahlempfindlichen Lackschicht
US5808315A (en) * 1992-07-21 1998-09-15 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor having transparent conductive film
JP3202362B2 (ja) * 1992-07-21 2001-08-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5314727A (en) * 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5409743A (en) * 1993-05-14 1995-04-25 International Business Machines Corporation PECVD process for forming BPSG with low flow temperature
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS

Also Published As

Publication number Publication date
JPH11506872A (ja) 1999-06-15
WO1997000535A1 (en) 1997-01-03
CN1143367C (zh) 2004-03-24
CN1187903A (zh) 1998-07-15
US6652922B1 (en) 2003-11-25
KR19990022952A (ko) 1999-03-25
US20040076764A1 (en) 2004-04-22
EP0834193A1 (en) 1998-04-08

Similar Documents

Publication Publication Date Title
JP3568537B2 (ja) マイクロエレクトロニクス構造体用電子ビーム加工膜
US7517817B2 (en) Method for forming a silicon oxide layer using spin-on glass
US7500397B2 (en) Activated chemical process for enhancing material properties of dielectric films
US5607773A (en) Method of forming a multilevel dielectric
EP1207217B1 (en) Method of forming an interlayer insulating film
US7582573B2 (en) Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6828258B2 (en) Method of forming an insulating film having SI-C, SI-O and SI-H bonds to cover wiringlines of a semiconductor device
US6559071B2 (en) Process for producing dielectric thin films
US6531389B1 (en) Method for forming incompletely landed via with attenuated contact resistance
KR100436495B1 (ko) 스핀온글래스 조성물을 이용한 반도체 장치의 산화실리콘막 형성방법 및 이를 이용한 반도체 장치의 소자분리 방법
US8283260B2 (en) Process for restoring dielectric properties
KR100499171B1 (ko) 스핀온글래스에 의한 산화실리콘막의 형성방법
US6426127B1 (en) Electron beam modification of perhydrosilazane spin-on glass
US20030062600A1 (en) Process for optimizing mechanical strength of nanoporous silica
KR100383498B1 (ko) 반도체 장치 제조방법
KR100611115B1 (ko) 스핀온글래스 조성물 및 이를 이용한 실리콘 산화막형성방법
JPH0570119A (ja) 半導体装置の製造方法
TW308709B (en) Electron-beam processed films for microelectronics structures
JP3519477B2 (ja) 半導体装置の製造方法
JPH07273193A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040401

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040616

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080625

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090625

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100625

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110625

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110625

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120625

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees