JP2005236285A - 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法 - Google Patents

改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法 Download PDF

Info

Publication number
JP2005236285A
JP2005236285A JP2005033943A JP2005033943A JP2005236285A JP 2005236285 A JP2005236285 A JP 2005236285A JP 2005033943 A JP2005033943 A JP 2005033943A JP 2005033943 A JP2005033943 A JP 2005033943A JP 2005236285 A JP2005236285 A JP 2005236285A
Authority
JP
Japan
Prior art keywords
range
thin film
dielectric
dielectric layer
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005033943A
Other languages
English (en)
Other versions
JP4328725B2 (ja
Inventor
Stephen M Gates
ステファン・マッコネル・ゲート
Son Van Nguyen
ソン・バン・グエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005236285A publication Critical patent/JP2005236285A/ja
Application granted granted Critical
Publication of JP4328725B2 publication Critical patent/JP4328725B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49128Assembling formed circuit to base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】超低k(ULK)誘電体を備える改良された後工程(BEOL)相互接続構造を提供すること
【解決手段】本構造は単一ダマシンまたはデュアル・ダマシン型であることができ、金属障壁層と超低K誘電体の間に高密度の薄膜誘電体層(TDL)を備える。また、後工程相互接続構造の作製方法が開示され、この方法は、(i)超低K誘電体のエッチングされた開口に高密度薄膜誘電体層を生成する方法、および(ii)超低K誘電体を低温チャック上でプロセス・チャンバ中に配置し、封止剤をプロセス・チャンバに加え、さらに活性化ステップを行なう方法を含む。
【選択図】図2

Description

本発明は、一般的に、集積回路(IC)に関し、より詳細には、ダマシン法で形成される多層(レベル)相互接続構造を含んだ相互接続構造に関し、この相互接続構造では、誘電体は、例えば約1.5から約3.0の誘電率を有する超低k(ULK)誘電体である。一般に、この超低k誘電体には、孔(pore)が存在している。
本発明は、この超低k誘電体内の金属ダマシン配線に基づいた、改良された相互接続構造について述べる。この相互接続構造は、単一ダマシンの開口またはデュアル・ダマシンの開口をエッチングした後で孔を封止または密閉することによって改善される。好ましい金属は銅であるが、他の低抵抗率金属を使用することができる。また、この構造を作る方法も述べる。
また、本発明は、エア・ギャップ(AG)を有する銅配線に基づいた、高性能ICチップ用の改良された相互接続構造について述べる。また、前記の改良されたエア・ギャップ構造を作る方法も述べる。
一般に、半導体デバイスは、シリコン結晶基板のような単一基板上に作られた集積回路を形成する複数の回路を含む。信号経路(path)の複雑な回路網は、通常、基板の表面に分布された回路要素を接続するように経路設定される。デバイス全体にわたってこの信号を効率良く経路設定するには、例えば銅に基づいたデュアル・ダマシン配線構造のような多レベルまたは多層の相互接続方法を形成することが必要である。銅をベースにした相互接続は、複雑な半導体チップ上の多数のトランジスタ間に高速信号伝送を実現する際に効果的であるので望ましい。相互接続構造の中で、金属ビア(via)は基板に対して垂直に走り、そして金属線は基板に対して平行に走る。信号速度のさらなる向上、および近接した銅線での信号の相互作用(「クロストーク」として知られている)のさらなる低減は、約1.5から約3.0の誘電率を有する低kまたは超低k(ULK)誘電体で銅線およびビアを取り包むことによって、新しいICチップで達成することができる。さらなる速度向上は、空気の誘電率=1.0を有するエア・ギャップ(AG)構造を使用して達成することができる。
現在、集積回路チップ上に形成された相互接続構造は、少なくとも約2から10までの配線層(レベル)から成る。特定の構造では、3.0よりも小さな誘電率を有する低誘電率(k)材料が使用される。
しかし、多くの場合、信頼性の問題が、この構造と関連している。集積化、信頼性ストレス、または長期間にわたる使用の間に、超低k誘電体内に作られたチップ相互接続構造は、Cuと超低k誘電体の間の金属ライナ(liner)障壁のライナ障壁品質不良のために、機能しなくなるかまたは劣化するかもしれない。この品質不良は、通常、CuまたはCuが誘電体に入り込むことができるようにしかつ酸化種(HO、O、その他)がCuと相互作用できるようにするライナ障壁中の欠陥に起因している。欠陥および凹凸(roughness:粗さ)は、一般に、ライナ障壁堆積中の被覆が一様でないためであり、例えば、超低k誘電体に孔およびでこぼこが存在することで、ライナ障壁が薄いかまたは不連続である小さな領域が生じるようになることがある。また、高アスペクト比のビアの底にライナ障壁を堆積することは困難であり、その結果、一般に、ビアが下の配線(line)にぶつかる界面近くで前記のビアの底に、薄いまたは不連続なライナ領域が形成される。
米国特許第6,312,793号 米国特許第6,441,491号 米国特許第6,479,110号
したがって、エッチングされた開口の表面の開いた孔および極端な凹凸(roughness)によって生じるライナ障壁中の欠陥を減少させるかまたは生じさせないようにする必要がある。
その上、高性能の相互接続構造は、最小の誘電率を実現するためにエア・ギャップ(AG)またはエア・ブリッジを使用することができる。この構造の信頼性問題は、通常、Cuの酸化を伴う。というのは、金属ライナ障壁は余りにも薄くてCuの酸化を防ぐことができないかもしれないし、または、製造中に微粒子、リソグラフィ欠陥、または他の原因によってライナ障壁中に欠陥が発生するかもしれないからである。また、エア・ギャップで誘電体絶縁破壊が起こるかもしれない。
したがって、エア・ギャップ構造には、酸化に対するCuの保護を増して信頼性を向上させ、かつ誘電体絶縁破壊も防止することが必要である。
本発明は、超低k(ULK)誘電体を備える改良された後工程(BEOL:back endof the line)相互接続構造を提供する。本構造は、金属ライナ障壁層と超低k誘電体の間に高密度の薄膜誘電体層(TDL:thin dielectriclayer)を備え、この薄膜誘電体層は、超低k誘電体の材料とは違った材料を備える。
相互接続構造は、デュアル・ダマシン型でも単一ダマシン型でもよく、超低k誘電体は、例えば、約1.5から約3.0までの誘電率のような、約3.0までの誘電率を有することができる。
薄膜誘電体層は、好ましくは、基本的に無欠陥であり、エッチングされた開口に共形堆積を示し、密封障壁能力(hermetic barrier capability)を有し、約0.5×1023原子/cmから約1.7×1023原子/cmまでの範囲にある密度を有し、さらに、約5ナノメートルまでの厚さ(例えば約1ナノメートルから約3ナノメートルのような約0.5ナノメートルから約5ナノメートルまでの範囲にある厚さ)を有する。
本発明は、さらに、後工程相互接続構造を形成する改良された方法に関する。一実施例では、高密度の密封薄膜誘電体層を超低k誘電体のエッチングされた開口に形成する方法が提供される。他の実施例では、低k薄膜誘電体層を超低k誘電体のエッチングされた開口に形成する方法が提供される。後者の方法で、超低k誘電体を含む構造は、約−200℃から約25℃までの範囲の温度の低温チャック(cold chuck)上でプロセス・チャンバ中に配置され、封止剤(seal agent)がプロセス・チャンバに加えられ、そして活性化ステップが行なわれる。
本発明のこれらおよび他の特徴は、図面に関連して読まれるとき、本発明の以下の詳細な説明の考察に基づいて明らかになるであろう。
本発明は、一般的に、集積回路(IC)の改良に関し、より詳細には、ダマシン法で形成された多層相互接続構造を含んだ改良された相互接続構造に関する。この改良された相互接続構造では、誘電体は、例えば約1.5から約3.0までの範囲にある誘電率を有する超低k(ULK)誘電体である。一般に、そのような超低k材料には、孔が存在する。本発明は、例えば単一ダマシンまたはデュアル・ダマシンの開口をエッチングした後で孔の封止または密閉するような、エッチング後に孔を封止するかまたは閉じる方法を提供する。
本発明の方法は、一般に信頼性不良の少なくとも2つの重大なモードを無くすることができるので、改良された信頼性を有する相互接続構造を実現することができる。この不良モードには、隣接する金属構造体(feature)間の電流を生じる、超低k誘電体中に存在する金属イオン(Cuのような)または金属原子(Cu)による超低k誘電体の時間に依存した誘電体絶縁破壊(TDDB:timedependent dielectric breakdown)の加速がある。第2の一般的な不良モードは、超低k誘電体から導電性金属中に浸入する酸化剤による導電性金属(Cuのような)ビアおよび配線の局部的な酸化であり、前記金属の抵抗を増加させる。前述の両方の不良モードは、一般に、ライナ障壁が薄いかまたは不連続である小さな領域によって引き起こされる。Cu種は超低k誘電体に浸入することができ、そして酸化剤は、ライナ障壁が薄いかまたは不連続である場所を通り抜けて、Cu配線を腐食する。
したがって、本発明は、電気的な特性が非常に安定でかつ高信頼性である約3.0までの誘電率を有する誘電体内に形成されたデュアル・ダマシン型または単一ダマシン型の後工程相互接続構造を実現することができる。本構造は、現場での動作または信頼性ストレス中に、電流リーク(leakage current)の減少、安定した金属導体(Cu)抵抗、安定した配線間キャパシタンス、および関連した特性を示す。
さらに、本発明は、現場動作または信頼性ストレス中に、配線間の漏れ(リーク)、金属導体(Cuのような)抵抗およびキャパシタンス、および誘電体絶縁破壊の回避のような電気的特性が非常に安定でかつ高信頼性であるエア・ギャップに基づいた後工程相互接続構造を実現することができる。
本発明は、さらに、デュアル・ダマシン型または単一ダマシン型の、またはエア・ギャップ型の後工程相互接続構造の改良された製造方法を実現することができる。この方法では、薄膜誘電体障壁が誘電体を金属導体(Cuのような)から分離する。
集積回路は一般に相互接続レベルを有し、その各レベル(層)は、ICチップ上で使用するためのデュアル・ダマシン(ビアと次のレベルの導体)配線相互接続構造である金属配線とビアから成る。金属配線およびビアは、同じまたは異なる導電性材料で構成される。適切な導電性材料には、W、Cu、Al、Ag、Auおよびこれらの混合物および合金があるが、これらに限定されない。非常に好ましい材料はCuである。添加金属(TiまたはSnのような)の入ったCuを基材とした合金を使用することもできる。
デュアル・ダマシン法によるレベルの形成を図1を参照して説明する。図1には、基板1およびエッチング停止(etch stop)/障壁層2が示されている。エッチング停止/障壁層の上に、層間誘電体(ILD:inter layerdielectric)3を堆積し、層間誘電体の上に任意選択でハードマスク4を堆積する。層間誘電体内に、例えば反応性イオン・エッチングによって、ビア開口(opening)5および配線開口7を含んだ開口を形成する。ビア開口の側壁が9であり、一方で、配線開口の側壁が11である。側壁表面の凹凸を13として示し、開いた孔(openpore)による極端な凹凸の例を15として示す。
いくつかのエッチング条件の下でのエッチング・ステップ中に、トレンチの底がイオン衝撃に直接曝されるために、凹凸は、側壁13と比べてトレンチの底17でいっそう大きいかもしれない。一般に、凹凸および開いた孔15は、エッチングされた開口5および7の露出表面全体にわたって形成されることがある。基板1は、例えば、Si、SiGe、Si/SiGe、Si/SiO/Si、GaAs、およびこれらの合金、混合物、または多層から成るグループから選択された半導体材料を含んだ半導体ウェーハまたはチップを含み得る。基板は、作製すべき所望のデバイスに依存してn型か、またはp型であり得る。さらに、基板は、基板内か基板表面かのどちらかに形成された様々な分離領域またはデバイス領域あるいはその両方を含み得る。また、基板は、表面に金属パッドを含むかもしれない。基板は、シリコンを含んだ半導体材料である他に、相補型金属酸化物半導体(CMOS)デバイスを含む回路でもある。
デュアル・ダマシン開口を形成する方法およびパラメータは知られている。例えば、配線およびビアを画定する開口は、従来のリソグラフィ(低k有機誘電体層の表面にフォトレジストを塗布することを含む)およびエッチングを使用して形成することができる。エッチング・ステップは、従来のドライ・エッチング、例えば反応性イオン・エッチング、イオン・ビーム・エッチングまたはプラズマ・エッチングあるいはこれら全てを含むことができる。そして、フォトレジストは、従来の剥離プロセスを使用して層から剥離することができる。図1は、エッチング・ステップおよびレジスト剥離ステップの後の開口を示す。
デュアル・ダマシン構造を完成するために、導電性金属をベースにしたライナ障壁を、開口の露出表面に堆積することができる。例えば化学的気相堆積法(CVD)、物理的気相堆積法(PVD)、スパッタリング、メッキ、蒸着(evaporation)、または化学溶液付着のような従来の堆積プロセスを使用して、この導電性金属をベースにしたライナ障壁を堆積することができる。ライナ障壁は、例えば窒化タンタル(TaN)のような高融点金属窒化物と例えばタンタル(Ta)のような高融点金属の二重層であり得る。TiN、WN、W、Re、Ru、および関連した材料を使用することもできる。任意選択で、Siを加えて、TiSiNのような三元合金障壁(ternaryalloy barrier)を形成することができる。
次に、電気メッキまたは前述の堆積プロセスのうちの1つで、金属、例えばCuを付加えることができる。好ましくは、金属は、Ta、RuまたはReのようなライナ金属と強い接着強度で接触し、そして次に、このライナ金属は金属窒化物層と接触している。この金属窒化物層は、超低k誘電体に隣接し、前記の誘電体と強い接着強度で接触している。
次に、導電性充填構造を従来の平坦化プロセスに掛けることができ、この平坦化プロセスで、開口の外のどのような残留導電性金属またはライナあるいはその両方とも実質的に除去される。例えば、化学機械的研磨(CMP)を使用することができ、CMP後、金属配線の上面水平部分は、第1の層の上面とほぼ同一平面になっている。
次に、その上面に連続層として、拡散障壁/エッチング停止層を堆積し、相互接続レベル(層)を完成することができる。前記の拡散障壁層は、上述の導電性金属のうちの1つが相互接続レベルの誘電体層中に拡散するのを防ぐことができる任意の絶縁材料で構成することができる。前記の拡散障壁層は、例えば、SiN、SiCN、およびSiC、またはこれらの材料の水素添加形(SiNH、SiCNH、SiCH)あるいはこれら全てから選ばれたアモルファス合金で構成することができる。
ここで、本発明の範囲に含まれる実施例を、図2を参照して説明する。超低k誘電体層26が基板23の上に堆積され、超低k誘電体にデュアル・ダマシン開口がエッチングされている。この開口は本発明の範囲内の単一ダマシンによる場合もあり得るが、デュアル・ダマシンの場合を詳細に説明する。誘電体26と金属(Cuのような)構造体22の間にデュアル・ダマシン開口全体にわたって薄膜誘電体層(TDL)28がある。
薄膜誘電体層と金属構造体22の間に、金属/金属窒化物ライナ障壁30があり、この金属/金属窒化物ライナ障壁30は、単層であり得るし、または例えばTaNまたはTaあるいはその両方を含む二層か多層であり得る。好ましくは、二層が使用される。この二層では、金属窒化物層が超低k誘電体に隣接し、かつ前記誘電体と強い接着強度で接触しており、一方で、例えばTa、Ru、またはReを含む金属ライナが金属構造体に隣接しかつ前記金属構造体と強い接着強度で接触している。誘電体の上に、任意選択でハードマスク層24がある。任意選択のハードマスクおよび金属構造体の上に、拡散障壁/エッチング停止層25がある。
薄膜誘電体層28は密封障壁能力を有する高密度膜を含むのが望ましく、この高密度膜は、好ましくはアモルファスであり、かつピンホールまたは微小チャネル(micro channel)の無いような基本的に無欠陥である。密封障壁能力に加えて、該膜は、好ましくは、CuまたはCuのマイグレーション(migration:移動)に対して優れた障壁であることが望ましい。薄膜誘電体層28の他の特性には、好ましくは、エッチングされた開口内、特にビアの底部での共形堆積、耐湿性(HO)または疎水性特性あるいはその両方、およびライナ層およびブランケット(blanket)障壁/エッチング停止層に対する優れた接着性が含まれる。また、薄膜誘電体層は、例えば、約7未満の誘電率の低誘電率(例えば約2.8から約5までのような約2.8から約7までの範囲にある誘電率)を有することができる。
本明細書で使用されるとき、「密封障壁能力(hermetic barriercapability)を有する高密度膜(dense film)」という用語は、(i)ラザフォード後方散乱分光学(RBS)および前方反跳分光学(水素の)によって測定された、約0.5×1023原子/cmから約1.7×1023原子/cmまでの範囲の密度を有し、かつ(ii)約25℃から約430℃までの範囲の温度で約1ナノメートルから約3ナノメートルまでの厚さのような少なくとも約0.5ナノメートルの薄膜誘電体層厚さの材料に酸素またはHOを浸入させない、材料を意味する。
本明細書で使用されるとき、「基本的に無欠陥(defect free)」という用語は、直径が200ミリメートルまたは300ミリメートルのウェーハの欠陥数が0から約100までの範囲にあり、かつ、相互接続構造を含む基板の断片(piece)に、前記基板の前記断片を空気中で加熱して前記欠陥をより検出し易くしたとき、10×から10,000×の倍率の顕微鏡で見られるような可視欠陥が無いことを意味する。欠陥を検出するために使用することができる手順は、1)基板の上面を透明な窒化シリコン(SiN)で不動態化(passivating)して上面の酸化を防止すること、2)基板の断片を割って露出された端部を残しておくこと、3)前記断片を空気中で約200℃から約430℃で1から100時間加熱し、これによって、欠陥がライナ障壁中に存在する場所で銅を酸化させること、および4)顕微鏡を使用して前記断片を検査することを含む。
本明細書で使用されるとき、「共形堆積(conformal deposition)」という用語は、高アスペクト比の穴(ビア)またはトレンチの側壁の被覆(カバレッジ)を表し、少なくとも約0.1、好ましくは約0.1から約1までの共形性を必要とする。膜が基板に堆積されたとき上面およびビア(穴)を有する基板前記について、共形性は、前記ビアの側壁の膜厚と前記上面の膜厚の比である。側壁の厚さが上面の厚さに等しいとき、共形性=1である。薄膜誘電体層の共形性は好ましくは少なくとも約0.5であり、より好ましくは約0.9から約1までの範囲である。
薄膜誘電体層28は例えば約5ナノメートルまでの厚さを有することができ、このことは、厚さが約5ナノメートルまでの、例えば約1ナノメートルから約3ナノメートルまでのような約0.5ナノメートルから約5ナノメートルまでの有限量の材料を意味する。
薄膜誘電体層28は、超低k誘電体26の材料とは異なった材料で構成される膜を含む。「超低k誘電体の材料とは異なった材料」には次の(i)および(ii)がある。(i)存在する元素の種類に関して、超低k誘電体で使用される材料と異なる薄膜誘電体層材料(すなわち、薄膜誘電体層がSiCNH組成を含み、超低k誘電体がSiCOH組成を含むときのような、薄膜誘電体層材料が超低k誘電体に存在しない少なくとも1つの元素を含むときまたはその逆のときあるいはその両方のとき)、および(ii)超低k誘電体で使用されるのと同じ元素で構成され、元素の化学量論的組成比が異なる薄膜誘電体層材料(すなわち、薄膜誘電体層と超低kの両方の誘電体が式Siで表され、x、w、yのうちの少なくとも1つまたはzあるいはその両方が異なる場合のような、薄膜誘電体層材料および超低k誘電体が同じ元素で構成されるが、少なくとも1つの組成係数が異なる場合)。
薄膜誘電体層28に適切な材料には、例えば、窒化シリコン(SiN)、SiC、SiCH、SiNH、SiCNH、SiCOH、AlN、BN、SiCBN、およびこれらの混合物、合金、および多層があり、これらの組成物の元素(Si、N、C、H、O、B、Al)は、任意の化学量論的組成比で存在することができる。例えば、好ましい薄膜誘電体層材料には次のものがある。
(i)式Siの組成物、
ここで、xは約0.35から約0.45までの範囲にあり、wは0から約0.1までの範囲にあり、yは約0.45から約0.55までの範囲にあり、そしてzは0から約0.2までの範囲にある。
(ii)式Siの組成物、
ここで、xは約0.2から約0.3までの範囲にあり、vは0から約0.1までの範囲にあり、wは約0.25から約0.35までの範囲にあり、yは0.1から約0.2までの範囲にあり、そしてzは0から約0.35までの範囲にある。
(iii)式Siの組成物、
ここで、xは約0.22から約0.32までの範囲にあり、wは約0.15から約0.3までの範囲にあり、yは約0.3から約0.5までの範囲にあり、そしてzは0から約0.3までの範囲にある。
非常に好ましい組成物はSiであり、ここで、xは約0.25、wは約0.3、yは約0.15、そしてzは約0.3である。
x、y、w、zの上述の値が好ましい組成を定義するが、他の値のx、y、w、z係数を有する材料を本発明の範囲内で使用して薄膜誘電体層を形成することができる。
層26の超低k誘電体は、約1.5から約3.0までの範囲にある誘電率のようなゼロよりも大きい約3までの誘電率を意味する約3までの誘電率を有することができ、そして、好ましくは、約3.0未満の誘電率、より好ましくは約2.5未満の誘電率を有する。超低k誘電体は、一般に、約0.5ナノメートルから約2ナノメートルまでのような約0.5ナノメートルから約10ナノメートルまでの範囲にある特徴的な寸法の孔を含む。
層26の適切な超低k誘電体は、例えば、Cドープ酸化物(CDO)またはオルガノシリケート・ガラス(OSG)とも呼ばれる(SiCOH)を含んだSi、C、OおよびHの組成物のようなシリコン含有材料のような、多孔質無機材料を含むことができる。層26は、プラズマ増強化学的気相堆積法(PECVD)またはスピン塗付法によって堆積された超低k誘電体を含むことができる。
PECVD 超低k誘電体の特定の例には、アプライド・マティリアルス社(AppliedMaterials)からの黒色ダイアモンド多孔質SiCOH(BDII、BDIII)およびエー・エス・エム(ASM)社からの超低kまたはELKオーロラ(Aurora)があるが、これらに限定されない。SiCOH前駆物質(precursor)および第二炭化水素(secondhydrocarbon)前駆物質によるPECVDは、米国特許第6,312,793号、6,441,491号および6,479,110号に開示されているように、1.5から3の誘電率(k)を有する超低kSiCOH誘電体を作るための1つの好ましい方法である。
メチルシルセスキオキサン、シロキサン、および日本合成ゴム(JapanSynthetic Rubber(JSR))社からの5109、5117、5525、5530のような組成Si、C、O、Hを有する様々なスピン塗付膜およびデンドリガラス(Dendriglass)を使用することもできる。トリコン(Trikon)社からのオリオン(Orion)として知られている材料および他の材料、およびシップレイ(Shipley)社からのジルコン(Zircon)として知られている材料も層26に使用することができる。
もしくは、超低k誘電体層26は、多孔質SiLK(商標)として売られているダウ・ケミカル社(Dow Chemical Co.)からの市販の多孔質有機熱硬化性樹脂のような多孔質低k有機材料、またはポリアリーレン、および同様な物のであり得る。
もしくは、超低k誘電体層26は、ビア・レベルの1つの材料と配線レベルの第2の材料で構成され、当技術分野で知られている「ハイブリッド」構造を形成し得る。例えば、ビアは高密度SiCOH誘電体に形成することができ、配線は多孔質SiCOH誘電体に形成することができる。
ここで図3を参照して、本発明の範囲に含まれる他の実施例を説明する。図3では、多くの要素は図2と同一であり、図2および3で両方に共通な要素には同じ数字の表示が使用されている。図3において、Cu配線の底部に埋め込まれたエッチング停止層34が追加されている。エッチング停止層34は、エッチング開口を形成するのに役立ち、またCu配線が高精度の適正な抵抗を持つようにするのに役立ち、そして、エッチング差をもうけるためにバルクの超低k誘電体と違った組成でなければならない。
ここで図4を参照して、本発明の範囲に含まれる追加の実施例を説明する。図4では、エア・ギャップ構造が示されている。例えばCu配線の金属構造体22は、多孔質かまたは高密度であり得る支持(support)誘電体40で支持されている。図2に関連して層26のために上述の列挙した任意の誘電体材料が、本発明の範囲内で支持誘電体40に使用することができる。金属配線および支持誘電体の外に、薄膜誘電体層28がある。この構造で、層28は、SiN、SiCN、AlN、BN、SiCBN、およびこれらの混合物、合金、または多層から成るグループから選択された高密度材料を含むことができる。
薄膜誘電体層28は密封障壁能力を有する高密度膜を含むのが望ましく、この高密度膜は、好ましくはアモルファスであり、かつピンホールまたは微小チャネルの無いような基本的に無欠陥である。密封障壁能力を有することの他に、好ましくは、そのような膜はCuまたはCuのマイグレーションに対して優れた障壁であるのが望ましい。薄膜誘電体層28の他の特性には、好ましくは、エッチングされた開口における特にビアの底部での共形堆積、耐湿性(HO)または疎水性特性あるいはその両方、およびライナ層およびブランケット障壁/エッチング停止層に対する優れた接着性がある。薄膜誘電体層はまた、低誘電率を有することができ、例えば、約2.8から約7までの範囲にある誘電率のような、約7未満の誘電率を有することができる。
薄膜誘電体層28の厚さは、例えば、約1ナノメートルから約3ナノメートルまでのような、約0.5ナノメートルから約5ナノメートルまでの範囲であることができる。薄膜誘電体層の共形性は、好ましくは、少なくとも約0.5であり、最も好ましくは、少なくとも約0.9である。
薄膜誘電体層28と金属構造体22の間に、金属/金属窒化物ライナ障壁30があり、この障壁30は、単層であり得るし、または、二層または多層であり得る、例えばTaNまたはTaあるいはその両方を含む。
薄膜誘電体層に隣接して、エア・ギャップ46がある。エア・ギャップ46を多孔質ギャップ充填誘電体に置き換えて、「エッチ・バック・ギャップ充填」(EBGH)構造を作ることができ、薄膜誘電体層を含むEBGF構造は本発明の範囲内に含まれる。
さらに、下記のものは、本発明に従って構造を作る方法について述べる。
第1の方法
第1の方法は、例えば、高密度プラズマ(HDP)、ダウンストリームHDP、電子サイクロトロン共鳴(ECR)補助PECVD、プラズマ増強原子層堆積(PEALD)および関連プラズマ・プロセスから選択された堆積プロセスを使用した、薄膜誘電体層の堆積を含む。
孔を封止し、かつビアおよび配線の側壁を滑らかにする第1の方法は、図1の要素1〜17を含む基板を、HDP、PECVD、PEALD、または電子サイクロトロン共鳴プラズマ増強(ECRPE)CVD、またはALD型の反応器中に配置することで始まる。第1の方法では、多孔質オルガノシリケート・ガラス(OSG)または「多孔質SiCOH」の層間誘電体(ILD)が使用され、SiN、SiCまたはSiCNまたはSiCNHから成るグループから選択された材料を含む封止層が、好ましくはHDPまたはPEALDによって塗布される。上に列挙した他の多孔質誘電体をこの方法の範囲内で使用することができ、層間誘電体はPECVDまたはスピン塗付方法で堆積することができる。
この材料の孔は、約0.1ナノメートルから約10ナノメートルまで範囲にある特徴的な寸法を有する可能性があり、好ましい材料は約0.2ナノメートルから約2ナノメートルまでの範囲にある平均孔径を含む。
この方法では、少なくとも2つまたは3つの前駆物質化学薬品を混合物の状態で使用して薄膜誘電体層を形成することができ、そしてこれらの化学薬品は、Si源および窒素源および任意選択で炭素源を含むことができる。任意選択で、そのSiおよびCは1つの前駆物質(例えば、トリメチルシランまたはテトラメチルシラン、または任意のメチルシラン)中に結合され、そして窒素源としてNHまたは他の前駆物質が使用される。HDP、ダウンストリームHDP、ECRPECVD、PEALDプロセスを全て使用することができる。
一般的な高密度プラズマ(HDP)窒化シリコン・プロセスでは、希釈したシリコン、窒素、および、シランおよび、アンモニア(または窒素)またはエチレン(またはメタン)あるいはその両方のような炭素源が、低圧(3〜100mT)、低温(<400℃)、および低RF源およびバイアス電力(200ミリメートル用CVDシステムの場合、<800ワット)で堆積前駆物質として使用されて、膜共形性が改良された状態で、SiNか、SiNCか、SiCかのどれかで構成された薄膜誘電体層が堆積される。一般的なシステムは、AMAT社のCenturaまたはノベラス(Novellus)社のSPEED HDPシステムであり得る。また、他のダウンストリーム・プラズマCVD、ECRを、同じ目的に使用することもできる。
例えば、SiN、SiCおよびSiCNのような堆積膜は、430℃までの温度で1時間の高い耐湿性および耐酸化性を有するはずである。膜の共形性は、少なくとも1:1のアスペクト比を有するサブミクロン構造への堆積の場合、少なくとも約0.5、好ましくは少なくとも約0.9であるはずである。
第2の方法
薄膜誘電体層を形成する第2の方法では、図1の要素1〜17を含む基板は、約100から約300ケルビン(K)までの温度の冷却ウェーハ・チャック上に配置される。封止剤は、ガスとして、または固体源からの蒸発によりプロセス・チャンバに加えられ、封止剤がウェーハ上に凝縮する。テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、または他の凝縮可能シロキサンのような、Si、C、OおよびHを含む分子を、封止剤として使用することができる。この環状シロキサン化合物は、一般に、標準条件下で液体であり、そして、容易にウェーハ上に凝縮して、一般的に一様な共形の被膜をエッチングされた側壁およびトレンチの底部に形成するので好ましい。
好ましい封止剤には、SiCOH薄膜誘電体層を形成するために、テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテトラシロキサン(OMCTS)、変性(derivatized)シクロトリシロキサン、変性シクロペンタシロキサン、エチル置換環状シロキサン、および変性シロキサンを含む。
次に、活性化ステップで封止剤を活性化させることができ、このステップで、封止剤はエッチングされた表面と反応して、開いた孔および凹凸の領域が充填される。この活性化ステップは、封止層を薄膜誘電体層に変換し、さらに活性化ステップは高密度薄膜誘電体層を作らなければならない。
異なる実施例では、活性化ステップは、異なるエネルギー源で行なわれる。好ましい実施例では、活性化ステップは、ウェハ温度が約−200℃から約25℃までの範囲の温度のような低温に保たれている間に、基板を電子ビーム照射またはUV照射に曝すことで行なわれる。電子ビーム処理は、約10から約1,000マイクロキューリ/cmの線量を有する約0.5から約100KeVまでの範囲にある電子エネルギーを、約1秒から約170分の時間、使用することができる。
また、UV照射も封止剤を活性化するのに好適である可能性がある。
異なる実施例では、ウェハは、真空または水素/アルゴンまたはアンモニアのような非酸化反応性の雰囲気中で、1から10,000秒間、約300℃から約450℃までの範囲の温度に加熱される。ウェハは、リフト・ピン(lift pin)を使用して低温チャックからリフトオフされ、それから急速熱アニール法を使用してランプ加熱することができる。
もしくは、レーザ加熱を使用して、1ナノ秒から100秒までの時間、ウェハの上面を局部的に加熱することができる。
他の実施例では、活性化ステップは、ダウンストリーム・プラズマまたはECRプラズマのようなプラズマに低温のウェハを曝すことによって行なうことができる。プラズマ活性化用の1つの好ましい化学作用は、H、炭化水素(CH、C、その他)、アンモニア(NH)、He、またはこれらのガスの1つまたは複数の混合物を使用することである。プラズマ活性化に使用することができるガスには、例えば、フォーミング・ガス(H/N)、H/不活性ガス(He、Ar)、NH/H/N、および炭化水素(CH、C、・・・その他)がある。
第3の方法
この方法では、多孔質オルガノシリケート・ガラス(OSG)または「多孔質SiCOH」の層間誘電体(ILD)を使用することができ、そしてSiN、SiC、またはSiCNを含む封止層を塗布することができる。この材料の孔は、0.1ナノメートルから10ナノメートルまでの範囲の特徴的な寸法を有する可能性があり、好ましい材料は約0.2ナノメートルから約2ナノメートルまでの平均孔径を含む。
第3の方法では、2つまたは3つの材料を混合物の状態で使用して薄膜誘電体層(封止「層」)を形成し、そしてこれらの材料がSi源および窒素源を含んでいる。
第3の方法のプロセス・ステップは、第2の方法に類似している。図1の要素1〜17を含む基板は、約100から約300ケルビン(K)までの温度の冷却ウェハ・チャック上に配置される。封止剤は、ガスの混合物として、プロセス・チャンバに加えられ、表面9、11、17並びに図1の他の表面に凝縮層(condensed layer)を形成する。好ましい封止層は、N含有分子と共に高級シラン(例えば、SiまたはSiあるいはその両方)を凝縮して形成され、さらに任意選択で、トリメチルシラン(3MS)またはテトラメチルシラン(4MS)のようなメチルシランを含む。N含有分子は、例えば、NH、およびメチルアミン、ジメチルアミンのようなアルキルアミン、および同様なもの、または窒素含有ガスであり得る。
CかOかのどちらかも含む任意のSi含有分子を、封止層を作るための1つの分子として使用することができる。また、SiまたはSiのような高級シランを含んだ凝縮可能なシラン分子は、NHまたは他のN含有化合物と結合してSiN薄膜誘電体層を形成することができる。
次に、封止層は、活性化ステップで活性化され、これによって、開いた孔および凹凸の領域が充填される。
活性化ステップの詳細は、上の第2の方法と同じである。
第4の方法
この方法では、多孔質オルガノシリケート・ガラス(OSG)または「多孔質SiCOH」の層間誘電体を使用し、そしてSiN、SiC、またはSiCNを含む薄膜誘電体層(TDL)を塗布する。この材料の孔は、0.1ナノメートルから10ナノメートルまでの範囲の特徴的な寸法を有する可能性があり、好ましい材料は約0.2ナノメートルから約2ナノメートルまでの平均孔径を含む。この方法では、当技術分野で知られている標準の原子層堆積(ALD)方法を使用して、薄膜誘電体層が堆積される。薄膜誘電体層は、SiN、SiCN、AlN、BN、またはSiCBNあるいはこれ等全てで構成され、かつ高密度でなければならない。
薄膜誘電体層28は密封障壁能力を有する高密度膜を含むのが望ましく、この高密度膜は、好ましくはアモルファスであり、かつピンホールまたは微小チャネルの無いような基本的に無欠陥である。密封障壁能力を有することの他に、好ましくは、そのような膜はCuまたはCuのマイグレーションに対して優れた障壁であるのが望ましい。薄膜誘電体層28の他の特性には、好ましくは、エッチングされた開口における特にビアの底部での共形堆積、耐湿性(HO)または疎水性特性あるいはその両方、およびライナ層およびブランケット障壁/エッチング停止層に対する優れた接着性がある。薄膜誘電体層は、また、低誘電率を有することができ、例えば、例えば約2.8から約5までを含む約2.8から約7までの範囲にある誘電率のような、約7未満の誘電率を有することができる。
薄膜誘電体層28の厚さは、例えば、約1ナノメートルから約3ナノメートルまでのような、約0.5ナノメートルから約5ナノメートルまでの範囲であることができる。薄膜誘電体層の共形性は、好ましくは、少なくとも約0.5である。
上の方法は、薄膜誘電体層を堆積するために別個のチャンバを使用する。関連した方法では、堆積ツールの代わりにエッチング・ツールを使用することができ、そして、エッチングされたダマシン開口を形成した後で、エッチング・プロセスの最終ステップとして薄膜誘電体層を堆積するために、前記のエッチング・ツールは、薄膜誘電体層を形成するためのガス源および液体源に接続することができる。
本発明は、ある程度の特殊性を有する好ましい形で説明したが、多くの変化物および変形物が、可能であり、また前述の説明を読んだ後の当業者には明らかであろう。したがって、理解すべきことであるが、本発明は、本発明の精神および範囲から逸脱することなしに、本明細書で具体的に説明されたようなものとは違った表現でなされ得る。
エッチング開口が形成された後の作製中のデュアル・ダマシン相互接続レベルを示す模式的な断面図であり、側壁および配線の底部の凹凸を示す。 本発明によるデュアル・ダマシン相互接続レベルを示す模式的な断面図であり、超低k誘電体と金属構造体の間に薄膜誘電体層(TDL)を示す。 埋込みエッチング・ストップ層を有する本発明のデュアル・ダマシン相互接続レベルを示す模式的な断面図であり、超低k誘電体と金属構造体の間に薄膜誘電体層を示す。 本発明のエア・ギャップ相互接続レベルを示す模式的な断面図であり、支持誘電体の下だけでなくエア・ギャップと金属構造体の間に薄膜誘電体層を示す。
符号の説明
1 基板
2 エッチング停止/障壁層
3 層間誘電体(ILD)
4 ハードマスク
5 ビア開口
7 配線開口
9、11 側壁
13 凹凸
15 孔
17 トレンチの底
22 金属構造体
23 基板
24 ハードマスク層
25 拡散障壁/エッチング停止層
26 超低k誘電体層
28 薄膜誘電体層(TDL)
30 金属/金属窒化物ライナ障壁
34 エッチング停止層
40 支持誘電体
46 エア・ギャップ

Claims (33)

  1. 後工程(BEOL)の相互接続構造であって、
    (a)基板上に設けられた約3までの誘電率を有する超低誘電率(k)(ULK)誘電体および導電性金属構造体と、
    (b)前記ULK誘電体と前記導電性金属構造体の間のライナ障壁層と、
    (c)前記ライナ障壁層とULK誘電体の間の約5ナノメートルまでの厚さを有する薄膜誘電体層(TDL)とを備え、前記薄膜誘電体層が、密封障壁能力を有する高密度誘電体膜を含み、前記膜が、前記超低k誘電体の材料とは違った材料を含む相互接続構造。
  2. 前記薄膜誘電体層が、約0.5ナノメートルから約5ナノメートルまでの範囲にある厚さを有する、請求項1に記載の相互接続構造。
  3. 前記薄膜誘電体層が、共形堆積を示し、かつ基本的に無欠陥である、請求項2に記載の相互接続構造。
  4. 前記薄膜誘電体層が、約1ナノメートルから約3ナノメートルまでの範囲にある厚さ、および少なくとも約0.5である共形性を有する、請求項1に記載の相互接続構造。
  5. 前記薄膜誘電体層の材料が、窒化シリコン(SiN)、SiC、SiCH、SiNH、SiCNH、SiCOH、AlN、BN、SiCBN、CN、および前述のものの合金、混合物、および多層から成るグループから選択される、請求項4に記載の相互接続構造。
  6. (i)式Siの材料であって、xが約0.35から約0.45までの範囲にあり、wが0から約0.1までの範囲にあり、yが約0.45から約0.55までの範囲にあり、そしてzが0から約0.2までの範囲にある材料と、
    (ii)式Siの材料であって、xが約0.2から約0.3までの範囲にあり、vが0から約0.1までの範囲にあり、wが約0.25から約0.35までの範囲にあり、yが約0.1から約0.2までの範囲にあり、そしてzが0から約0.35までの範囲にある材料と、
    (iii)式Siの材料であって、xが約0.22から約0.32までの範囲にあり、wが約0.15から約0.3までの範囲にあり、yが約0.3から約0.5までの範囲にあり、そしてzが0から約0.3までの範囲にある材料と、から成るグループから前記薄膜誘電体層の材料が選択される、請求項5に記載の相互接続構造。
  7. 前記薄膜誘電体層の材料が、式Siの組成物を含み、ここで、x=約0.25、w=約0.3、y=約0.15、そしてz=約0.3である、請求項6に記載の相互接続構造。
  8. 前記薄膜誘電体層が、高密度プラズマ(HDP)、ダウンストリームHDP、電子サイクロトロン共鳴(ECR)、プラズマ増強化学的気相堆積(PECVD)、補助PECDV、およびプラズマ増強原子層堆積(PEALD)から成るグループから選択された方法で堆積される、請求項1に記載の相互接続構造。
  9. 前記ライナ障壁層が、Ta、TaN、Ti、TiN、W、WN、および前述のものの合金、混合物、および多層から成るグループから選択され、前記超低k誘電体が、約1.5から約3.0までの範囲にある誘電率を有するSiCOH材料および多孔質SiCOH材料から成るグループから選択され、さらに、前記導電性金属構造体が、Cu、Al、Ag、Au、W、および前述のものの合金、混合物、および多層から成るグループから選択される、請求項5に記載の相互接続構造。
  10. 前記ライナ障壁層が、Ta、TaNおよび前述のものの合金、混合物および多層から成るグループから選択され、かつ前記導電性金属構造体がCuを含む、請求項9に記載の相互接続構造。
  11. 前記超低k誘電体が、約1.5から約3.0までの範囲にある誘電率を有し、かつ前記薄膜誘電体層が、約2.8から約7までの範囲にある誘電率を有する、請求項2に記載の相互接続構造。
  12. 後工程(BEOL)の相互接続構造を形成する方法であって、
    a)基板上に約3までの誘電率を有する超低k(ULK)誘電体を形成するステップと、
    b)前記超低k誘電体内に単一ダマシンまたはデュアル・ダマシン用のエッチングされた開口を形成するステップと、
    c)約5ナノメートルまでの厚さを有する薄膜誘電体層(TDL)を前記超低k誘電体のエッチングされた開口に生成するステップであって、前記薄膜誘電体層が密封障壁能力を有する高密度膜を含み、前記膜が前記超低k誘電体の材料とは違った材料を含むステップと、
    d)前記薄膜誘電体層上にライナ障壁層を形成するステップと、
    e)導電性金属を用いて前記エッチングされた開口を充填するステップと、
    f)前記構造を平坦化プロセスに掛けるステップとを備える方法。
  13. 前記薄膜誘電体層が、約0.5ナノメートルから約5ナノメートルまでの範囲にある厚さを有する、請求項12に記載の方法。
  14. 前記薄膜誘電体層が、共形堆積を示し、かつ基本的に無欠陥である、請求項13に記載の方法。
  15. 前記薄膜誘電体層が、約1ナノメートルから約3ナノメートルまでの範囲にある厚さ、および少なくとも約0.5である共形性を有する、請求項12に記載の方法。
  16. 前記薄膜誘電体層の材料が、窒化シリコン(SiN)、SiC、SiCH、SiNH、SiCNH、SiCOH、AlN、BN、SiCBN、CN、および前述のものの合金、混合物、および多層から成るグループから選択される、請求項15に記載の方法。
  17. (i)式Siの材料であって、xが約0.35から約0.45までの範囲にあり、wが0から約0.1までの範囲にあり、yが約0.45から約0.55までの範囲にあり、そしてzが0から約0.2までの範囲にある材料と、
    (ii)式Siの材料であって、xが約0.2から約0.3までの範囲にあり、vが0から約0.1までの範囲にあり、wが約0.25から約0.35までの範囲にあり、yが約0.1から約0.2までの範囲にあり、そしてzが0から約0.35までの範囲にある材料と、
    (iii)式Siの材料であって、xが約0.22から約0.32までの範囲にあり、wが約0.15から約0.3までの範囲にあり、yが約0.3から約0.5までの範囲にあり、そしてzが0から約0.3までの範囲にある材料と、から成るグループから前記薄膜誘電体層の材料が選択される、請求項16に記載の方法。
  18. 前記薄膜誘電体層の材料が、式Siの組成物を含み、ここで、x=約0.25、w=約0.3、y=約0.15、そしてz=約0.3である、請求項17に記載の方法。
  19. 前記薄膜誘電体層が、高密度プラズマ(HDP)、ダウンストリームHDP、電子サイクロトロン共鳴(ECR)、プラズマ増強化学的気相堆積(PECVD)、補助PECVD、およびプラズマ増速原子層堆積(PEALD)から成るグループから選択された方法で堆積される、請求項12に記載の方法。
  20. 前記ライナ障壁層が、Ta、TaN、Ti、TiN、W、WN、および前述のものの合金、混合物、および多層から成るグループから選択され、前記超低k誘電体が、約1.5から約3.0までの範囲にある誘電率を有するSiCOH材料および多孔質SiCOH材料から成るグループから選択され、さらに、前記導電性金属が、Cu、Al、Ag、Au、W、および前述のものの合金、混合物、および多層から成るグループから選択される、請求項16に記載の方法。
  21. 前記ライナ障壁層が、Ta、TaNおよび前述のものの合金、混合物および多層から成るグループから選択され、かつ前記導電性金属がCuを含む、請求項20に記載の方法。
  22. 前記超低k誘電体が、約1.5から約3.0までの範囲にある誘電率を有し、かつ前記薄膜誘電体層が、約2.8から約7までの範囲にある誘電率を有する、請求項13に記載の方法。
  23. 後工程(BEOL)の相互接続構造を形成する方法であって、
    a)基板上に約3までの誘電率を有する超低k(ULK)誘電体を形成するステップと、
    b)前記超低k誘電体に単一ダマシンまたはデュアル・ダマシンのエッチングされた開口を形成するステップと、
    c)、約−200℃から約25℃までの範囲にある温度の低温チャック上で、前記構造をプロセス・チャンバ中に配置するステップと、
    d)前記プロセス・チャンバに封止剤を加えるステップと、
    e)活性化ステップを行なうステップとを備える方法。
  24. 前記活性化ステップは、前記構造が約−200℃から約25℃までの範囲の温度の低温状態にある間に、前記構造を電子ビーム放射またはUV放射に曝すことを含む、請求項23に記載の方法。
  25. 前記電子ビーム放射が、約10から約1,000マイクロキューリ/cmの線量を有する約0.5から約100KeVの範囲にある電子エネルギーを、約1秒から約170分の時間、使用する、請求項24に記載の方法。
  26. 前記活性化ステップが、前記構造を、真空または非酸化反応性の雰囲気中で、約1秒から約170分の間、約300℃から約450℃までの範囲にある温度に加熱することを含む、請求項23に記載の方法。
  27. 前記非酸化反応性の雰囲気が、水素/アルゴンおよびアンモニアから成るグループから選択される、請求項26に記載の方法。
  28. 前記活性化ステップは、前記構造が約−200℃から約25℃までの範囲の温度の低温状態にある間に、前記構造をプラズマに曝すことを含む、請求項23に記載の方法。
  29. 前記プラズマが、H、N、NH、He、Ar、CH、C、C、C、および前述のものの1つまたは複数の混合物から成るグループから選択された成分を含む、請求項28に記載の方法。
  30. 前記封止剤が、環状シロキサン化合物を含む、請求項23に記載の方法。
  31. 前記環状シロキサン化合物が、テトラメチルシクロテトラシロキサン(TMCTS)およびオクタメチルシクロテトラシロキサン(OMCTS)から成るグループから選択され、さらに前記超低k誘電体が、約1.5から約3.0までの範囲にある誘電率を有するSiCOH材料および多孔質SiCOH材料から成るグループから選択される、請求項30に記載の方法。
  32. 前記封止剤が、NHとメチルシランまたは高級シランの混合物を含む、請求項23に記載の方法。
  33. 前記薄膜誘電体層が、エッチング・ツールまたは堆積ツールで堆積される、請求項23に記載の方法。
JP2005033943A 2004-02-19 2005-02-10 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法 Expired - Fee Related JP4328725B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/780,554 US7088003B2 (en) 2004-02-19 2004-02-19 Structures and methods for integration of ultralow-k dielectrics with improved reliability

Publications (2)

Publication Number Publication Date
JP2005236285A true JP2005236285A (ja) 2005-09-02
JP4328725B2 JP4328725B2 (ja) 2009-09-09

Family

ID=34860879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005033943A Expired - Fee Related JP4328725B2 (ja) 2004-02-19 2005-02-10 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法

Country Status (3)

Country Link
US (1) US7088003B2 (ja)
JP (1) JP4328725B2 (ja)
TW (2) TWI402887B (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008010630A (ja) * 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法
JP2008047817A (ja) * 2006-08-21 2008-02-28 Fujitsu Ltd 半導体装置
JP2008091600A (ja) * 2006-10-02 2008-04-17 Sony Corp 半導体装置の製造方法
JP2008192684A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2009026866A (ja) * 2007-07-18 2009-02-05 Fujitsu Ltd 半導体装置及びその製造方法
WO2009044529A1 (ja) 2007-10-05 2009-04-09 Nec Electronics Corporation 疎水化多孔質膜の製造方法
WO2009153857A1 (ja) * 2008-06-17 2009-12-23 富士通株式会社 半導体装置及びその製造方法
US7728434B2 (en) 2006-12-21 2010-06-01 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2011526078A (ja) * 2008-06-27 2011-09-29 アプライド マテリアルズ インコーポレイテッド 薄いバリア層を用いた多孔性誘電体への溶媒および溶液の侵入の阻止および低減
JP2012248703A (ja) * 2011-05-27 2012-12-13 Shinko Electric Ind Co Ltd 配線基板及びその製造方法
JP2014146780A (ja) * 2013-01-28 2014-08-14 Win Semiconductors Corp 半導体集積回路
KR20220024372A (ko) * 2015-02-06 2022-03-03 노벨러스 시스템즈, 인코포레이티드 실리콘 카바이드 막들의 컨포멀한 증착

Families Citing this family (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
KR100552811B1 (ko) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
US7030431B2 (en) * 2004-03-19 2006-04-18 Nanya Technology Corp. Metal gate with composite film stack
EP1759407A2 (en) * 2004-06-03 2007-03-07 Epion Corporation Improved dual damascene integration structures and method of forming improved dual damascene integration structures
US7271089B2 (en) * 2004-09-01 2007-09-18 Micron Technology, Inc. Barrier layer, IC via, and IC line forming methods
CN100356545C (zh) * 2004-09-21 2007-12-19 中芯国际集成电路制造(上海)有限公司 在半导体器件的双镶嵌结构中降低接触电阻的方法和结构
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7361584B2 (en) * 2004-11-04 2008-04-22 International Business Machines Corporation Detection of residual liner materials after polishing in damascene process
US7514725B2 (en) * 2004-11-30 2009-04-07 Spire Corporation Nanophotovoltaic devices
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
US7786552B2 (en) * 2005-06-10 2010-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having hydrogen-containing layer
US7867906B2 (en) * 2005-06-22 2011-01-11 Nec Corporation Semiconductor device and method for manufacturing same
US7452804B2 (en) * 2005-08-16 2008-11-18 Infineon Technologies Ag Single damascene with disposable stencil and method therefore
US7589880B2 (en) * 2005-08-24 2009-09-15 The Trustees Of Boston College Apparatus and methods for manipulating light using nanoscale cometal structures
US7943847B2 (en) 2005-08-24 2011-05-17 The Trustees Of Boston College Apparatus and methods for solar energy conversion using nanoscale cometal structures
US7394154B2 (en) * 2005-09-13 2008-07-01 International Business Machines Corporation Embedded barrier for dielectric encapsulation
JP4884749B2 (ja) * 2005-10-31 2012-02-29 日本発條株式会社 導電性接触子ホルダの製造方法および導電性接触子ユニットの製造方法
US20070099433A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Gas dielectric structure formation using radiation
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
CN1983550A (zh) * 2005-12-14 2007-06-20 中芯国际集成电路制造(上海)有限公司 提高可靠性和成品率的消除铜位错的方法
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7695897B2 (en) * 2006-05-08 2010-04-13 International Business Machines Corporation Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
US7605073B2 (en) * 2006-05-19 2009-10-20 Intel Corporation Sealants for metal interconnect protection in microelectronic devices having air gap interconnect structures
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7531384B2 (en) * 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
WO2008056295A1 (en) * 2006-11-09 2008-05-15 Nxp B.V. A semiconductor device and a method of manufacturing thereof
US7871923B2 (en) * 2007-01-26 2011-01-18 Taiwan Semiconductor Maufacturing Company, Ltd. Self-aligned air-gap in interconnect structures
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US20080242118A1 (en) * 2007-03-29 2008-10-02 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
US20090093100A1 (en) 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7855142B2 (en) * 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011211101A (ja) 2010-03-30 2011-10-20 Sony Corp 記憶素子及びその製造方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5730654B2 (ja) * 2010-06-24 2015-06-10 新光電気工業株式会社 配線基板及びその製造方法
DE102010030759B4 (de) * 2010-06-30 2018-10-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit Metallisierungsstapel mit sehr kleinem ε (ULK) mit reduzierter Wechselwirkung zwischen Chip und Gehäuse
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8039920B1 (en) * 2010-11-17 2011-10-18 Intel Corporation Methods for forming planarized hermetic barrier layers and structures formed thereby
US8030202B1 (en) 2010-12-10 2011-10-04 International Business Machines Corporation Temporary etchable liner for forming air gap
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9018089B2 (en) * 2011-08-30 2015-04-28 International Business Machines Corporation Multiple step anneal method and semiconductor formed by multiple step anneal
CN102420212A (zh) * 2011-09-15 2012-04-18 上海华力微电子有限公司 超低介电常数薄膜铜互连结构及其制作方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101728288B1 (ko) 2011-12-30 2017-04-18 인텔 코포레이션 자기-폐쇄 비대칭 상호연결 구조
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
CN102543859A (zh) * 2012-02-28 2012-07-04 上海华力微电子有限公司 改善金属互联工艺中多孔介质薄膜密封性的方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9337082B2 (en) 2013-01-18 2016-05-10 Globalfoundries Inc. Metal lines having etch-bias independent height
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9153538B2 (en) * 2013-08-22 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9312168B2 (en) * 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9281211B2 (en) * 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9412658B2 (en) * 2014-09-19 2016-08-09 International Business Machines Corporation Constrained nanosecond laser anneal of metal interconnect structures
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102334110B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자 형성방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
FR3042067A1 (fr) * 2015-10-01 2017-04-07 Stmicroelectronics Rousset Protection contre le claquage premature de dielectriques poreux interlignes au sein d'un circuit integre
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9553019B1 (en) 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
FR3050318B1 (fr) 2016-04-19 2018-05-11 Stmicroelectronics (Rousset) Sas Nouvelle protection contre le claquage premature de dielectriques poreux interlignes au sein d'un circuit integre
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9997451B2 (en) 2016-06-30 2018-06-12 International Business Machines Corporation Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US9853025B1 (en) * 2016-10-14 2017-12-26 International Business Machines Corporation Thin film metallic resistors formed by surface treatment of insulating layer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
AU2017382163B2 (en) * 2016-12-22 2022-06-09 Illumina Cambridge Limited Imprinting apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6441989B2 (ja) * 2017-04-27 2018-12-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP2019165050A (ja) * 2018-03-19 2019-09-26 東芝メモリ株式会社 半導体装置およびその製造方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11521923B2 (en) * 2018-05-24 2022-12-06 Intel Corporation Integrated circuit package supports
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111029298A (zh) * 2019-12-11 2020-04-17 武汉新芯集成电路制造有限公司 一种铜互连层的制造方法
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11282746B2 (en) 2019-12-27 2022-03-22 Micron Technology, Inc. Method of manufacturing microelectronic devices, related tools and apparatus
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111769097B (zh) * 2020-06-18 2022-11-18 复旦大学 一种用于三维互连的硅通孔结构及其制造方法
US11087989B1 (en) * 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112018078B (zh) * 2020-07-29 2022-10-25 复旦大学 一种铜互连结构及其制作方法
CN112018079B (zh) * 2020-07-29 2022-10-25 复旦大学 一种铜互连结构及其制备方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140220A (en) * 1999-07-08 2000-10-31 Industrial Technology Institute Reseach Dual damascene process and structure with dielectric barrier layer
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
JP3686325B2 (ja) * 2000-10-26 2005-08-24 松下電器産業株式会社 半導体装置及びその製造方法
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2003209166A (ja) * 2002-01-17 2003-07-25 Seiko Epson Corp 半導体装置及びその製造方法
US6933246B2 (en) * 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US20050064701A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4864307B2 (ja) * 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US20050107274A1 (en) * 2003-10-14 2005-05-19 Jerome Daviot Removal of post etch residues and copper contamination from low-k dielectrics using supercritical CO2 with diketone additives
US20050082089A1 (en) * 2003-10-18 2005-04-21 Stephan Grunow Stacked interconnect structure between copper lines of a semiconductor circuit
US6903004B1 (en) * 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US7244674B2 (en) * 2004-04-27 2007-07-17 Agency For Science Technology And Research Process of forming a composite diffusion barrier in copper/organic low-k damascene technology

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008010630A (ja) * 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法
JP2008047817A (ja) * 2006-08-21 2008-02-28 Fujitsu Ltd 半導体装置
JP2008091600A (ja) * 2006-10-02 2008-04-17 Sony Corp 半導体装置の製造方法
US7728434B2 (en) 2006-12-21 2010-06-01 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
US7883935B2 (en) 2006-12-21 2011-02-08 Renesas Electronics Corporation Method of manufacturing a semiconductor device
JP2008192684A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2009026866A (ja) * 2007-07-18 2009-02-05 Fujitsu Ltd 半導体装置及びその製造方法
JP2009094183A (ja) * 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
WO2009044529A1 (ja) 2007-10-05 2009-04-09 Nec Electronics Corporation 疎水化多孔質膜の製造方法
US8273410B2 (en) 2007-10-05 2012-09-25 Renesas Electronics Corporation Process for manufacturing hydrophobized microporous film
WO2009153857A1 (ja) * 2008-06-17 2009-12-23 富士通株式会社 半導体装置及びその製造方法
JPWO2009153857A1 (ja) * 2008-06-17 2011-11-24 富士通株式会社 半導体装置及びその製造方法
US8461041B2 (en) 2008-06-17 2013-06-11 Fujitsu Limited Semiconductor device and method of manufacturing semiconductor device
JP2011526078A (ja) * 2008-06-27 2011-09-29 アプライド マテリアルズ インコーポレイテッド 薄いバリア層を用いた多孔性誘電体への溶媒および溶液の侵入の阻止および低減
JP2012248703A (ja) * 2011-05-27 2012-12-13 Shinko Electric Ind Co Ltd 配線基板及びその製造方法
JP2014146780A (ja) * 2013-01-28 2014-08-14 Win Semiconductors Corp 半導体集積回路
KR20220024372A (ko) * 2015-02-06 2022-03-03 노벨러스 시스템즈, 인코포레이티드 실리콘 카바이드 막들의 컨포멀한 증착
KR102515238B1 (ko) 2015-02-06 2023-03-30 노벨러스 시스템즈, 인코포레이티드 실리콘 카바이드 막들의 컨포멀한 증착

Also Published As

Publication number Publication date
TWI402887B (zh) 2013-07-21
US7088003B2 (en) 2006-08-08
TW200539251A (en) 2005-12-01
TW201042706A (en) 2010-12-01
US20050184397A1 (en) 2005-08-25
JP4328725B2 (ja) 2009-09-09

Similar Documents

Publication Publication Date Title
JP4328725B2 (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
US7858519B2 (en) Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
JP5328111B2 (ja) 貴金属ライナとこれに隣接する誘電材料間の付着性を向上させた相互接続構造およびその製造方法(金属/誘電体界面のための付着性向上)
CN100479146C (zh) 互连结构及其形成方法
US9006895B2 (en) Interconnect structures containing nitrided metallic residues
KR101625231B1 (ko) 압축 응력을 갖도록 형성된 인터커넥트 유전체 캡들 및 이를 포함하는 인터커넥트 구조들
TWI413212B (zh) 半導體裝置及其製造方法
JP2007281114A (ja) 半導体装置の製造方法および半導体装置
JP4675258B2 (ja) 半導体装置の製造方法および半導体装置
US20090176367A1 (en) OPTIMIZED SiCN CAPPING LAYER
JP2004235548A (ja) 半導体装置およびその製造方法
US7138333B2 (en) Process for sealing plasma-damaged, porous low-k materials
US20070155186A1 (en) OPTIMIZED SiCN CAPPING LAYER
US8877083B2 (en) Surface treatment in the formation of interconnect structure
US8334204B2 (en) Semiconductor device and manufacturing method therefor
KR100652317B1 (ko) 반도체 소자의 금속 패드 제조 방법
JPWO2009153857A1 (ja) 半導体装置及びその製造方法
JP2009117673A (ja) 半導体装置およびその製造方法
Jiang et al. Recent patents on Cu/low-k dielectrics interconnects in integrated circuits
JP2011101028A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090120

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090123

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090327

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090609

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090615

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120619

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4328725

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120619

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130619

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees