CN100479146C - 互连结构及其形成方法 - Google Patents
互连结构及其形成方法 Download PDFInfo
- Publication number
- CN100479146C CN100479146C CNB2007100018382A CN200710001838A CN100479146C CN 100479146 C CN100479146 C CN 100479146C CN B2007100018382 A CNB2007100018382 A CN B2007100018382A CN 200710001838 A CN200710001838 A CN 200710001838A CN 100479146 C CN100479146 C CN 100479146C
- Authority
- CN
- China
- Prior art keywords
- dielectric
- osg
- adhesion promoter
- opening
- organic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
本文提供了一种互连结构,其具有最小化学计量改变的有机硅酸盐玻璃层间介电材料和可选的完整有机粘附促进剂,其用在半导体器件中。由于与常规使用的那些结构相比,该互连结构的叠层的有效介电常数降低,因此该互连结构能够提供改善的器件性能、功能和可靠性,这是因为在灰化图形化材料之前,在完成阻挡层开口步骤期间,使用了沉积到电介质和可选的有机粘附促进剂上的牺牲聚合物材料。该牺牲膜在其中去除聚合物膜的随后的灰化步骤期间,保护电介质和可选的有机粘附促进剂不改变/消耗。
Description
技术领域
本发明一般涉及一种集成电路(IC),且具体涉及一种互连结构,其包括多级互连结构,其中先进双镶嵌处理能实现目标的超低k(ULK)互连性能和增强的机械完整性(可靠性)。术语“超低k”或“ULK”指具有小于3.0介电常数的有机硅酸盐玻璃。本发明还提供了一种其中在处理期间不损伤有机硅酸盐玻璃(OSG)电介质的壁部分的互连结构的制造方法。
背景技术
通常,半导体器件包括形成包括芯片(例如芯片后端线(chip backend of line)或者“BEOL”)的集成电路的多个电路、薄膜封装和印刷电路板。集成电路(IC)能够用于计算机和电子设备,并且能包含在单个硅晶衬底上制造的几百万个晶体管和其它电路元件。对于功能器件,复杂的信号路径网络通常被布线以连接在器件表面上分布的电路元件。由于增加了集成电路的数目和复杂性,横跨器件的这些信号的有效布线变得更难。由此,由于多级或多层互连配置例如双镶嵌布线结构在复杂半导体芯片上大量晶体管之间提供高速信号布线图形方面的效率,越来越需要形成该多级或层互连配置。在这种互连结构中,金属孔垂直于硅衬底延伸且金属线平行于硅衬底延伸。
目前,在集成电路芯片上形成的互连结构由以指定为约1x的最小光刻特征尺寸制造的至少约2至8个布线级(称作“薄引线(thinwires)”)构成,且在这些级之上是等于薄引线最小宽度的约2x和/或约4x的宽度制造的约2至4个布线级(称作“粗引线(fatwires)”)。在一种类别的结构中,用低介电常数(k)有机硅酸盐玻璃(OSG)介电层形成薄引线,该介电层包括Si、C、H和O的原子,且粗引线由二氧化硅介电层制成,该介电层具有约为4的介电常数。
对于未来的技术节点,将多孔(OSG)材料结合到Cu/ULK互连结构中对于保持ITRS路标(roadmap)以提高性能是必要的。实质上,由于介电常数在2.7到3.1范围内的材料在制造(90nm)中或将要进入到制造(65nm)中,以持续将性能增强互连方案提供给各种CMOS技术,因此必须结合超出65nm节点的ULK材料。然而,一旦将这些介电常数小于2.7的材料暴露到抗蚀剂去除等离子体灰化化学试剂,其会非常易于发生等离子体引入的化学/物理改变(“损伤”),且其通常对级间扩散阻挡材料(SiC、SiCN、SiCNH等)显示出差的粘附性。
例如在图1A-1D中示出了形成双镶嵌互连结构的典型现有技术工艺。图1A示出了在将图形化的光致抗蚀剂20提供到包括硬掩模16和上覆抗反射涂层18的材料叠层15上之后的互连结构。该互连结构还包括ULK OSG电介质14、扩散阻挡层12和衬底层10。衬底层10可包括其上具有一个或多个半导体器件的半导体衬底,或者其包括具有导电特征、即位于其中的布线和/或通路的一个下部互连之一。
图1B示出了在将图形(首先是通路然后是线)从图形化的光致抗蚀剂20转移到材料叠层15中和下部ULK OSG电介质14、并在沟槽开口24的下部中提供有机填充材料22之后图1A的结构。沟槽开口24包括比下部区B宽的上部区A。
图1C示出了在从互连结构去除图形化的光致抗蚀剂20、ARC 18和有机填充材料22的灰化步骤之后的图1B的结构。图1D示出了在利用在去除暴露部分的扩散阻挡层12方面具有选择性的蚀刻工艺对扩散阻挡层12开口之后的结构。注意,损伤了在沟槽开口24中OSG电介质14的壁部分。“损伤”意味着在暴露到灰化等离子体的沟槽开口24的OSG电介质14的壁部分从原始组分变为更多氧或氧氮之类的物质。图1D中将损伤的部分标记为元件26。与OSG电介质14的剩余部分相比,损伤的部分26典型地具有不同的(即增加的)介电常数。
为了解决等离子体引进的“损伤”的问题,已经提出了几种替换的抗蚀剂去除等离子体灰化化学试剂(降低等离子体)。例如见美国专利No.6,630,406。但是,所有这些替换化学试剂都改变OSG介电材料,在一定程度上增加该膜的介电常数并降低整个互连性能(尽管对于给定的技术节点可能达到目标目标)。
另一种方案利用替代的集成方案(所谓的“先沟槽”)避免这个问题,从而在图形化部分线结构之后,去除所有抗蚀剂(用仍通过各种硬掩模材料保护的OSG电介质),且之后某种硬掩模材料(金属或非金属)用作图形转移层。例如,在美国专利No.6,734,096和美国专利申请序号No.11/034,480中可发现一个这种替代方案的更多细节。
尽管成功地解决了等离子体灰化引入的ILD变化的问题,但是这种集成方案有时提供狭窄的单或双镶嵌工艺窗且其通常非常昂贵。
在级间介电层(ILD)粘附到级间阻挡材料上的问题上,以几种方式解决,包括使用有机或无机粘附促进剂,其化学粘合到ILD和扩散阻挡材料,从而增加粘附强度以及叠层的整体机械完整度。但是,在有机粘合促进剂的情况下,使用各种灰化化学试剂同时可能最低限度地改变多孔OSG ILD膜会消耗粘附促进剂,弱化了叠层的机械强度并且从而降低了Cu/ULK互连结构的可靠性。
考虑到上面制造包括OSG电介质的互连结构的现有技术工艺的缺点,需要提供一种制造互连结构的新方法,其中保护在沟槽开口内部OSG电介质的壁部分,从而不会对其发生损伤。
发明内容
本发明提供了一种制造互连结构的方法,其中将牺牲聚合物层应用到OSG电介质暴露的壁部分上,且如果有的话,提供到有机粘附促进剂上,以解决上述的两个问题。常规的单和双镶嵌处理需要通过蚀刻硬掩模层和OSG膜来限定沟槽,后者对扩散阻挡层具有选择性。随后去除图形化的抗蚀剂材料(单、双或三层方案),且确保金属-X+1和下部X级之间的金属接触的最终步骤要求蚀刻级间扩散阻挡材料。这种方法将导致上面提示的一些(或所有)问题。本发明的方法需要改变其中进行抗蚀剂剥离和扩散阻挡层开口工艺的顺序,从而使将薄的聚合物层能够沉积到OSG电介质暴露的壁部分以及如果存在的话沉积到有机粘附促进剂的暴露的壁部分上,从而保护这些膜在随后的灰化工艺中不变化。
对于单镶嵌,直接进行改变,然而对于双镶嵌,这需要特别调整扩散阻挡层蚀刻工艺,以便于实现对暴露出的沟槽ILD材料足够的选择性(和可能暴露出的硬掩模,这取决于位于场中的通路填充有机材料在阻挡层/通路填充蚀刻工艺期间是否完全消耗),同时蚀刻通路填充材料和扩散阻挡层。这种工艺对于包括SiCOH基低k材料(多孔和/或无孔)的OSG材料和对于包括典型无机膜(氧化物、TEOS、氧氮化物、氮化物)的硬掩模材料可能可行。由于通路填充材料通常是有机的,且扩散阻挡层是SiC型的(SiC、SiCH、SiCNH),因此可使用包括特别调整的氟∶氧气比率(<4∶1)的蚀刻化学试剂,以制造所需的双镶嵌沟槽剖面;能够通过变换扩散阻挡层开口和灰化步骤来沉积牺牲聚合物层,用于壁保护。
对于单和双镶嵌,该改变便于将薄的聚合物层沉积到沟槽的侧壁上,涂敷OSG电介质和如果存在的话,利用该薄层涂敷有机粘附促进剂。该薄层聚合物实现了两个关键的功能性优点,即:(1)保护OSG电介质暴露的壁部分不受随后的等离子体灰化的化学试剂以及因此的“损伤”的影响,和(2)保护有机粘附促进剂在灰化期间不消耗。以这种方式,降低了通过等离子体灰化化学试剂引入到OSG电介质上的物理和化学改变的程度,以实现提高的金属/ULK互连性能,而且,由于相对没有损伤有机粘附促进剂,因此保持了该叠层的机械完整度。
本发明由此提供了一种例如双镶嵌型的互连结构,其中在扩散阻挡层开口步骤期间,将牺牲薄聚合物层沉积到OSG电介质暴露的壁部分和如果存在的话、沉积到有机粘附促进剂的暴露壁部分(包括侧壁和底部,例如水平表面)上,阻止在随后灰化图形化材料中消耗两种材料。通过保护至少OSG电介质的壁部分,保持了OSG电介质初始的化学计量,即,形成了没有损伤的壁部分,该损伤的壁部分如图1A-1D中描述的现有技术工艺中的情况。注意,在其中有机粘附促进剂存在于OSG电介质和扩散阻挡层之间的实施例中,牺牲聚合物层还保护有机聚合物促进剂的暴露壁部分,使得在灰化和对扩散阻挡层形成开口之后,不损伤有机粘附促进剂的壁部分。
本发明还提供了一种与用常规的单或双镶嵌处理构建的互连结构对比改善了RC电性能的互连结构。而且,改善了OSG电介质/扩散阻挡叠层的机械完整度。
广而言之,本申请的互连结构包括:
OSG电介质,包括嵌入其中的至少一个导电特征,其中与所述至少一个导电特征相邻的OSG电介质的壁部分具有基本上与OSG电介质的剩余部分相同的化学计量和介电常数。
本发明的互连结构还包括可选的位于OSG电介质一部分上表面上的硬掩模和位于OSG电介质一部分下表面上的扩散阻挡层。在本发明的一些实施例中,有机粘附促进剂存在于OSG电介质和扩散阻挡层之间。根据本发明,也不损伤下面的有机粘附促进层,并且,同样地,保持了扩散阻挡层和OSG电介质的完整度。
除了上述的互连结构之外,本发明还提供了该互连结构的制造方法。广而言之,本发明的方法包括:
提供包括在OSG电介质材料内限定至少一个通路和线的沟槽开口的结构,所述结构包括图形化的叠层,以及位于所述OSG电介质下方的未形成开口的扩散阻挡层,该叠层包括硬掩模、抗反射涂层和位于其上表面上的光致抗蚀剂;
在位于所述至少一个通路下方的所述扩散阻挡层中提供开口,其中在所述提供开口期间,在所述OSG电介质的壁部分上形成牺牲聚合物材料;
从所述结构去除所述光致抗蚀剂和所述抗反射涂层,其中在所述去除期间,还去除所述牺牲聚合物材料;和
至少用导电材料填充所述沟槽开口。
附图说明
图1A-1D是示出常规双镶嵌等离子体处理方案的图示说明(通过截面图)。
图2A-2G是示出本发明双镶嵌等离子体处理方案的图示说明(通过截面图)。
具体实施方式
现在将通过参考以下描述和伴随本申请的附图更详细地描述提供了双镶嵌工艺的本发明,该双镶嵌工艺能够实现最小的OSG电介质变化和增强的叠层完整度。注意,为了说明目的而提供了示出常规工艺和具有创造性的工艺的处理流程的本申请附图,并且,这些附图不是按比例画出的。
本发明涉及一种用于形成半导体器件的互连结构,用替换的单和双镶嵌处理顺序来构建,其中在去除抗蚀剂层之前在扩散阻挡层中形成开口。改变这两个步骤的顺序有利于改进目标金属/ULK互连电(RC)性能(降低的泄漏)和叠层的机械完整度。在扩散阻挡层上形成开口步骤期间,当将牺牲聚合层沉积到OSG电介质暴露的壁部分上,和如果存在的话,将其沉积在有机粘附促进剂的壁部分上时,可实现这两个目的。由此,在抗蚀剂层去除步骤期间,去除该牺牲层,使得OSG电介质和有机粘附促进剂不受损伤。
参考图2A-2G,其通过截面图说明本发明的基本处理步骤。具体地,该具有创造性的方法从首先提供图2A中示出的结构100开始。图2A中示出的结构100包括衬底50、在衬底50上的扩散阻挡层52、位于扩散阻挡层52上方(与其直接接触或由有机粘附促进剂分开)的OSG电介质54、在OSG电介质54上的硬掩模56、位于硬掩模56上的抗反射涂层58和位于抗反射涂层58上的光致抗蚀剂60。可选的有机粘附促进剂53存在于扩散阻挡层52和OSG电介质54之间。可选的粘附促进剂53有助于在OSG电介质和扩散阻挡层52之间形成牢固的键合。可选的有机粘附促进剂还可位于OSG电介质54和硬掩模56之间。在本申请附图中未描述该后一实施例。
当与衬底50结合使用时,术语“衬底”包括半导体材料、绝缘材料、导电材料或包括多层结构的其任意组合。由此,例如,衬底50可以是半导体材料如Si、SiGe、SiGeC、SiC、GaAs、InAs、InP以及其它的III/V或II/VI族化合物半导体。半导体衬底50还可包括多层衬底,例如,Si/SiGe、Si/SiC、绝缘体上的硅(SOI)或者绝缘体上的硅锗(SGOI)。
当衬底50是绝缘材料时,该绝缘材料可以是有机绝缘体、无机绝缘体或者包括多层的其组合。当衬底50是导电材料时,衬底50例如可包括多晶硅、元素金属、元素金属的合金、金属硅化物、金属氮化物和包括多层的其组合。
在一些实施例中,衬底50包括半导体材料和绝缘材料的组合、半导体材料和导电材料的组合或者半导体材料、绝缘材料和导电材料的组合。
当衬底50包括半导体材料时,可于其上制造一个或多个半导体器件如互补金属氧化物半导体(CMOS)器件。为了清楚起见,在本申请的附图中没有示出该一个或多个半导体器件。
不管使用何种类型的衬底50,都在衬底50上形成扩散阻挡层52如氧化物、氮化物和/或包括原子Si和C、N、O和H可选的电介质。利用常规沉积工艺形成扩散阻挡层52,该工艺例如包括化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、蒸镀、化学溶液沉积以及其它类似的沉积工艺。扩散阻挡层52的厚度根据所使用的材料类型、在形成扩散阻挡层中使用的材料数量以及所使用的沉积工艺而变化。典型地,扩散阻挡层52在沉积之后具有从约20到约100nm的厚度,更典型的厚度是从约35到约50nm。
在一些实施例中,可选的有机粘附促进剂53形成于扩散阻挡层52和OSG电介质54之间,尽管是可选的,但是优选在层52和54之间使用有机粘附促进剂53,这是因为这种层提供了扩散阻挡层52和OSG电介质54之间牢固的键合。当存在时,利用常规沉积工艺如旋涂、蒸发、CVD、PECVD、化学溶液沉积、浸涂、刷涂、浸渍和其它类似的沉积工艺,形成该可选的有机粘附促进剂53。可选的有机粘附促进剂53典型地是脂肪族或芳香族有机化合物,包括原子C、H、Si、O以及可选的F。能用在本发明中的合适的有机粘附促进剂53的示意性实例包括但不限于是:烷氧基硅烷、聚芳基醚(polyarylene ether)、聚苯并恶唑等。应用到扩散阻挡层52上的可选有机粘附促进剂53的厚度典型地从约10到约100nm,更典型的厚度是从约20到约50nm。
OSG电介质54包括介电常数为约3.0或更小的单层或多层介电材料。优选地,OSG电介质54具有小于约2.8的介电常数,更加优选的介电常数是小于约2.6。OSG电介质54可以为多孔和/或无孔。当使用多孔电介质膜时,其介电常数小于相同电介质膜的无孔形式。优选地,OSG电介质54是多孔材料。当使用多层电介质叠层时,可在多层叠层内的每层电介质之间形成如上所述的扩散阻挡层。
能够用于本发明的OSG电介质54的实例包括但不限于是:含硅材料,如也称作C掺杂的氧化物(CDO)以及有机硅酸盐玻璃(OSG)的Si、C、O和H组分(SiCOH)。
OSG电介质54通常使用等离子体增强化学气相沉积(PECVD)来沉积。除了PECVD之外,本发明还考虑使用化学气相沉积(CVD)、高密度等离子体(HDP)沉积、脉冲PECVD、旋转涂敷或其它相关方法形成OSG电介质54。所沉积的OSG电介质54的厚度可变化;所沉积的OSG电介质54的典型范围为从约50nm到约1μm,更典型的厚度是从约100到约600nm。
典型地,OSG电介质54是SiCOH电介质,使用在共同受让的美国专利No.6,147,009、6,312,793、6,441,491、6,437,443、6,541,398、6,479,110 B2和6,497,963中公开的处理技术来沉积,在此通过参考将其内容结合到本文。具体地,SiCOH电介质膜通过将至少第一前体(液体、气体或蒸汽)提供到反应室中并然后利用在形成SiCOH电介质材料中有效的条件将由所述第一前体得到的膜沉积到合适的衬底上来形成,该第一前体包括原子Si、C、O和H以及惰性载体如He或Ar,反应室优选是PECVD反应室。本发明还进一步用氧化剂如O2、CO2或其组合来混合第一前体,从而稳定反应室中的该反应剂并改善OSG电介质54的均匀度。
除了第一前体之外,可以使用包括原子C、H、和可选的O、F和N的第二前体(液体、气体或蒸汽)。可选地,还可使用包括Ge的第三前体(液体、气体或蒸汽)。
优选地,第一前体选自环状结构的有机分子,包括SiCOH成分,如1,3,5,7-四甲基环四硅氧烷(“TMCTS”或“C4H16O4Si4”)、八甲基环四硅氧烷(OMCTS)、二乙氧基甲硅烷(DEMS)、二甲基二甲氧硅烷(DMDMOS)、二乙基甲氧烷(DEDMOS)和相关的环状或非环状硅烷、硅氧烷等。
在形成SiCOH低k电介质中使用的第二前体是碳氢分子。尽管可使用任何碳氢分子,例如乙烯,但是优选第二前体选自由具有环形结构优选在分子中具有多于一个环或者具有依附于环的支链的碳氢分子构成的组。具体有用的是包含稠环的物质,其至少一个含有杂环原子,优选为氧。在这些物质中,最合适的是包括赋予显著环形应变的尺寸的环,即3或4原子和/或7或更多原子的环的那些物质。尤其吸引人的是公知为氧杂双环(oxabicyclics)那些化合物类型,如环戊烯氧化物(“CPO”或“C5H8O”)的。还有用的是含有支链叔丁基(t-丁基)和异丙基(i-丙基)基团依附于碳氢环的分子;该环可以是饱和的或者是非饱和的(含有C=C双键)和多环碳氢如双环庚二烯。第三前体可由锗烷氢化物或者包括Ge源的任何其它反应剂形成。
在本发明的优选实施例中,可用作OSG电介质54的SiCOH电介质膜可使用如下方法沉积:该方法包括提供平行板反应器的步骤,该平行板反应器具有在约85cm2和约750cm2之间的衬底吸附导电面积,和在约1cm到约12cm之间的衬底和上电极之间的间隙。以约0.45MHz和约200MHz之间的频率将高频RF功率施加到电极中的一个上。可选地,将附加的低频功率施加到电极中的一个上。
用于沉积步骤的条件可根据所需的SiCOH电介质膜的最终介电常数而变化。概括地,用于提供介电常数为2.8或更小的、包括元素Si、C、O和H的稳定介电材料的条件包括:将衬底温度设置在约200℃和约425℃之间;将高频RF功率密度设置在约0.1W/cm2和约2.5W/cm2之间;将第一液体前体流量设置在约100mg/分钟和约5000mg/分钟之间,可选地将第二液体前体流量设置在约50mg/分钟至约10,000mg/分钟之间;可选地将第三前体流量设置在约25mg/分钟至约4000mg/分钟之间;可选地,将惰性载气如氦气(和/或氩气)流量设置为约50sccm至约5000sccm之间;将反应室压力设置为在约1000毫乇和约7000毫乇之间的压力;和将高频RF功率设置在约75W和约1000W之间。可选地,可以以约30W和约400W之间的功率将低频功率附加到等离子体。当衬底吸盘的导电面积改变系数X时,施加到衬底吸盘上的RF功率也改变系数X。
当在本发明中使用氧化剂时,其以在约10sccm至约1000sccm之间的流量流入到PECVD反应室中。
虽然在上述实例中使用液体前体,但是本领域中公知,也可将有机硅气相前体(如三甲基硅烷)用于沉积。在OSG电介质54的沉积期间可包括在随后的固化步骤中引起在OSG电介质54内形成多孔的成孔剂(porogen)。OSG电介质54的固化可以在沉积OSG电介质54之后,或者在沉积硬掩模56之后。
在本发明的优选实施例中,在本发明的这一点上,形成的OSG电介质54含有氢化氧化硅碳材料(SiCOH)的基质,其包括在共价键合的三维网络中的原子Si、C、O和H并具有不高于约2.8的介电常数。三重键合的网络(tri-bonded network)可包括具有Si-O、Si-C、Si-H、C-H和C-C键的共价键合三维环状结构。OSG电介质54可包括F和N,并且可选地具有部分被Ge原子替换的Si原子。OSG电介质54可含有直径在约0.3至约50纳米之间的分子级孔隙(即,纳米尺寸的孔),且直径最优选在约0.4和约10纳米之间,从而将OSG电介质54的介电常数进一步降低至低于约2.0的值。OSG电介质54的纳米尺寸孔占据该材料体积的约0.5%和约50%之间的体积。
当OSG电介质54是SiCOH电介质时,其典型地包括在约5和约40原子百分比之间的Si;在约5和约45原子百分比之间的C;在0和约50原子百分比之间的O;和在约10和约55原子百分比之间的H。
在形成OSG电介质54之后,然后在OSG电介质54上形成硬掩模56。硬掩模56可在相同的反应器室内形成,中断或者不中断真空,与用于形成OSG电介质54相同。替换地,在形成硬掩模56中,可使用使用与OSG电介质54的沉积工艺不同的至少一种沉积工艺的不同反应器室。
硬掩模56包括氧化物、氮化物、氧氮化物或者无机SiCOH型材料,其包括原子Si、C、H和可选的O。在此也考虑多层这些材料。该硬掩模56利用常规沉积工艺形成,例如包括CVD、PECVD、蒸发、化学溶液沉积和其它类似的沉积工艺。刚沉积的硬掩模56的整个厚度可根据所使用的硬掩模类型、构成硬掩模56的层数和在其形成中所使用的技术而变化。典型地,硬掩模56具有从约30到约150nm的厚度,更典型的厚度是从约30到约100nm。
在沉积硬掩模56之后,沉积抗反射涂层(ARC)58。使用与图2A中示出的其它层相同或不同、优选相同的反应器室沉积ARC 58。替换地,可旋涂ARC 58。ARC 58优选包括常规有机抗反射涂敷材料,这是由于用有机ARC获得较大的蚀刻工艺窗口。ARC 58典型地具有从约40到约150nm的厚度。
接下来,通过常规抗蚀剂材料的旋涂在ARC 58上形成光致抗蚀剂60。尽管具体提及到旋涂,但是也可使用其它的沉积工艺如CVD或PECVD。
本发明包括通路的第一转移工艺,其后是线转移工艺。这些工艺步骤将通过参考图2B-2D更加详细地描述。通路转移步骤包括通过光刻图形化光致抗蚀剂60,以包括至少一个通路开口62。光刻步骤包括将所涂敷的抗蚀剂材料暴露到辐射图形,并利用常规抗蚀剂显影剂显影所暴露出的抗蚀剂材料。该至少一个通路开口62首先被转移到ARC58,然后转移到硬掩模56,之后转移到OSG电介质54,并且之后转移到可选的有机粘附促进剂53,停止在扩散阻挡层52上。在图2B中,参考数字64表示通路。图形转移利用一个或多个蚀刻步骤实现,以下将更详细地对其进行描述。图形化的光致抗蚀剂60和ARC 58通常利用本领域非常公知的常规剥离工艺去除。
图2C示出了在上述的通路蚀刻工艺之后形成的后期光刻线级结构。这必然伴有在通过PECVD或者旋涂方法沉积无机材料(氧化物、氮化物、氧氮化物型材料)69之后用平坦化有机填充材料68而填充通路64。接下来,通过常规的沉积技术形成第二ARC 58’和第二光致抗蚀剂60’。通过光刻图形化该第二光致抗蚀剂60’,以包括线图形。该光刻步骤包括将所涂敷的抗蚀剂材料暴露到辐射图形,并利用常规抗蚀剂显影剂显影暴露出的抗蚀剂材料。在本发明中,将第二开口(或沟槽62’)形成到第二光致抗蚀剂60’中,其用于形成线或沟槽66。
图2D示出了在将线(沟槽)图形转移到下面的层之后、在剥离平坦化有机材料68和对扩散阻挡层52形成开口以停止在下部衬底50上之前形成的结构。根据本发明,蚀刻ARC 58’、无机层69和平坦化有机材料68以转移线图形。然后将平坦化有机材料68用作掩膜层,将该线图形转移到电介质54中。在剥离(灰化)有机填充材料68和对阻挡层52形成开口之前,产生图2D中描述的结构。在将线66转移到电介质54中之后,从该结构去除第二光致抗蚀剂60’、第二ARC58’和无机材料69。
通过常规沉积工艺形成上述的有机填充材料68,该常规工艺例如包括旋涂、蒸发、CVD、PECVD、化学溶液沉积和其它类似的沉积技术。有机填充材料68包括脂肪族或芳香族有机化合物,其通常包括原子C、H、O、Si和F。可用在本发明中的合适的有机填充材料68的实例包括但不限于:聚羟基苯乙烯体系。
图形转移(上述的通路和线蚀刻)包括使用在提供通路和线图形到OSG电介质54中有选择性的一种或多种蚀刻工艺。可使用干法蚀刻工艺如反应离子蚀刻、等离子体蚀刻或离子束蚀刻。化学湿法蚀刻工艺也可用于在OSG电介质54内提供通路64和线66。
在于OSG电介质54内形成通路64和线66之后,进行回蚀刻(etch back)工艺,该工艺减薄即凹进位于场中的有机填充材料58(在蚀刻所谓的三层(抗蚀剂/无机材料/有机通路填充料)材料期间事先去除图形化的光致抗蚀剂,同时从该结构完全去除有机填充材料68(见图2D)。回蚀刻工艺包括使用在去除图形化的光致抗蚀剂60和有机填充材料68的材料方面具有选择性的任一种化学蚀刻工艺。例如,可将任一种氧(CO、CO2、O2等)、氮(N2、NH3等)或含氢(H2、NH3等)气体或其任意组合用作进行回蚀刻工艺的蚀刻剂。
在本发明的这一点上,且例如如图2E所示,利用包括有机化学试剂的反应离子蚀刻工艺在通路64内部形成扩散阻挡层52的暴露表面的开口。典型地,反应离子蚀刻包括任一种含氟和含氧的化学试剂。在对扩散阻挡层52形成开口期间,同时在OSG电介质54和如果存在的话在有机粘附促进剂63的所有暴露出的壁部分上形成牺牲聚合物材料70。用在本发明中的该牺牲聚合物材料70保护在通路和线开口内部的OSG电介质54的暴露壁部分以及如果存在的话还保护有机粘附促进剂53的壁部分。用在本发明中的该牺牲聚合物材料70包括原子C和H,F原子可选。可用在本发明中的这种聚合物材料的示意性实例包括但不限于:CxHy或者CxHyFz类型的种类(其中x、y、z是非零整数)。
应用到OLD电介质53以及如果存在的话应用到有机粘附促进剂53的壁部分上的牺牲聚合物材料70的厚度通常从约几nm到约20nm,更典型的厚度是从约几个nm到约5nm。在去除剩余的图形化光致抗蚀剂60和ARC 58期间,聚合物材料层70的存在保护OSG电介质54和如果存在的话,保护有机粘附促进剂53。即,牺牲聚合物层70确保了OSG电介质54的壁部分具有基本上与OSG电介质54的内部相同的化学计量组成。而且,牺牲聚合物材料70保持OSG电介质54/粘附促进剂53叠层的完整度。
然后利用剥离工艺去除剩余的有机填充材料68,其能够从该结构去除该有机材料层。由于该牺牲聚合物材料70还包括有机成分,因此,本发明的该步骤还从该结构去除了牺牲聚合物材料70。获得的结构在图2F中示出。典型地,本发明的该步骤包括使用氧等离子体工艺,例如灰化工艺。氧等离子体工艺包括由含氧源提供氧等离子体。这种氧等离子体处理和条件对于本领域技术人员是非常公知的。典型地,使用下面的条件进行氧等离子体工艺:在室温或升高的衬底温度下,原位或外部含O等离子体(O2、CO、CO2等)的低、中或高压和低、中或高功率密度。
图2G示出了在将至少一个衬里72和导电材料74形成到图2F中示出的结构中之后形成的结构。该至少一个衬里72形成于上面产生的开口内部所有的暴露表面(垂直和水平)上。该至少一个衬里72通过如下任一种沉积工艺形成,该沉积工艺包括但不限于:CVD、PECVD、溅射、化学溶液沉积或者镀覆。该至少一个衬里72包括能够用作阻挡层以防止导电材料74穿过其扩散的任一种材料。这种阻挡材料的示意性实例包括难熔金属,如Ta、Ti、W、Ru或其氮化物例如TaN、TiN、WN。该至少一个衬里72还可包括TiNSi。该至少一个衬里72的厚度典型地从约1到约60nm,更典型的该厚度从约5到约40nm。
在一些实施例中,可以从通路64的底壁去除该至少一个衬里72,以提供具有敞开的通路底部的互连结构。当需要敞开的通路底部结构时,可使用离子轰击或其它类似的定向蚀刻工艺。
接下来,在开口内部沉积导电材料74。该导电材料74包括多晶硅、导电金属、包括至少一种导电金属的合金、导电金属硅化物或其组合物。优选地,导电材料74是导电金属如Cu、W或Al。在一个很优选的实施例中,导电材料74由Cu或CuAl合金构成。利用常规的沉积工艺在开口内形成导电材料74,该常规沉积工艺包括但不限于:CVD、PECVD、溅射、化学溶液沉积或镀覆。在沉积之后,可采用平坦化工艺以使导电材料74的上表面基本上与OSG电介质54或如所示出的硬掩模56的上表面中的任一个共面。导电填充的开口在此可称作嵌入到OSG电介质54中的导电特征。
虽然已经特别示出并参考其优选实施例描述了本发明,但是本领域技术人员应该理解,可作出形式和细节上的前述改变,而不超出本申请的精神和范围。因此,希望本发明不限于在此描述并示出的确切形式和细节,而是落入到附属权利要求的范围之内。
Claims (9)
1.一种形成互连结构的方法,包括:
提供包括在有机硅酸盐玻璃OSG电介质材料内限定至少一个通路和线的沟槽开口的结构,所述结构包括图形化的叠层,以及位于所述OSG电介质下方的未形成开口的扩散阻挡层,该叠层包括硬掩模、抗反射涂层和位于其上表面上的光致抗蚀剂;
在位于所述至少一个通路下方的所述扩散阻挡层中提供开口,其中在所述提供开口期间,同时在所述OSG电介质的壁部分上形成牺牲聚合物材料;
从所述结构去除所述光致抗蚀剂和所述抗反射涂层,其中在所述去除期间,还去除所述牺牲聚合物材料;和
用导电材料填充所述沟槽开口。
2.如权利要求1的方法,其中所述提供所述结构包括首先形成所述通路和然后形成所述线。
3.如权利要求1的方法,其中所述提供开口包括含有有机化学试剂的反应离子蚀刻工艺。
4.如权利要求3的方法,其中所述牺牲聚合物材料包括原子C和H。
5.如权利要求1的方法,其中所述从所述结构去除所述光致抗蚀剂和所述抗反射涂层包括氧等离子体工艺。
6.如权利要求1的方法,其中所述填充所述沟槽开口包括在沟槽开口内形成衬里和在所述衬里上形成所述导电材料。
7.如权利要求6的方法,其中在形成所述导电材料之前,从所述通路的底部壁部分去除所述衬里。
8.如权利要求1的方法,还包括在所述OSG电介质和所述扩散阻挡层之间提供有机粘附促进剂。
9.如权利要求4的方法,其中所述牺牲聚合物材料进一步包含F原子。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/328,981 | 2006-01-10 | ||
US11/328,981 US7435676B2 (en) | 2006-01-10 | 2006-01-10 | Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101000904A CN101000904A (zh) | 2007-07-18 |
CN100479146C true CN100479146C (zh) | 2009-04-15 |
Family
ID=38233261
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2007100018382A Expired - Fee Related CN100479146C (zh) | 2006-01-10 | 2007-01-05 | 互连结构及其形成方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US7435676B2 (zh) |
CN (1) | CN100479146C (zh) |
TW (1) | TW200729404A (zh) |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7371461B2 (en) * | 2005-01-13 | 2008-05-13 | International Business Machines Corporation | Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics |
US7863183B2 (en) * | 2006-01-18 | 2011-01-04 | International Business Machines Corporation | Method for fabricating last level copper-to-C4 connection with interfacial cap structure |
US20080057725A1 (en) * | 2006-08-30 | 2008-03-06 | Sang-Il Hwang | Method of manufacturing semiconductor device |
US20090087992A1 (en) * | 2007-09-28 | 2009-04-02 | Chartered Semiconductor Manufacturing Ltd. | Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme |
US8736014B2 (en) * | 2008-11-14 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | High mechanical strength additives for porous ultra low-k material |
US7955968B2 (en) * | 2009-03-06 | 2011-06-07 | Freescale Semiconductor, Inc. | Pseudo hybrid structure for low K interconnect integration |
US8435901B2 (en) | 2010-06-11 | 2013-05-07 | Tokyo Electron Limited | Method of selectively etching an insulation stack for a metal interconnect |
TWI509740B (zh) * | 2010-11-22 | 2015-11-21 | United Microelectronics Corp | 雙鑲嵌製程 |
KR101690392B1 (ko) | 2011-12-20 | 2016-12-27 | 인텔 코포레이션 | 등각 저온 밀봉 유전체 확산 장벽들 |
US20130178068A1 (en) * | 2012-01-10 | 2013-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual damascene process and apparatus |
US8916337B2 (en) * | 2012-02-22 | 2014-12-23 | International Business Machines Corporation | Dual hard mask lithography process |
JP6061610B2 (ja) * | 2012-10-18 | 2017-01-18 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US9093387B1 (en) * | 2014-01-08 | 2015-07-28 | International Business Machines Corporation | Metallic mask patterning process for minimizing collateral etch of an underlayer |
US9735005B1 (en) * | 2016-03-11 | 2017-08-15 | International Business Machines Corporation | Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices |
US10049869B2 (en) * | 2016-09-30 | 2018-08-14 | Lam Research Corporation | Composite dielectric interface layers for interconnect structures |
US10049876B1 (en) | 2017-02-13 | 2018-08-14 | International Business Machines Corporation | Removal of trilayer resist without damage to underlying structure |
US10685870B2 (en) | 2017-08-30 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
DE102018104644A1 (de) | 2017-08-30 | 2019-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiterbauteil und sein herstellungsverfahren |
WO2020140231A1 (zh) * | 2019-01-03 | 2020-07-09 | 京东方科技集团股份有限公司 | 膜层的构图方法、微流控器件及其制作方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6630406B2 (en) * | 2001-05-14 | 2003-10-07 | Axcelis Technologies | Plasma ashing process |
US6734096B2 (en) * | 2002-01-17 | 2004-05-11 | International Business Machines Corporation | Fine-pitch device lithography using a sacrificial hardmask |
US6838300B2 (en) * | 2003-02-04 | 2005-01-04 | Texas Instruments Incorporated | Chemical treatment of low-k dielectric films |
CN1641856A (zh) * | 2004-01-14 | 2005-07-20 | 国际商业机器公司 | 牺牲无机聚合物金属间介质镶嵌布线和过孔衬里 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6312793B1 (en) | 1999-05-26 | 2001-11-06 | International Business Machines Corporation | Multiphase low dielectric constant material |
US6441491B1 (en) | 2000-10-25 | 2002-08-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same |
US6518174B2 (en) * | 2000-12-22 | 2003-02-11 | Lam Research Corporation | Combined resist strip and barrier etch process for dual damascene structures |
US6583047B2 (en) * | 2000-12-26 | 2003-06-24 | Honeywell International, Inc. | Method for eliminating reaction between photoresist and OSG |
US20030008490A1 (en) * | 2001-07-09 | 2003-01-09 | Guoqiang Xing | Dual hardmask process for the formation of copper/low-k interconnects |
US7033929B1 (en) * | 2002-12-23 | 2006-04-25 | Lsi Logic Corporation | Dual damascene interconnect structure with improved electro migration lifetimes |
US7129162B2 (en) * | 2002-12-30 | 2006-10-31 | Texas Instruments Incorporated | Dual cap layer in damascene interconnection processes |
US6900123B2 (en) * | 2003-03-20 | 2005-05-31 | Texas Instruments Incorporated | BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control |
TWI302720B (en) * | 2003-07-23 | 2008-11-01 | Tokyo Electron Ltd | Method for using ion implantation to treat the sidewalls of a feature in a low-k dielectric film |
US7193325B2 (en) * | 2004-04-30 | 2007-03-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects |
US7232748B2 (en) * | 2004-07-22 | 2007-06-19 | Texas Instruments Incoporated | BARC/resist via etchback process |
US7396769B2 (en) * | 2004-08-02 | 2008-07-08 | Lam Research Corporation | Method for stripping photoresist from etched wafer |
-
2006
- 2006-01-10 US US11/328,981 patent/US7435676B2/en not_active Expired - Fee Related
-
2007
- 2007-01-03 TW TW096100164A patent/TW200729404A/zh unknown
- 2007-01-05 CN CNB2007100018382A patent/CN100479146C/zh not_active Expired - Fee Related
-
2008
- 2008-09-24 US US12/236,809 patent/US20090014880A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6630406B2 (en) * | 2001-05-14 | 2003-10-07 | Axcelis Technologies | Plasma ashing process |
US6734096B2 (en) * | 2002-01-17 | 2004-05-11 | International Business Machines Corporation | Fine-pitch device lithography using a sacrificial hardmask |
US6838300B2 (en) * | 2003-02-04 | 2005-01-04 | Texas Instruments Incorporated | Chemical treatment of low-k dielectric films |
CN1641856A (zh) * | 2004-01-14 | 2005-07-20 | 国际商业机器公司 | 牺牲无机聚合物金属间介质镶嵌布线和过孔衬里 |
Also Published As
Publication number | Publication date |
---|---|
CN101000904A (zh) | 2007-07-18 |
US20090014880A1 (en) | 2009-01-15 |
US7435676B2 (en) | 2008-10-14 |
TW200729404A (en) | 2007-08-01 |
US20070161226A1 (en) | 2007-07-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100479146C (zh) | 互连结构及其形成方法 | |
JP4328725B2 (ja) | 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法 | |
US7811926B2 (en) | Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics | |
CN100388477C (zh) | 互连结构及其形成方法 | |
US7304386B2 (en) | Semiconductor device having a multilayer wiring structure | |
CN1518075B (zh) | 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法 | |
US8445377B2 (en) | Mechanically robust metal/low-k interconnects | |
KR100497580B1 (ko) | 응력 조정 캡층을 포함한 상호 접속 구조 | |
CN101859727B (zh) | 内连线结构 | |
US7622380B1 (en) | Method of improving adhesion between two dielectric films | |
US7138714B2 (en) | Via barrier layers continuous with metal line barrier layers at notched or dielectric mesa portions in metal lines | |
JP2012527751A (ja) | 半導体構造体及びその形成方法 | |
TW202125756A (zh) | 半導體結構 | |
US20160358851A1 (en) | Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same | |
US20150021779A1 (en) | Hard mask for back-end-of-line (beol) interconnect structure | |
US20080188074A1 (en) | Peeling-free porous capping material | |
US7351653B2 (en) | Method for damascene process | |
US6713874B1 (en) | Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics | |
CN113013141A (zh) | 半导体结构 | |
JP2007157959A (ja) | 半導体装置の製造方法および半導体装置 | |
US20040152294A1 (en) | Method for forming metal line of semiconductor device | |
US20060166491A1 (en) | Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process | |
KR100905828B1 (ko) | 반도체 소자의 금속 배선 및 그 형성 방법 | |
US20230111315A1 (en) | Method for fabricating semiconductor device with plug structure | |
US6642139B1 (en) | Method for forming interconnection structure in an integration circuit |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20090415 Termination date: 20120105 |