JP2000174123A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JP2000174123A
JP2000174123A JP10349888A JP34988898A JP2000174123A JP 2000174123 A JP2000174123 A JP 2000174123A JP 10349888 A JP10349888 A JP 10349888A JP 34988898 A JP34988898 A JP 34988898A JP 2000174123 A JP2000174123 A JP 2000174123A
Authority
JP
Japan
Prior art keywords
interlayer insulating
insulating film
film
silicon oxide
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10349888A
Other languages
English (en)
Inventor
Koji Yokoyama
孝司 横山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP10349888A priority Critical patent/JP2000174123A/ja
Priority to KR1019990056329A priority patent/KR100355586B1/ko
Priority to US09/458,243 priority patent/US6245665B1/en
Publication of JP2000174123A publication Critical patent/JP2000174123A/ja
Priority to US09/837,683 priority patent/US6593659B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Abstract

(57)【要約】 【課題】 信号の伝播遅延を十分に防止することがで
き、製造コストの上昇を抑制することができる半導体装
置及びその製造方法を提供する。 【解決手段】 第1の層間絶縁膜102上に炭素を含有
するシリコン酸化膜からなる第2の層間絶縁膜103を
形成する。次いで、第2の層間絶縁膜103に開口部を
形成する。次に、全面に第3の層間絶縁膜105を形成
し、その上に少なくとも第2の層間絶縁膜103に形成
された開口部と整合する位置に開口部が設けられたフォ
トレジスト106を形成する。その後、フォトレジスト
106及び第2の層間絶縁膜103をマスクとして第3
及び第1の層間絶縁膜105及び102をパターニング
することにより配線溝107及びヴィアホール108を
形成する。そして、配線溝107及びヴィアホール10
8内に導電層を埋設し、全面に炭素を含有するシリコン
酸化膜からなる第4の層間絶縁膜112を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はデュアルダマシン構
造を有する半導体装置及びその製造方法に関し、特に、
配線間容量が低減された半導体装置及びその製造方法に
関する。
【0002】
【従来の技術】近時、大規模集積回路(LSI)におけ
る信号処理の高速化の要求が増加している。LSIの信
号処理速度は、主にトランジスタ自体の動作速度及び配
線での信号伝播遅延時間の大小により決定される。従
来、LSIの信号処理速度に大きな影響を及ぼしていた
トランジスタの動作速度は、トランジスタのサイズを縮
小化することで向上されてきた。しかし、設計ルールが
0.18μm以下のLSIにおいては、トランジスタの
動作速度よりも配線の信号伝播遅延による影響が大きく
現われはじめている。
【0003】そこで、それまで使用されていたAlから
より抵抗値が低いCuを使用して、金属配線層を形成し
ようとする開発が活発化している。一方、Cuはハロゲ
ン化物との蒸気圧が低いため、通常の低温でのドライエ
ッチングによる加工は困難である。このため、シリコン
酸化膜に配線溝を加工し、この配線溝内にCu層を埋設
することにより、Cu配線層を形成する方法がとられて
いる。このような方法により形成された溝配線構造はダ
マシン構造とよばれ、配線層1層のみのダマシン構造を
形成する方法はシングルダマシン法とよばれている。ま
た、ダマシン構造の半導体装置を製造する場合、配線層
用の配線溝及び下層配線層接続用のヴィアホールを一括
で形成するデュアルダマシン法が製造コストの面から好
ましい。
【0004】ここで、従来のデュアルダマシン法につい
て説明する。図3(a)乃至(d)は従来のデュアルダ
マシン法をとった半導体装置の製造方法を工程順に示す
断面図である。
【0005】従来の半導体装置の製造方法においては、
先ず、図3(a)に示すように、素子及び配線層が形成
されたシリコン基板301上にシリコン酸化膜からなる
第1の層間絶縁膜302及びシリコン窒化膜からなる第
2の層間絶縁膜303を順次形成する。次に、フォトレ
ジストを使用して第2の層間絶縁膜303をヴィアホー
ルの形状に加工する。
【0006】次いで、図3(b)に示すように、シリコ
ン酸化膜からなる第3の層間絶縁膜304を全面に形成
し、配線層の形状にパターニングされたフォトレジスト
305を第3の層間絶縁膜304上に形成する。
【0007】その後、図3(c)に示すように、このパ
ターニングされたフォトレジスト305をマスクとして
シリコン酸化膜からなる第3の層間絶縁膜304をエッ
チングして配線溝を形成すると共に、同時にシリコン窒
化膜からなる第2の層間絶縁膜303をマスクとして第
1の層間絶縁膜302にヴィアホールを形成する。パタ
ーニングされた第2の層間絶縁膜303は第1の層間絶
縁膜302のエッチングストッパとして作用するので、
上述のように、配線溝とヴィアホールとを同時に形成
し、デュアルダマシン構造を形成することができるので
ある。次いで、フォトレジスト305を剥離する。
【0008】次に、図3(d)に示すように、Ta又は
TiN等からなるCu配線層用のバリアメタル膜306
を配線溝及びヴィアホール内に成膜する。そして、その
上に更にCuプラグ307及びCu配線層308を形成
する。なお、Cuプラグ307及びCu配線層308
は、めっき法、スパッタ法又は化学蒸着法(CVD)法
によりCu膜を形成した後、化学機械研磨法(CMP)
で研磨することにより、形成することができる。その
後、全面にCu拡散防止用及びエッチングストッパ用の
シリコン窒化膜からなる第4の層間絶縁膜309を形成
する。
【0009】しかし、上述のように、エッチングストッ
パとして比誘電率が7乃至8程度のSiN膜又は比誘電
率が5乃至6程度のSiON膜を使用した場合、フリン
ジ効果により配線間容量がシリコン酸化膜のみで形成し
た場合と比較して著しく高く、信号の伝播遅延が大きく
なってしまう。
【0010】そこで、デュアルダマシン法における層間
絶縁膜としてポリテトラフルオロエチレン膜、フッ化ポ
リアリルエーテル膜及びフッ化ポリイミド膜等の有機絶
縁膜を使用した半導体装置の製造方法が提案されている
(特開平10−112503号公報、特開平10−15
0105号公報)。
【0011】これらの公報に記載された半導体装置にお
いて層間絶縁膜として形成される有機絶縁膜は酸化シリ
コン膜よりも比誘電率が低いので、信号の伝播遅延が抑
制される。
【0012】
【発明が解決しようとする課題】しかしながら、有機絶
縁膜は耐熱性及び耐プラズマ性が低いので、半導体装置
の製造工程中に変質して所望の比誘電率を得ることが困
難であり、信号の伝播遅延を効果的に防止することがで
きないという問題点がある。また、パターニング工程の
際に使用されるレジストの剥離のために煩雑な工程が必
要となるので、製造コストが上昇するという問題点もあ
る。
【0013】本発明はかかる問題点に鑑みてなされたも
のであって、信号の伝播遅延を十分に防止することがで
き、製造コストの上昇を抑制することができる半導体装
置及びその製造方法を提供することを目的とする。
【0014】
【課題を解決するための手段】本発明に係る半導体装置
は、下層配線及び素子が形成された半導体基板と、この
半導体基板上に形成された第1の層間絶縁膜と、この第
1の層間絶縁膜上に形成され炭素を含有するシリコン酸
化膜からなる第2の層間絶縁膜と、この第2の層間絶縁
膜上に形成された第3の層間絶縁膜と、この第3の層間
絶縁膜上に形成され炭素を含有するシリコン酸化膜から
なる第4の層間絶縁膜と、前記第1及び第2の層間絶縁
膜に形成され前記下層配線及び素子のいずれかに接続さ
れた金属プラグと、前記第3及び第4の層間絶縁膜に形
成され前記金属プラグに接続された配線層と、を有する
ことを特徴とする。
【0015】本発明においては、第2及び第4の層間絶
縁膜が炭素を含有するシリコン酸化膜からなり、その比
誘電率は従来使用されているシリコン窒化膜より低いの
で、配線間容量が低減される。また、これらの層間絶縁
膜を使用しても半導体装置の製造工程は煩雑とはならな
いので、製造コストの上昇は抑制される。
【0016】本発明に係る半導体装置の製造方法は、下
層配線及び素子が形成された半導体基板上に第1の層間
絶縁膜を形成する工程と、前記第1の層間絶縁膜上に炭
素を含有するシリコン酸化膜からなる第2の層間絶縁膜
を形成する工程と、前記第2の層間絶縁膜の前記下層配
線及び素子のいずれかと整合する位置に開口部を形成す
る工程と、全面に第3の層間絶縁膜を形成する工程と、
少なくとも前記第2の層間絶縁膜に形成された開口部と
整合する位置に開口部が設けられたフォトレジストを前
記第3の層間絶縁膜上に形成する工程と、前記フォトレ
ジスト及び前記第2の層間絶縁膜をマスクとして前記第
3及び第1の層間絶縁膜をパターニングすることにより
配線溝及びこの配線溝と連続するヴィアホールを形成す
る工程と、前記配線溝及びヴィアホール内に導電層を埋
設する工程と、全面に炭素を含有するシリコン酸化膜か
らなる第4の層間絶縁膜を形成する工程と、を有するこ
とを特徴とする。
【0017】本発明方法においては、炭素を含有するシ
リコン酸化膜をマスクとして第1の層間絶縁膜をパター
ニングにしており、炭素を含有するシリコン酸化膜のフ
ッ素系ガスによるエッチング速度は遅いので、所望の形
状のヴィアホールを確実に得ることができる。また、第
2及び第4の層間絶縁膜は炭素含有シリコン膜からなる
ので、配線間容量が低減される。
【0018】本発明に係る他の半導体装置の製造方法
は、下層配線及び素子が形成された半導体基板上に第1
の層間絶縁膜を形成する工程と、前記第1の層間絶縁膜
上に炭素を含有するシリコン酸化膜からなる第2の層間
絶縁膜を形成する工程と、前記第2の層間絶縁膜上に第
3の層間絶縁膜を形成する工程と、前記第3の層間絶縁
膜をパターニングすることにより少なくとも前記下層配
線及び素子のいずれかと整合する位置に配線溝を形成す
る工程と、前記第2及び第1の層間絶縁膜をパターニン
グすることにより前記配線溝と整合する位置にヴィアホ
ールを形成する工程と、前記配線溝及びヴィアホール内
に導電層を埋設する工程と、全面に炭素を含有するシリ
コン酸化膜からなる第4の層間絶縁膜を形成する工程
と、を有することを特徴とする。
【0019】本発明方法においては、第3の層間絶縁膜
をパターニングする際に第2の層間絶縁膜がエッチング
ストッパとして機能する。従って、第3の層間絶縁膜に
所望の形状の配線溝を確実に得ることができる。また、
第2及び第4の層間絶縁膜は炭素含有シリコン膜からな
るので、配線間容量が低減される。
【0020】前記炭素を含有するシリコン酸化膜は、炭
化水素基を有するシリコン酸化物からなり、前記第2及
び第4の層間絶縁膜の比誘電率は5以下であってもよ
く、更にSi−H基を有していてもよい。
【0021】更に、前記第1及び第3の層間絶縁膜は、
プラズマCVD法により形成されたプラズマシリコン酸
化膜、フッ素を含有するプラズマSiOF膜及びSi−
H結合を有するハイドロジェンシルセスキオキサン膜か
らなる群から選択された1種の酸化膜からなるものであ
ってもよい。
【0022】
【発明の実施の形態】以下、本発明の実施例方法に係る
半導体装置の製造方法について、添付の図面を参照して
具体的に説明する。図1(a)乃至(g)は本発明の第
1の実施例方法に係る半導体装置の製造方法を工程順に
示す断面図である。
【0023】第1の実施例においては、先ず、図1
(a)に示すように、トランジスタ等の素子及び下層配
線が形成されているシリコン基板101上に、例えば膜
厚が100乃至800nmの第1の層間絶縁膜102を
形成する。第1の層間絶縁膜102は、例えばシリコン
酸化膜、フッ素含有シリコン酸化膜又はハイドロジェン
シルセスキオキサン(HSQ)膜である。次に、第1の
層間絶縁膜102上に、例えば膜厚が50乃至400n
mの第2の層間絶縁膜103を成膜する。第2の層間絶
縁膜103は、例えばメチル基、エチル基又はフェニル
基等の炭素を有する側鎖を備えたシリコン酸化膜であ
る。なお、炭素の含有量は膜中で5乃至30重量%であ
る。また、第2の層間絶縁膜103の成膜は、メチル
基、エチル基若しくはフェニル基を有するシリカ塗布材
料を塗布焼成する方法、シラン若しくはテトラエトキシ
オルソシリケート(TEOS)とCH4、ベンゼン、キ
シレン、ジパラキシレン等とを主に混合したCVD法又
はモノ−、ジ−、トリ−若しくはテトラ−メチルシラン
若しくはモノ−、ジ−、トリ−若しくはテトラ−エチル
シランを主ガスにしたCVD法で行うことができる。更
に、この第2の層間絶縁膜103はSi−H結合を有し
ていてもよい。
【0024】次に、図1(b)に示すように、第2の層
間絶縁膜103上に配線溝の形状にパターニングされた
フォトレジスト104を形成する。そして、このフォト
レジスト104をマスクとして第2の層間絶縁膜103
をエッチングする。このようにしてエッチングされた第
2の層間絶縁膜103は、第1の層間絶縁膜102にヴ
ィアホールを開口する際のマスクとなる。
【0025】次いで、図1(c)に示すように、フォト
レジスト104を剥離し、第1の層間絶縁膜102と同
様にして、例えば膜厚が200乃至800nmの第3の
層間絶縁膜105を全面に形成する。第3の層間絶縁膜
105は、例えばシリコン酸化膜、フッ素含有シリコン
酸化膜又はHSQ膜である。その後、新たなフォトレジ
スト106を全面に形成し、これを配線溝の形状にパタ
ーニングする。
【0026】次に、図1(d)に示すように、フォトレ
ジスト106及び第2の層間絶縁膜103をマスクとし
フッ素系のCF4、C48又はC26等のガスを使用し
て第3の層間絶縁膜105及び第1の層間絶縁膜102
をエッチングする。このエッチングにより、第3の層間
絶縁膜105に配線溝107が形成され、同時に第1の
層間絶縁膜102にヴィアホール108が形成される。
【0027】フッ素系ガスとしてCF4ガスを使用した
場合、このガスとシリコン酸化膜との化学反応の概略は
下記化学式1で表され、このガスと炭素含有シリコン酸
化膜との化学反応の概略は下記化学式2で表される。
【0028】
【化1】CF4+SiO2→SiF4+CO
【0029】
【化2】CF4+[SiO(CH3)]n→SiF4+CO
【0030】炭素を含有するシリコン酸化膜は、炭素含
有量が増加するに従いエッチング速度が低下し、炭素を
含有しない酸化膜との間に大きなエッチング速度差が生
じる。この大きなエッチング速度の差により、炭素含有
シリコン酸化膜はシリコン酸化膜をエッチングする際の
エッチングストッパとして機能する。
【0031】次に、図1(e)に示すように、フォトレ
ジスト105を異方性が強いイオン性の酸素プラズマで
剥離する。イオン性が強い酸素プラズマを使用すること
で、炭素含有の第2の層間絶縁膜103を劣化させるこ
となくフォトレジスト105を剥離することができる。
これまでの工程によりデュアルダマシン構造が形成され
る。なお、従来のように層間絶縁膜として有機絶縁膜を
使用した場合には、酸素プラズマにより有機絶縁膜が変
質してしまう。
【0032】その後、図1(f)に示すように、例えば
膜厚が5乃至100nmのTiN、Ta又はTaN等か
らなるバリアメタル膜109及び、例えば膜厚が5乃至
200nmのCuめっき用のシード層であるCu層をス
パッタ法等で順次全面に成膜することにより、Cu層で
配線溝及びヴィアホールを埋設する。その後、化学機械
研磨法(CMP)により第3の層間絶縁膜105上のC
u層及びバリアメタル膜109を研磨して、Cuプラグ
110及びCu配線層111を形成する。なお、Cu層
の形成には、Cuめっきの替わりにスパッタ法又はCV
D法等を採用してもよい。
【0033】次いで、図1(g)に示すように、Cuの
拡散防止膜及びエッチングストッパ膜として第2の層間
絶縁膜102と同様の炭素含有シリコン酸化膜からなる
第4の層間絶縁膜112を形成する。第4の層間絶縁膜
112の膜厚は、例えば20乃至400nmである。ま
た、炭素を含有するシリコン酸化膜によるCuの拡散防
止能力は、炭素含有量の増加に従い向上するものであ
る。
【0034】本実施例においては、デュアルダマシン法
において、炭素を含有しないシリコン酸化膜よりもエッ
チング速度が低い炭素含有シリコン酸化膜からなる第2
の層間絶縁膜103を第1の層間絶縁膜102上に形成
しているので、第2の層間絶縁膜103を第1の層間絶
縁膜102にヴィアホール108を形成する際のエッチ
ングストッパとして使用することができる。また、第2
の層間絶縁膜103としてのシリコン酸化膜中の炭素を
メチル基、エチル基又はフェニル基等として存在させる
ことにより、その比誘電率をシリコン窒化膜よりも低く
することができ、エッチングストッパとしてシリコン窒
化膜を使用した場合等と比して、フリンジ効果の影響を
低減することができるため、配線間容量を減少させるこ
とができる。
【0035】更に、炭素含有シリコン酸化膜において
は、炭素含有量の増加に伴って比誘電率が低下する傾向
にあると共に、炭素を含有しない酸化膜よりもCu拡散
防止能力が高い。従って、Cuの拡散防止膜及びエッチ
ングストッパ膜としての第4の層間絶縁膜により、配線
容量がより一層低減される。
【0036】なお、図1(c)に示す工程において、第
3の層間絶縁膜105であるシリコン酸化膜上に、例え
ば膜厚が50乃至400nmの炭素含有シリコン酸化膜
を形成することもできる。
【0037】更に、本実施例方法は配線2層構造を形成
する例であるが、同様の工程で多層化することも可能で
ある。
【0038】また、上述の方法により製造された本発明
の実施例に係る半導体装置は、図1(g)に示すような
構造を有している。
【0039】従って、第2及び第4の層間絶縁膜103
及び112の比誘電率が低いので(比誘電率ε≦5)、
配線間容量が低く信号の伝播遅延が抑制される。また、
第4の層間絶縁膜112によりCu配線層111におけ
るCuの拡散が抑制される。
【0040】次に、本発明の第2の実施例方法に係る半
導体装置の製造方法について説明する。図2(a)乃至
(e)は本発明の第2の実施例方法に係る半導体装置の
製造方法を工程順に示す断面図である。
【0041】第2の実施例方法においては、先ず、図2
(a)に示すように、トランジスタ等の素子及び下層配
線が形成されているシリコン基板201上に、例えば膜
厚が100乃至800nmの第1の層間絶縁膜202を
形成する。第1の層間絶縁膜202は、例えばシリコン
酸化膜、フッ素含有シリコン酸化膜又はハイドロジェン
シルセスキオキサン(HSQ)膜である。次に、第1の
層間絶縁膜202上に、例えば膜厚が50乃至400n
mの第2の層間絶縁膜203を成膜する。第2の層間絶
縁膜203は、例えばメチル基、エチル基又はフェニル
基等の炭素を有する側鎖を備えたシリコン酸化膜であ
る。なお、炭素の含有量は膜中で5乃至30重量%であ
る。また、第2の層間絶縁膜203の成膜は、メチル
基、エチル基若しくはフェニル基を有するシリカ塗布材
料を塗布焼成する方法、シラン若しくはテトラエトキシ
オルソシリケート(TEOS)とCH4、ベンゼン、キ
シレン、ジパラキシレン等とを主に混合したCVD法又
はモノ−、ジ−、トリ−若しくはテトラ−メチルシラン
若しくはモノ−、ジ−、トリ−若しくはテトラ−エチル
シランを主ガスにしたCVD法で行うことができる。更
に、この第2の層間絶縁膜203はSi−H結合を有し
ていてもよい。その後、例えば膜厚が200乃至800
nmのシリコン酸化膜からなる第3の層間絶縁膜204
を第2の層間絶縁膜203上に成膜する。
【0042】次に、図2(b)に示すように、第3の層
間絶縁膜204上に配線溝の形状にパターニングされた
フォトレジスト205を形成する。そして、このフォト
レジスト205をマスクとして第3の層間絶縁膜204
をエッチングすることにより、第3の層間絶縁膜204
に配線溝206を形成する。このエッチングの際には、
炭素含有シリコン酸化膜からなる第2の層間絶縁膜20
3がエッチングストッパとしての機能する。前述のよう
に、フッ素系ガスを使用してエッチングをする場合、炭
素含有シリコン酸化膜は、通常の炭素を含有しないシリ
コン酸化膜よりもそのエッチング速度が遅いため、エッ
チングストッパとして使用できる。また、炭素含有量が
増加するに従いエッチング速度は低下する。
【0043】次いで、図2(c)に示すように、フォト
レジスト205を剥離し、新たな第2のフォトレジスト
207を塗布する。そして、このフォトレジスト207
をヴィアホールの形状にパターニングする。その後、パ
ターニングされたフォトレジスト207をマスクとし、
第2の層間絶縁膜203をエッチングした後、第1の層
間絶縁膜202をエッチングすることにより、ヴィアホ
ール208を形成する。これまでの工程によりデュアル
ダマシン構造が形成される。
【0044】その後、図2(d)に示すように、例えば
膜厚が5乃至100nmのTiN、Ta又はTaN等か
らなるバリアメタル膜209及び、例えば膜厚が5乃至
200nmのCuめっき用のシード層であるCu層をス
パッタ法等で順次全面に成膜することにより、Cu層で
配線及びホール部分を埋設する。次に、化学機械研磨法
(CMP)により第3の層間絶縁膜204上のCu層及
びバリアメタル膜209を研磨して、Cuプラグ210
及びCu配線層211を形成する。なお、Cu層の形成
には、Cuめっきの替わりにスパッタ法又はCVD法を
採用してもよい。
【0045】次いで、図2(e)に示すように、Cuの
拡散防止膜及びエッチングストッパ膜として、第2の層
間絶縁膜203と同様の炭素含有シリコン酸化膜からな
る第4の層間絶縁膜212を形成する。第4の層間絶縁
膜212の膜厚は、例えば20乃至400nmである。
また、炭素を含有するシリコン酸化膜によるCuの拡散
防止能力は、炭素含有量の増加に従い向上するものであ
る。
【0046】本実施例においては、デュアルダマシン法
において、炭素を含有しないシリコン酸化膜よりもエッ
チング速度が低い炭素含有シリコン酸化膜からなる第2
の層間絶縁膜203を第3の層間絶縁膜204の下に形
成しているので、第3の層間絶縁膜204に配線溝20
6をエッチングにより形成する際のエッチングストッパ
として使用することができる。従って、第3の層間絶縁
膜204に確実に配線溝206を形成することができ
る。そして、更に第1の層間絶縁膜202にヴィアホー
ル208を形成することにより、デュアルダマシン構造
を形成することができる。
【0047】また、シリコン窒化膜よりも比誘電率が低
い炭素含有シリコン酸化膜(比誘電率ε≦5)をエッチ
ングストッパとして使用することにより、フリンジ効果
を低減し、配線間容量を低下させることが可能である。
【0048】更に、Cu配線層211上のCu拡散防止
膜として炭素含有シリコン酸化膜からなる第4の層間絶
縁膜212を形成しているので、配線間容量を効果的に
下げることができる。
【0049】なお、図2(a)に示す工程において、第
3の層間絶縁膜204であるシリコン酸化膜上に、例え
ば膜厚が50乃至400nmの炭素含有シリコン酸化膜
を形成することもできる。
【0050】更に、本実施例方法は配線2層構造を形成
する例であるが、同様の工程で多層化することも可能で
ある。
【0051】また、上述の方法により製造された本発明
の実施例に係る半導体装置は、図2(e)に示すような
構造を有している。
【0052】従って、第2及び第4の層間絶縁膜203
及び212の比誘電率が低いので、配線容量が低く振動
の伝播遅延が抑制される。また、第4の層間絶縁膜21
2によりCu配線層におけるCuの拡散が抑制される。
【0053】
【発明の効果】以上詳述したように、本発明によれば、
炭素を含有するシリコン膜を層間絶縁膜として形成して
いるので、配線間容量を低減し、信号の伝播遅延を低減
することができる。更に、その製造のために工程が煩雑
化することを防止することもできる。
【0054】また、本発明方法によれば、炭素を含有す
るシリコン膜がエッチングストッパとして機能するの
で、ヴィアホール又は配線溝を確実かつ容易に形成する
ことができる。更に、配線間容量を低減し、信号の伝播
遅延を低減することができる。
【図面の簡単な説明】
【図1】(a)乃至(g)は本発明の第1の実施例方法
に係る半導体装置の製造方法を工程順に示す断面図であ
る。
【図2】(a)乃至(e)は本発明の第2の実施例方法
に係る半導体装置の製造方法を工程順に示す断面図であ
る。
【図3】(a)乃至(d)は従来のデュアルダマシン法
をとった半導体装置の製造方法を工程順に示す断面図で
ある。
【符号の説明】
101、201、301;シリコン基板 102、202、302;第1の層間絶縁膜 103、203、303;第2の層間絶縁膜 104、106、205、207、305;フォトレジ
スト 105、204、304;第3の層間絶縁膜 107、206;配線溝 108、208;ヴィアホール 109、209、306;バリアメタル膜 110、210、307;Cuプラグ 111、211、308;Cu配線層 112、212、309;第4の層間絶縁膜
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F033 HH11 HH21 HH32 HH33 MM02 PP07 PP15 PP27 QQ09 QQ25 QQ28 QQ37 QQ48 RR04 RR11 RR12 RR21 RR25 SS01 SS02 SS03 SS04 SS11 SS15 SS22 TT02 TT04 XX24 XX27 XX28 5F058 BA20 BD02 BD04 BD06 BF07 BF25 BF46 BJ02

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 下層配線及び素子が形成された半導体基
    板と、この半導体基板上に形成された第1の層間絶縁膜
    と、この第1の層間絶縁膜上に形成され炭素を含有する
    シリコン酸化膜からなる第2の層間絶縁膜と、この第2
    の層間絶縁膜上に形成された第3の層間絶縁膜と、この
    第3の層間絶縁膜上に形成され炭素を含有するシリコン
    酸化膜からなる第4の層間絶縁膜と、前記第1及び第2
    の層間絶縁膜に形成され前記下層配線及び素子のいずれ
    かに接続された金属プラグと、前記第3及び第4の層間
    絶縁膜に形成され前記金属プラグに接続された配線層
    と、を有することを特徴とする半導体装置。
  2. 【請求項2】 前記炭素を含有するシリコン酸化膜は、
    炭化水素基を有するシリコン酸化物からなり、前記第2
    及び第4の層間絶縁膜の比誘電率は5以下であることを
    特徴とする請求項1に記載の半導体装置。
  3. 【請求項3】 前記炭素を含有するシリコン酸化膜は、
    Si−H基を有することを特徴とする請求項2に記載の
    半導体装置。
  4. 【請求項4】 前記第1及び第3の層間絶縁膜は、プラ
    ズマCVD法により形成されたプラズマシリコン酸化
    膜、フッ素を含有するプラズマSiOF膜及びSi−H
    結合を有するハイドロジェンシルセスキオキサン膜から
    なる群から選択された1種の酸化膜からなることを特徴
    とする請求項1乃至3のいずれか1項に記載の半導体装
    置。
  5. 【請求項5】 下層配線及び素子が形成された半導体基
    板上に第1の層間絶縁膜を形成する工程と、前記第1の
    層間絶縁膜上に炭素を含有するシリコン酸化膜からなる
    第2の層間絶縁膜を形成する工程と、前記第2の層間絶
    縁膜の前記下層配線及び素子のいずれかと整合する位置
    に開口部を形成する工程と、全面に第3の層間絶縁膜を
    形成する工程と、少なくとも前記第2の層間絶縁膜に形
    成された開口部と整合する位置に開口部が設けられたフ
    ォトレジストを前記第3の層間絶縁膜上に形成する工程
    と、前記フォトレジスト及び前記第2の層間絶縁膜をマ
    スクとして前記第3及び第1の層間絶縁膜をパターニン
    グすることにより配線溝及びこの配線溝と連続するヴィ
    アホールを形成する工程と、前記配線溝及びヴィアホー
    ル内に導電層を埋設する工程と、全面に炭素を含有する
    シリコン酸化膜からなる第4の層間絶縁膜を形成する工
    程と、を有することを特徴とする半導体装置の製造方
    法。
  6. 【請求項6】 下層配線及び素子が形成された半導体基
    板上に第1の層間絶縁膜を形成する工程と、前記第1の
    層間絶縁膜上に炭素を含有するシリコン酸化膜からなる
    第2の層間絶縁膜を形成する工程と、前記第2の層間絶
    縁膜上に第3の層間絶縁膜を形成する工程と、前記第3
    の層間絶縁膜をパターニングすることにより少なくとも
    前記下層配線及び素子のいずれかと整合する位置に配線
    溝を形成する工程と、前記第2及び第1の層間絶縁膜を
    パターニングすることにより前記配線溝と整合する位置
    にヴィアホールを形成する工程と、前記配線溝及びヴィ
    アホール内に導電層を埋設する工程と、全面に炭素を含
    有するシリコン酸化膜からなる第4の層間絶縁膜を形成
    する工程と、を有することを特徴とする半導体装置の製
    造方法。
  7. 【請求項7】 前記炭素を含有するシリコン酸化膜は、
    炭化水素基を有するシリコン酸化物からなり、前記第2
    及び第4の層間絶縁膜の比誘電率は5以下であることを
    特徴とする請求項5又は6に記載の半導体装置の製造方
    法。
  8. 【請求項8】 前記炭素を含有するシリコン酸化膜は、
    Si−H基を有することを特徴とする請求項7に記載の
    半導体装置の製造方法。
  9. 【請求項9】 前記第1及び第3の層間絶縁膜は、プラ
    ズマCVD法により形成されたプラズマシリコン酸化
    膜、フッ素を含有するプラズマSiOF膜及びSi−H
    結合を有するハイドロジェンシルセスキオキサン膜から
    なる群から選択された1種の酸化膜からなることを特徴
    とする請求項5乃至8のいずれか1項に記載の半導体装
    置の製造方法。
JP10349888A 1998-12-09 1998-12-09 半導体装置及びその製造方法 Pending JP2000174123A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP10349888A JP2000174123A (ja) 1998-12-09 1998-12-09 半導体装置及びその製造方法
KR1019990056329A KR100355586B1 (ko) 1998-12-09 1999-12-09 반도체장치 및 그 제조방법
US09/458,243 US6245665B1 (en) 1998-12-09 1999-12-09 Semiconductor device and method of fabricating the same
US09/837,683 US6593659B2 (en) 1998-12-09 2001-04-18 Dual damascene structure with carbon containing SiO2 dielectric layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10349888A JP2000174123A (ja) 1998-12-09 1998-12-09 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JP2000174123A true JP2000174123A (ja) 2000-06-23

Family

ID=18406795

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10349888A Pending JP2000174123A (ja) 1998-12-09 1998-12-09 半導体装置及びその製造方法

Country Status (3)

Country Link
US (2) US6245665B1 (ja)
JP (1) JP2000174123A (ja)
KR (1) KR100355586B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100462758B1 (ko) * 2002-05-02 2004-12-20 동부전자 주식회사 구리 듀얼 다마신을 위한 포토 공정
JP2007088018A (ja) * 2005-09-20 2007-04-05 Renesas Technology Corp 半導体装置およびその製造方法
US7215028B2 (en) 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2015062231A (ja) * 2000-08-25 2015-04-02 株式会社半導体エネルギー研究所 発光装置

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6904675B1 (en) * 1996-09-27 2005-06-14 Hewlett-Packard Development, L.P. Method of forming electrical interconnects having electromigration-inhibiting plugs
JP3708732B2 (ja) 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 半導体装置の製造方法
JP3353743B2 (ja) 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
JP2003533025A (ja) * 2000-04-28 2003-11-05 東京エレクトロン株式会社 低誘電率膜を有する半導体装置およびその製造方法
US6657305B1 (en) * 2000-11-01 2003-12-02 International Business Machines Corporation Semiconductor recessed mask interconnect technology
US6509266B1 (en) 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6720256B1 (en) * 2002-12-04 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of dual damascene patterning
US20040108136A1 (en) * 2002-12-04 2004-06-10 International Business Machines Corporation Structure comprising a barrier layer of a tungsten alloy comprising cobalt and/or nickel
US6879038B2 (en) * 2003-03-12 2005-04-12 Optical Communication Products, Inc. Method and apparatus for hermetic sealing of assembled die
KR100602086B1 (ko) 2004-07-13 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US8265295B2 (en) * 2005-03-11 2012-09-11 Rane Corporation Method and apparatus for identifying feedback in a circuit
US8034431B2 (en) * 2006-01-25 2011-10-11 3M Innovative Properties Company Intermittently bonded fibrous web laminate
JP5362176B2 (ja) * 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100799068B1 (ko) * 2006-12-21 2008-01-29 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9312222B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning approach for improved via landing profile
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150179580A1 (en) * 2013-12-24 2015-06-25 United Microelectronics Corp. Hybrid interconnect structure and method for fabricating the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI642334B (zh) 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
TWI642333B (zh) * 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3412843B2 (ja) 1992-09-07 2003-06-03 三菱電機株式会社 多層配線の形成方法及び半導体装置
JPH09139423A (ja) 1995-11-13 1997-05-27 Hitachi Ltd 半導体集積回路装置およびその製造方法
JPH09306988A (ja) 1996-03-13 1997-11-28 Sony Corp 多層配線の形成方法
JP3226479B2 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3997494B2 (ja) 1996-09-17 2007-10-24 ソニー株式会社 半導体装置
JP3399252B2 (ja) 1996-10-03 2003-04-21 ソニー株式会社 半導体装置の製造方法
JPH10223758A (ja) * 1996-12-06 1998-08-21 Sony Corp 半導体装置
JP3300643B2 (ja) * 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3062491B2 (ja) 1998-03-26 2000-07-10 松下電器産業株式会社 配線構造体の形成方法
US6232235B1 (en) * 1998-06-03 2001-05-15 Motorola, Inc. Method of forming a semiconductor device
US6037668A (en) * 1998-11-13 2000-03-14 Motorola, Inc. Integrated circuit having a support structure
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6368979B1 (en) * 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015062231A (ja) * 2000-08-25 2015-04-02 株式会社半導体エネルギー研究所 発光装置
KR100462758B1 (ko) * 2002-05-02 2004-12-20 동부전자 주식회사 구리 듀얼 다마신을 위한 포토 공정
US7215028B2 (en) 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7400045B2 (en) 2003-09-26 2008-07-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7935623B2 (en) 2003-09-26 2011-05-03 Panasonic Corporation Semiconductor device and method for fabricating the same
US8329572B2 (en) 2003-09-26 2012-12-11 Panasonic Corporation Semiconductor device and method for fabricating the same
US8648472B2 (en) 2003-09-26 2014-02-11 Panasonic Corporation Semiconductor device
JP2007088018A (ja) * 2005-09-20 2007-04-05 Renesas Technology Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US6245665B1 (en) 2001-06-12
US20010022388A1 (en) 2001-09-20
KR100355586B1 (ko) 2002-10-12
US6593659B2 (en) 2003-07-15
KR20000048049A (ko) 2000-07-25

Similar Documents

Publication Publication Date Title
JP2000174123A (ja) 半導体装置及びその製造方法
US7119441B2 (en) Semiconductor interconnect structure
US6951709B2 (en) Method of fabricating a semiconductor multilevel interconnect structure
US6242339B1 (en) Interconnect structure and method for forming the same
JP3248492B2 (ja) 半導体装置及びその製造方法
US7563719B2 (en) Dual damascene process
US20080182405A1 (en) Self-aligned air-gap in interconnect structures
US20060055046A1 (en) Semiconductor device and method for manufacturing the same
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
JP2001077196A (ja) 半導体装置の製造方法
JP2009224816A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
JP2004281936A (ja) 半導体装置の製造方法
US20070222076A1 (en) Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US6451687B1 (en) Intermetal dielectric layer for integrated circuits
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
KR20010019643A (ko) 저유전율 절연막을 갖는 다층 금속배선의 형성방법
US6821896B1 (en) Method to eliminate via poison effect
JP2004014901A (ja) 半導体装置とその製造方法
JP2003303880A (ja) 積層層間絶縁膜構造を利用した配線構造およびその製造方法
JP2003124309A (ja) 銅デュアルダマシンプロセスにおけるビア及びトレンチの製造方法
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
JP3000935B2 (ja) 半導体装置の製造方法
JP2003282704A (ja) デュアルダマシンによる半導体装置の製造方法
JP2000183166A (ja) 半導体装置の製造方法