JP4270632B2 - ドライエッチングを用いた半導体装置の製造方法 - Google Patents

ドライエッチングを用いた半導体装置の製造方法 Download PDF

Info

Publication number
JP4270632B2
JP4270632B2 JP06629399A JP6629399A JP4270632B2 JP 4270632 B2 JP4270632 B2 JP 4270632B2 JP 06629399 A JP06629399 A JP 06629399A JP 6629399 A JP6629399 A JP 6629399A JP 4270632 B2 JP4270632 B2 JP 4270632B2
Authority
JP
Japan
Prior art keywords
film
organic silicon
silicon
forming
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP06629399A
Other languages
English (en)
Other versions
JP2000260870A (ja
Inventor
渉二 瀬田
誠 関根
直文 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP06629399A priority Critical patent/JP4270632B2/ja
Priority to US09/522,175 priority patent/US6352931B1/en
Priority to TW89104437A priority patent/TW468201B/zh
Priority to KR10-2000-0012221A priority patent/KR100401040B1/ko
Publication of JP2000260870A publication Critical patent/JP2000260870A/ja
Priority to US09/995,839 priority patent/US6605542B2/en
Priority to US10/086,556 priority patent/US6849923B2/en
Priority to US10/440,136 priority patent/US20030224611A1/en
Priority to US10/983,746 priority patent/US7169697B2/en
Priority to US11/170,352 priority patent/US20060017162A1/en
Application granted granted Critical
Publication of JP4270632B2 publication Critical patent/JP4270632B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance

Description

【0001】
【発明の属する技術分野】
本発明はドライエッチングを用いた半導体装置の製造方法に係り、特にポリシラン等の有機シリコン膜を用いた二重溝配線の層間絶縁膜の形成方法、コンタクトホール、配線溝の形成方法、深いトレンチマスクの形成方法、反射防止膜の剥離方法等に関するものである。
【0002】
【従来の技術】
多層配線を有する半導体装置の製造工程において、例えばシリコン酸化膜、シリコン窒化膜等からなる多層絶縁膜上にレジストをマスクとしてコンタクトホール等をパターン形成する工程が多く用いられる。
【0003】
従来、RIE(Reactive Ion Etching)等のドライエッチングを用いて、このようなシリコン酸化膜、及びシリコン窒化膜の選択エッチングを行うとき、レジストとシリコン酸化膜とのエッチング選択比(エッチング速度の比)は大きくできるのでシリコン酸化膜の選択エッチングは容易であるが、レジストとシリコン窒化膜との間ではエッチング選択比を大きくすることができないため、レジストをマスクとしてシリコン窒化膜を選択的にエッチングすることは非常に困難であった。
【0004】
また、近年大規模な半導体記憶装置の製造に当り、極めて多数の微細なトレンチキャパシタを高密度に集積することが必要となり、このため開口部の寸法に比べて深さが極めて大きい(以下高アスペクト比と呼ぶ)トレンチを、異方性ドライエッチングを用いて半導体基板に形成することが重要な技術の1つとなっている。
【0005】
半導体基板に高アスペクト比のトレンチを形成する際、異方性ドライエッチングの絶縁膜マスクにも高アスペクト比の開口部を形成しなければならない。前記絶縁膜マスクの開口部の形成には従来レジストマスクが使用されてきたが、高アスペクト比の開口部を形成するためには、大電力の高周波により励起されたガスプラズマを長時間に亘ってレジストに照射する必要がある。
【0006】
このためレジスト開口部に凹凸状の変形を生じ、半導体基板に内面が平滑で加工精度の高い多数のトレンチを高い歩留まりで形成することはいちじるしく困難であった。
【0007】
また微細加工技術の進展に伴い、リソグラフィー工程の解像度を高めるためレジストの膜厚は薄くしなければならないが、ドライエッチングに際していわゆる膜減りを生じるため前記トレンチの形成はさらに困難であった。
【0008】
また通常半導体装置の製造方法において、半導体基板上にパターン形成されたシリコン窒化膜をエッチングマスクとして素子分離用の分離溝を形成し、この分離溝を埋め込むように素子分離用の厚いシリコン酸化膜を形成し、シリコン窒化膜をストッパー(抑制層)として表面研磨することにより、分離溝が酸化膜で満たされるように平坦化する素子領域平坦化工程が用いられてきた。
【0009】
表面研磨による素子領域平坦化工程において、素子領域が密な部分ではシリコン窒化膜ストッパーが多く存在するので、分離溝が丁度シリコン酸化膜で満たされるように良好な表面平坦化がなされるが、素子領域が疎な部分では窒化膜のストッパーが少ないので、平坦化工程においてシリコン酸化膜が過剰に研磨されて凹状となり、素子領域の平坦化形成がウエハ全面に亘って均一に行われないという問題があった。
【0010】
このため、全面にさらにポリシリコン膜を堆積して平坦化加工を行い、エッチングマスクとして疎部分にポリシリコン膜を残留させる等の対策がとられる。しかし、この平坦化工程で疎部分のシリコン酸化膜に割れを生じることがあり、後に素子領域上の前記シリコン窒化膜ストッパーと前記ポリシリコンマスクとを剥離する際、シリコン基板が深くえぐられるという問題を生じていた。
【0011】
また従来ゲート電極加工に際して、ゲート電極形成用の金属膜の上にシリコン窒化膜を形成し、シリコン窒化膜上のゲート電極形成領域にレジストをパターン形成し、このレジストをマスクとして窒化膜をパターン形成し、レジストマスク剥離の後パターン形成されたシリコン窒化膜をマスクとして、前記金属膜をゲート電極として加工する方法がとられてきた。
【0012】
このときウエハー面内に凹凸があれば、シリコン窒化膜マスクをパターン形成する際、ウエーハの表面の凸部ではパターン形成のエッチングが過剰となって下地の金属膜にまでエッチングが及び、良好なゲート電極加工ができなくなるという問題があった。
【0013】
また自己整合型コンタクト(以下SAC; Self-Align Contactと呼ぶ)形成のエッチング工程では、ゲート電極間を埋める層間絶縁膜にコンタクトホールの開口を行うが、このとき、コンタクトホール開口部の下部にゲート電極の上面と側面とが交わる稜線が露出するようになる。
【0014】
シリコン酸化膜からなる層間絶縁膜にコンタクトホールを開口するエッチング工程において、ゲート電極がエッチングされるのを防止するため、ゲート電極は通常シリコン酸化膜とのエッチング選択比が大きいシリコン窒化膜(エッチングストッパー)で被覆される。しかし、シリコン窒化膜の酸化膜に対するエッチング選択比は平坦部では高い値が得られるが、稜線部では平坦部の約1/3に低下する。
【0015】
このため従来SAC形成工程において、コンタクトホール開口時にゲート電極の稜線部がエッチングされてゲート金属が露出し、コンタクトホールに配線用の金属を埋め込む際、ゲート電極の短絡不良を生じる原因となっていた。このため特にゲート電極のアスペクト比(ゲート長とゲート高さとの比)が大きいE2 PROMの製造工程では、SACの形成はいちじるしく困難であることが知られている。
【0016】
近年微細加工技術の進展に伴い、層間絶縁膜の高アスペクト比のエッチングが多く要求されるが、このときシリコン窒化膜との間でエッチング選択比がとれる条件でシリコン酸化膜の高アスペクト比のドライエッチングを行えば、エッチングの進行中にフロロカーボン等の残渣が開口部に残留して、エッチングが途中で停止することが知られている。
【0017】
また多層配線の形成技術として、2層の溝配線(この分野でDual-Damascene構造と呼ばれる。Damascene は象眼加工を意味する。)が多く用いられるようになったが、2層溝配線の層間絶縁膜の加工には2層の金属配線を埋め込むための溝加工と、2層の金属配線間を接続するコンタクトホール形成とを組み合わせた高アスペクト比で、かつ、微細なドライエッチング加工技術が求められる。
【0018】
従来、層間絶縁膜の溝加工において、ウエーハ面内の溝の深さを一定値に制御することがいちじるしく困難であり、これを実現するためにはシリコン酸化膜からなる層間絶縁膜中にシリコン窒化膜を挿入して、このシリコン窒化膜をドライエッチングのストッパーとする方法がとられてきた。特に加工形状が複雑な2重溝配線ではコンタクトホール形成後、上層の溝配線の深さ制御を行うためにもシリコン窒化膜のストッパーが必要であった。
【0019】
しかし、このようにすれば、配線の近傍にシリコン酸化膜に比べて誘電率の値が大きいシリコン窒化膜が含まれるため、配線容量が大きくなり半導体装置の動作速度を低下させる。また溝加工の際、シリコン酸化膜からなる層間絶縁膜の部分エッチングを生じ、イオンが溝の底部の角の部分に集中し、溝の角にトレンチングと呼ばれる形状を発生させる。このため配線溝への配線金属の埋め込みが困難になっていた。
【0020】
また、従来DRAM(Dynamic Random Access Memory)とロジックとを1チップ上に混載したLSIでは、DRAM部とロジック部とのデザインルールの相違から、ロジック部の合わせ幅(フリンジ)がDRAM部の合わせ幅の1/4程度となっている。
【0021】
例えば2重溝配線の層間絶縁膜にコンタクトホールを形成するとき、下層溝配線の上部のシリコン窒化膜エッチングストッパーに対して高い選択制を示すドライエッチング条件を用いて、シリコン酸化膜からなる層間絶縁膜にコンタクトホールを形成し、シリコン窒化膜を除去した後、コンタクトホール及び上層の配線溝に配線用の金属を埋め込む工程が行われる。
【0022】
このとき、特に合わせマージンの小さいロジック部では、コンタクトホールの開口の一部が下層配線上を覆うシリコン窒化膜ストッパーの外部に外れることがあり、このため、下層配線の側面を埋めるシリコン酸化膜からなる層間絶縁膜が、コンタクトホール形成工程で削られるボーダーレスエッチングを生じ易く、配線金属の埋め込み不良や短絡不良を生じる欠点があった。
【0023】
また従来リソグラフィー工程において、レジストの下に反射防止膜としてポリシランが用いられるが、パターン形成後O2 アッシングを用いてレジストを剥離する際、ポリシランが酸化して剥離し難くなるという現象があった。
【0024】
【発明が解決しようとする課題】
上記したように、従来のドライエッチングを用いた半導体装置の製造方法には高アスペクト比のエッチングが困難であること、素子領域に粗密がある場合にウエハ全面に亘って平坦化することが困難であること、微細なゲート電極形成に際して表面の凹凸が加工不良の原因になること、SACのコンタクトホール形成において、ゲート電極の稜線部で短絡不良を生じやすいこと等の問題があった。
【0025】
このほか、層間絶縁膜の高アスペクト比のエッチングの際、ドライエッチングの残渣によりエッチングが途中で停止する欠点があること、2重溝配線の層間絶縁膜形成において、溝加工の深さの均一化やボーダレスエッチング回避のためエッチングストッパーとしてシリコン窒化膜を積層する必要があり、これが半導体装置の動作速度を低下させる原因になること、また溝加工に際してトレンチングを生じ、配線金属の埋め込み不良の原因になること、メモリ・ロジック混載LSIの製造に際してロジック部分の合わせマージンが小さいため、特にボーダーレスエッチングを生じやすいこと、レジストの反射防止膜として用いるポリランの剥離が困難であること等、多くの課題が含まれていた。
【0026】
本発明は上記の課題を解決すべくなされたもので、ポリシラン等の有機シリコン膜を用いた半導体基板上の多層絶縁膜の形成方法、剥離方法、及びエッチングマスクの形成方法を提供することことにより、上記の課題を解決することを目的としている。
【0027】
【課題を解決するための手段】
本発明のドライエッチングを用いた半導体装置の製造方法は、塗布することにより容易に平坦面を形成することができ、かつドライエッチング加工、及び平坦化加工が容易なポリシラン等の有機シリコン膜をシリコン酸化膜やシリコン窒化膜等の絶縁膜、配線に用いる金属膜等と積層し、又はこれらの構成要素の一部をなすように半導体基板上に形成し、前記絶縁膜部分を加工形状を改善するエッチングの抑制層等として役立てながら、有機シリコン膜を微細加工することにより、例えば構造の複雑な2重溝配線の層間絶縁膜の加工部分を形成し、その後この有機シリコン膜に例えば酸素を導入することにより、有機シリコン膜を有機シリコン酸化膜等からなる絶縁膜に変化させ、所望の半導体装置の構成要素を提供しようとするものである。
【0028】
このようにして、従来のドライエッチングで困難であった配線溝の形状や深さの制御、高アスペクト比のコンタクトホール形成、ボーダレスエッチングの回避、平坦化工程における問題点の改善、反射防止膜として用いたポリシランの新たな剥離方法を提供することを特徴とする。
【0029】
具体的には本発明のドライエッチングを用いた半導体装置の製造方法は、シリコンとシリコンとの結合を主鎖に有する有機シリコン膜を半導体基板上に形成し、前記有機シリコン膜をドライエッチングして、前記ドライエッチングされた有機シリコン膜を絶縁膜に変化させて半導体装置の絶縁物からなる部分を形成することを特徴とする。
【0030】
好ましくは、本発明のドライエッチングを用いた半導体装置の製造方法は、前記有機シリコン膜をドライエッチングした後、少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜に導入することにより、前記有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかに変化することを特徴とする。
【0031】
また、好ましくは前記少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜に導入する工程は、これらの元素又はその混合物からなるイオンを用いた反応性イオンエッチング法、アッシング法、及びイオン注入法のいずれかの方法を用いて行うことを特徴とする。
【0032】
また、好ましくは前記少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜に導入する工程は、前記元素、又は、その混合物からなるガス雰囲気中で熱処理することにより行うことを特徴とする。
【0033】
また、好ましくは前記半導体装置の絶縁物からなる部分は、少なくとも前記半導体基板表面と二重溝配線における下の配線層との間、及び前記二重溝配線の上下の各配線層における金属配線の間、及び前記二重溝配線の上下の配線層の間のいずれかであることを特徴とする。
【0034】
また、好ましくは前記有機シリコン膜は絶縁膜に積層して形成され、前記有機シリコン膜のドライエッチングにおいて、前記絶縁膜が前記ドライエッチングの抑制層として用いられることを特徴とする。
【0035】
また、好ましくは前記半導体装置の絶縁物からなる部分は、前記半導体基板上に形成する二重溝配線のコンタクトホール、及び前記二重溝配線の上下の各配線層における配線溝を含む層間絶縁膜であることを特徴とする。
【0036】
また、好ましくは前記コンタクトホールを形成する工程は、前記下の配線層の上部全面に前記有機シリコン膜を塗布する工程と、この有機シリコン膜を選択的にドライエッチングすることにより前記有機シリコン膜に前記下の配線層における金属配線の上面に達するコンタクトホールを形成する工程と、前記コンタクトホール形成後の有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかからなる絶縁膜に変化させる工程とを含むことを特徴とする。
【0037】
また、好ましくは前記下の配線層は、半導体基板上の絶縁膜に埋め込まれた溝配線からなり、前記コンタクトホールの形成の際、前記絶縁膜の上面がボーダレスエッチングを抑制することを特徴とする。
【0038】
また、好ましくは前記配線溝を形成する工程は、半導体基板上の絶縁膜の上部全面に有機シリコン膜を塗布する工程と、前記有機シリコン膜を選択的にドライエッチングすることにより前記有機シリコン膜の配線溝の形成部分を除去する工程と、前記除去工程後の有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかからなる絶縁膜に変化させる工程とを含むことを特徴とする。
【0039】
また、好ましくは前記層間絶縁膜を形成する工程は、半導体基板上の絶縁膜にコンタクトホールを形成する工程と、前記コンタクトホールを埋め込むように前記絶縁膜の上部全面に有機シリコン膜を塗布する工程と、前記有機シリコン膜を選択的にドライエッチングすることにより、前記コンタクトホールの開口部を含む前記上の配線層の配線溝形成部分、及び前記コンタクトホールの内部における前記有機シリコン膜を除去する工程と、前記除去工程後の有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかからなる絶縁膜に変化させる工程とを含むことを特徴とする。
【0040】
また、好ましくは前記有機シリコン膜の除去工程において、前記半導体基板上の絶縁膜の上面が前記ドライエッチングを制御することにより前記有機シリコン膜が選択的にエッチングされることを特徴とする。
【0041】
また、好ましくは前記層間絶縁膜を形成する工程は、前記下の配線層が形成された半導体基板上の第1の絶縁膜の上部全面に前記有機シリコン膜を塗布する工程と、前記有機シリコン膜に前記下の配線層における金属配線の上面に達するコンタクトホールを形成する第1の選択的ドライエッチング工程と、前記コンタクトホールを備えた有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかからなる第2の絶縁膜に変化させる工程と、前記コンタクトホールを埋め込むように前記第2の絶縁膜の上部全面に有機シリコン膜を塗布する工程と、
前記コンタクトホールの開口部を含む前記上の配線層の配線溝形成部分、及び前記コンタクトホールの内部における前記有機シリコン膜を除去することにより、前記第2の絶縁膜に形成されたコンタクトホールに接続された上の配線層の配線溝を形成する第2の選択的ドライエッチング工程と、前記上の配線層の配線溝を備えた有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかからなる第3の絶縁膜に変化させる工程とを含むことを特徴とする。
【0042】
また、好ましくは本発明のドライエッチングを用いた半導体装置の製造方法は、前記第1の絶縁膜が前記第1のドライエッチング工程における前記下の配線層周辺部分に生じるボーダレスエッチングを抑制し、前記第2の絶縁膜が前記上の配線層の配線溝を形成する第2の選択的ドライエッチング工程を制御することを特徴とする。
【0043】
また、好ましくは前記層間絶縁膜を形成する工程は、前記下の配線層が形成された半導体基板上の絶縁膜の上に第1の有機シリコン酸化膜、あるいは無機シリコン酸化膜、あるいはシリコン酸化膜のいずれかを形成する工程と、前記第1の有機シリコン酸化膜、あるいは無機シリコン酸化膜、あるいはシリコン酸化膜のいずれかの上に有機シリコン膜からなるドライエッチングのストッパーを形成する工程と、前記ストッパーに前記下の配線層に達するコンタクトホールを形成するための開口部を設ける工程と、
前記開口部を設けた前記ストッパーを埋め込むように第2の有機シリコン酸化膜、あるいは無機シリコン酸化膜、あるいはシリコン酸化膜のいずれかを形成する工程と、前記開口部に合わせて上の配線層の配線溝を形成するためのエッチングマスクを形成する工程と、前記エッチングマスクと前記開口部を設けた前記ストッパーとを用いて前記第1、第2の有機シリコン酸化膜、あるいは無機シリコン酸化膜、あるいはシリコン酸化膜のいずれかを引き続き選択的にドライエッチングする工程とを含むことを特徴とする。
【0044】
また、好ましくは前記有機シリコン膜からなるドライエッチングのストッパは、前記第1、第2の有機シリコン酸化膜、あるいは無機シリコン酸化膜、あるいはシリコン酸化膜のいずれかを引き続き選択的にドライエッチングする工程を経た後有機シリコン酸化膜に変化され、前記層間絶縁膜の一部として一体化される工程を含むことを特徴とする。
【0045】
本発明のドライエッチングを用いた半導体装置の製造方法は、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を半導体基板上に形成し、少なくとも前記有機シリコン膜の表面に、選択的に酸素、窒素、水素、及び炭素のいずれかの元素を導入する工程と、前記有機シリコン膜の表面をマスクとして選択的にドライエッチングすることにより、前記半導体装置の絶縁物からなる部分を形成する工程と、
前記有機シリコン膜をドライエッチングした後、少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜の内部に導入することにより、前記有機シリコン膜の表面と前記有機シリコン膜の内部とを共に有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかとして一体化する工程とを含むことを特徴とする。
【0046】
好ましくは、本発明のドライエッチングを用いた半導体装置の製造方法は、前記有機シリコン膜の表面をマスクとして選択的にドライエッチングすることにより、前記マスクの開口部周辺の縁が丸く加工されることを特徴とする。
【0047】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を半導体基板上に形成し、少なくとも前記有機シリコン膜の表面に、選択的に酸素、窒素、水素、及び炭素のいずれかの元素を導入する工程と、前記有機シリコン膜の表面をマスクとして選択的にドライエッチングすることにより前記半導体装置の絶縁物からなる部分を形成する工程と、
前記有機シリコン膜をドライエッチングした後、少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜の内部に導入することにより前記有機シリコン膜の表面と前記有機シリコン膜の内部とを互いに異種の有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかとする工程と、前記有機シリコン膜の表面と内部との選択比エッチングを用いて前記マスクを除去する工程とを含むことを特徴とする。
【0048】
好ましくは、本発明のドライエッチングを用いた半導体装置の製造方法は、有機シリコン膜を用いて半導体基板上の絶縁膜の上面にフォトリソグラフィー工程における反射防止膜を形成し、前記フオトリソグラフィー工程の後、前記有機シリコン膜に酸素、窒素、水素、及び炭素のいずれかの元素を導入することにより前記反射防止膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかとし、前記反射防止膜を前記絶縁膜と一体化することを特徴とする。
【0049】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、有機シリコン膜を用いて半導体基板上の絶縁膜の上面にフォトリソグラフィー工程における反射防止膜を形成し、前記フオトリソグラフィー工程の後、前記有機シリコン膜に酸素、窒素、水素、及び炭素のいずれかの元素を導入することにより前記反射防止膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかとし、前記工程後の反射防止膜と前記絶縁膜との選択的なエッチングを用いて前記反射防止膜をエッチング除去することを特徴とする。
【0050】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、半導体基板上に熱酸化膜を形成する工程と、前記熱酸化膜上に有機シリコン膜を塗布し前記有機シリコン膜上にシリコン酸化膜を形成する工程と、前記シリコン酸化膜と有機シリコン膜とからなる多層膜に前記半導体基板表面に達する開口部を形成する工程と、
前記工程後の前記有機シリコン膜に窒素を導入することにより前記有機シリコン膜をシリコン窒化膜に変化させる工程と、前記シリコン酸化膜と前記シリコン窒化膜とからなる多層膜をマスクとして前記半導体基板にトレンチを形成する工程とを含むことを特徴とする。
【0051】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、半導体基板上に有機シリコン膜を塗布し、レジストをマスクとして前記半導体基板上の素子領域を覆うように前記有機シリコン膜をパターン形成する工程と、前記パターン形成された有機シリコン膜と前記レジストとをマスクとして半導体基板に分離溝を形成し、前記有機シリコン膜に窒素を導入することにより前記有機シリコン膜をシリコン窒化膜に変化する工程とを含むことを特徴とする。
【0052】
好ましくは、本発明のドライエッチングを用いた半導体装置の製造方法は、前記分離溝が形成された半導体基板の上部全面に前記分離溝を埋め込むように前記有機シリコン膜をさらに塗布する工程と、前記シリコン窒化膜を抑制層として前記有機シリコン膜の表面を平坦化する工程と、前記分離溝を埋め込む有機シリコン膜に酸素を導入することにより、前記有機シリコン膜をシリコン酸化膜、有機シリコン酸化膜、及び無機シリコン酸化膜のいずれかに変化する工程とを含むこと特徴とする。
【0053】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、半導体基板上にゲート絶縁膜を形成し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形成する工程と、前記金属膜上に有機シリコン膜を塗布し、レジストをマスクとして前記金属膜で覆われた半導体基板上のゲート電極形成領域に前記有機シリコン膜をパターン形成する工程と、前記パターン形成された有機シリコン膜と前記レジストとをマスクとして、前記ゲート電極形成領域に前記金属膜からなるゲート電極をパターン形成する工程と、前記有機シリコン膜に窒素を導入することにより前記パターン形成された有機シリコン膜を窒化膜とする工程とを含むことを特徴とする。
【0054】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、半導体基板上にゲート絶縁膜を形成し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形成し、前記金属膜で覆われた半導体基板のゲート電極形成領域に前記金属膜からなるゲート電極をパターン形成する工程と、前記ゲート電極をシリコン窒化膜で被覆し、前記半導体基板の上部全面に前記ゲート電極を埋め込むように第1の絶縁膜を堆積する工程と、
前記第1の絶縁膜の表面を平坦化し、この平坦化された第1の絶縁膜上に有機シリコン膜を塗布する工程と、前記ゲート電極に隣接するソース又はドレイン形成領域のいずれかと前記ゲート電極の前記ソース又はドレイン側の一部とを覆う前記有機シリコン膜を、レジストをマスクとするドライエッチングを用いて選択的に除去することにより、前記第1の絶縁膜に達するコンタクトホールを前記有機シリコン膜に形成する工程と、前記レジストと前記有機シリコン膜とをマスクとするドライエッチングを用いて前記第1の絶縁膜をさらにエッチングすることにより、前記コンタクトホールの底部に前記シリコン窒化膜を露出する工程と、前記エッチングをさらに進めて前記シリコン窒化膜とゲート絶縁膜とを除去することにより、前記半導体基板上のソース又はドレイン形成領域のいずれかの表面を自己整合的に露出する工程と、前記有機シリコン膜を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいずれかからなる第2の絶縁膜に変化させることにより前記有機シリコン膜を前記第1の絶縁膜と一体化し、前記コンタクトホールを配線の接続に用いる工程とを含むことを特徴とする。
【0055】
また、本発明のドライエッチングを用いた半導体装置の製造方法は、半導体基板上にゲート絶縁膜を形成し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形成し、前記金属膜で覆われた半導体基板のゲート電極形成領域に前記金属膜からなるゲート電極をパターン形成する工程と、前記ゲート電極をシリコン窒化膜で被覆し、前記シリコン窒化膜に積層して第1のシリコン酸化膜をさらに被覆する工程と、
前記半導体基板の上部全面に有機シリコン膜を塗布し、前記ゲート電極に隣接するソース又はドレイン形成領域と前記ゲート電極の前記ソース又はドレイン形成領域側の一部とを覆う前記有機シリコン膜を、レジストをマスクとするドライエッチングを用いて選択的に除去することにより、前記有機シリコン膜に前記第1のシリコン酸化膜に達するコンタクトホールを形成する工程と、前記有機シリコン膜に酸素を導入することにより、前記有機シリコン膜を第2のシリコン酸化膜に変化し、前記第2のシリコン酸化膜をマスクとしてドライエッチングすることにより前記コンタクトホールの底面に露出した前記第1のシリコン酸化膜を除去する工程と、
前記第1のシリコン酸化膜の除去により露出した前記シリコン窒化膜と前記ゲート絶縁膜とをさらに除去することにより、前記半導体基板上に形成されたソース又はドレイン形成領域のいずれかの表面を自己整合的に露出し、前記コンタクトホールを配線の接続に用いる工程とを含むことを特徴とする。
【0056】
また、本発明のドライエッチング方法は、半導体装置の絶縁物からなる部分を形成するドライエッチング方法であって、少なくともシリコンとシリコンとの結合を主査に有する有機シリコン膜を半導体基板上に形成し、少なくとも前記有機シリコン膜をドライエッチングすることにより前記半導体装置の絶縁物からなる部分を形成する工程と、前記工程後の有機シリコン膜を、少なくともO2 又はN2 又はH2 ガス中における熱処理、O2 又はN2 又はH2 プラズマ中における熱処理、O2 又はN2 又はH2 イオンの注入と熱処理のいずれかの方法を用いて処理することにより、前記加工部分を有機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、シリコン窒化膜のいずれかからなる絶縁膜に変化させることを特徴とする。
【0057】
【発明の実施の形態】
以下、図面を参照して本発明の実施の形態を詳細に説明する。図1乃至図3を用いて本発明の第1の実施の形態を説明する。図1乃至図3は、二重溝配線の形成に必要なコンタクトホールを含む層間絶縁膜の構造と、ドライエッチングによる形成方法、及びその問題点を説明する図である。
【0058】
ここで二重溝配線の層間絶縁膜には、第1層の溝配線を形成するための第1の溝加工と、第2層の溝配線を形成するための第2の溝加工と、第1、第2の溝加工部分を相互に接続するコンタクトホール加工とが施される。以下、層間絶縁膜における溝加工部分を配線溝とよぶことにする。
【0059】
図1(a)に示すように、シリコン基板1の上に絶縁膜2を形成し、絶縁膜2に設けた第1の配線溝を埋め込むように、シリコン窒化膜4で周辺を被覆した金属配線3が形成される。絶縁膜2の材料には通常シリコン酸化膜が用いられる。絶縁膜2の表面は、シリコン窒化膜4をストッパー(研磨工程の抑制層)としてCMP(Chemical Mechanical Polish)により平坦化する。なお、図1(a)に示す第1層の溝配線の形成工程については、第1の実施の形態とは直接関連しないので詳細な説明を省略する。
【0060】
次に図1(b)に示すように、平坦化された絶縁膜2の上にさらに同じ絶縁材料からなる絶縁膜を積層してその表面を平坦化し、RIEによる異方性エッチングを用いてコンタクトホール5を形成する。このときシリコン窒化膜4はエッチングストッパー(エッチングの抑制層)となる。
【0061】
次に図1(c)に示すように、全面にポリシラン6を塗布する。このポリシラン6の厚さは第2の配線溝の深さと等しくなるようにする。ポリシラン6は流動性があるので、コンタクトホール5の内部はポリシラン6で埋め込まれ、また塗布工程でポリシラン6の表面は十分に平坦化され、ウエハ全面に亘って第2の配線溝の深さを一定にすることができる。
【0062】
次に、ポリシラン6に第2の配線溝を形成するためレジスト7を塗布し、第2の配線溝を形成するための開口部を設ける。このレジスト7をマスクとして、絶縁膜2に対するポリシラン6のエッチング選択比が大きい条件で、RIEによるポリシラン6の異方性エッチングを行えば、図2(d)に示すように、絶縁膜2、及びシリコン窒化膜4をエッチングストッパーとして、コンタクトホール5の形状に何等の影響を与えることなく第2の配線溝8を形成することができる。
【0063】
このとき用いたRIEのエッチング条件は、チャンバー内の圧力75mTorr、高周波電力300W、Cl2 /O2 混合ガスの流量比75/10sccmであった。この条件で、シリコン酸化膜(SiO2 )からなる絶縁膜2とポリシラン6との間に100程度の高いエッチング選択比の値が得られた。
【0064】
ポリシラン6は容易に、かつ精密にRIEで異方性エッチングされ、またシリコン窒化膜4との間にも50程度のエッチング選択比がとれるので、シリコン窒化膜4を残してコンタクトホール5の内部を埋めるポリシラン6を完全に除去することができる。
【0065】
次に、O2 アッシング工程を用いてレジスト7を除去する。このときシリコン基板1の温度が250℃程度になり、熱拡散によりポリシラン6に酸素が導入されることによりポリシラン6がシリコン酸化膜に変化し、絶縁膜2の一部となる。このとき、ポリシランから変化したシリコン酸化膜は、酸化の程度が強ければSiO2 に近い結晶構造を示すようになり、電気的にもSiO2 からなる絶縁膜とみなすことができる。
【0066】
このようにして、図2(e)に示すようにポリシラン6が絶縁膜2の一部となり、コンタクトホール5と第2の配線溝8とを備えた二重溝配線の層間絶縁膜2が高い精度で形成される。
【0067】
次に図2(f)に示すように、シリコン窒化膜に対するRIEエッチングの条件で金属配線3の上部を被覆するシリコン窒化膜4を除去し、コンタクトホール5と第2の配線溝8とを埋め込むように金属配線の材料を堆積する。金属配線の材料としてはAl−Cu、及びCuを使用することができる。その後、絶縁膜2をストッパーとして、過剰に堆積した金属配線除去部3aをCMPにより除去すれば、加工形状が精密に制御された良好な二重溝配線を形成することができる。
【0068】
次に、ここに示した二重溝配線の層間絶縁膜形成方法の他の重要な利点について、図3を用いて説明する。図3(a)に示すように、絶縁膜2のコンタクトホール5を形成する際、エッチングマスクの合わせずれを生じた場合について詳細に説明する。
【0069】
従来、SiO2 等からなる絶縁膜の表面をCMPにより平坦化する際、例えば、エッチングストッパーの面内分布に粗密があれば、ウエハ全面に亘って均一な平坦化加工を行うことが困難となる。
【0070】
したがって、図3(a)までのコンタクトホール5の形成工程において、コンタクトホール形成前の絶縁膜2の厚さには、ある程度のばらつきが含まれる。
【0071】
このように、厚さの値にばらつきのある絶縁膜に対して、金属配線3を被覆する窒化膜4に確実に到達するようコンタクトホール5を形成するためには、規定の厚さよりも20%乃至30%過剰に異方性RIEを進めなければならない。
【0072】
このため、もしエッチングマスクの合わせずれを生じた場合には、ずれた部分ではシリコン窒化膜4がエッチングストッパーとして働かないので、図3(a)に示すような過剰なエッチングを生じ、配線不良の原因となる。このように、コンタクトホールの底部に生じた過剰なエッチングをボーダーレスエッチングとよぶ。
【0073】
従来、二重溝配線の層間絶縁膜形成方法において、当初から絶縁膜2の厚さを図2(e)に示す厚さとし、シリコン窒化膜4が露出するまでコンタクトホール5を異方性RIEで形成し、さらに第2の配線溝8を異方性RIEで形成していた。
【0074】
このため、コンタクトホール5形成時の絶縁膜2の厚さが厚くなり、したがつて、前記過剰に進める異方性RIEの量も大となる。さらに、配線溝8形成時の異方性RIEが加えられるため、ボーダレスエッチングは2回の異方性RIEを受けることになる。したがって前記ボーダレスエッチングの発生は、二重溝配線の層間絶縁膜形成工程における重要な問題点のひとつとなっていた。
【0075】
ここに示した第1の実施の形態では、第2の配線溝8がポリシラン6を用いて形成されるため、コンタクトホール5形成時の絶縁膜2の厚さが小さく、したがって、図3(a)に示す絶縁膜2のボーダレスエッチングが抑制される。さらに、配線溝8は、加工の容易なポリシランを用いて行われ、絶縁膜2との間のエッチング選択比が大きいので、図3(b)に示すようにコンタクトホール5のポリシランを除去する異方性RIE工程においてボーダレスエッチングが進行する恐れはない。
【0076】
次に図3(c)に示すように、O2 アッシングによるレジスト7の除去とポリシラン6の酸化とを同時に行い、金属配線の材料を埋め込み平坦化すればボーダレスエッチングの小さい二重溝配線を形成することができる。
【0077】
本第1の実施の形態は、DRAM部分とロジック部分とを1チップ上に搭載したメモリ・ロジック混載LSIの製造方法として特に有用である。さきにのべたように、ロジック部分の合わせマージンはメモリ部に比べて小さいので、ボーダレスエッチングはロジック部で生じ易いが、第1の実施の形態を用いれば、この場合でも高い歩留まりでメモリ・ロジック混載LSIを製造することができる。
次に、図4、図5に基づき本発明の第2の実施の形態について説明する。図4(a)に示すように、絶縁膜2に第1層の溝配線を形成し、シリコン窒化膜4をストッパーとして平坦化した後、全面にポリシラン6を塗布する。レジスト7と異方性RIEとを用いてポリシラン6にコンタクトホール5を形成し、O2 アッシングによりレジスト7を除去すると同時に、ポリシラン6をシリコン酸化膜からなる絶縁膜2に変化させ、第1の実施の形態で説明した図1(b)に示す絶縁膜2の形状とする。これを用いて図1(c)以降の工程を進めることができる。
第2の実施の形態において、コンタクトホール5のレジストマスク7を形成する際、合わせずれを生じた場合を図5に示す。第2の実施の形態では、図5(a)に示すような合わせずれを生じてもコンタクトホール5がポリシラン6に形成されるため、絶縁膜2との間に十分なエッチング選択比をとることができる。
【0078】
このため、絶縁膜2がエッチングストッパーとなって異方性RIEの制御性が高められ、ボーダレスエッチングを回避することができる。
【0079】
次に図5(b)に示すように、O2 アッシング工程でレジスト7を剥離すると同時にポリシラン6をシリコン酸化膜に変化させ、これを絶縁膜2の一部とした後、金属配線4の上部を覆うシリコン窒化膜4を除去すれば、図3(c)以降の第1の実施の形態の二重溝配線の形成工程を引き続き適用することができる。
【0080】
図5(b)では、図3(c)のようなボーダレスエッチングが全く生じないことに特徴がある。コンタクトホール5を埋める金属配線の材料と、第1層の金属配線3との接続面積は小さくなるが、ボーダレスエッチングによる配線不良を大幅に軽減することができる。
【0081】
第2の実施の形態を用いれば、メモリ・ロジック混載LSIにおけるボーダレスエッチングによるロジック部の歩留まり低下が大幅に抑制されることはいうまでもない。
【0082】
なお、第2の実施の形態は二重溝配線のコンタクトホール5の形成方法として説明したが、必ずしもこれに限定されるものではない。通常の多層配線のコンタクトホール形成においても同様の方法を用いることができる。
【0083】
次に、図6を用いて、本発明の第3の実施の形態について説明する。第3の実施の形態では、図4(a)の絶縁膜2がポリシラン6からなることが、第2の実施の形態と異なる。
【0084】
図6(a)に示すように、シリコン基板1にポリシラン6を塗布する。このシリコン基板1は、ウエハ工程終了後のものであって半導体装置の各種の構成要素が作り込まれているために、通常その表面には複雑な段差が形成されている。
【0085】
しかし、ポリシラン6は流動性があるため、塗布により段差が埋め込まれると同時に、特に表面研磨をしなくてもウエハ全面に亘って均一なドライエッチング加工を行うに十分な表面の平坦性を備えている。
【0086】
次に、レジスト(図示せず)をマスクとして異方性RIEを行い、第1の配線溝をポリシラン6に形成する。さきにのべように、ポリシランは異方性RIEが容易であり、かつ表面が平坦であるため、特にエッチングストッパーがなくても単にRIE条件を制御するだけで、実用上均一とみなせる深さの第1の配線溝を形成することができる。
【0087】
シリコン窒化膜3の被覆工程と金属配線4の埋め込み工程、及びポリシラン6の上に残留した余分の金属配線材料とシリコン窒化膜とを除去する工程等を組み合わせて図6(a)に示す第1層の溝配線を形成する。
【0088】
なお図6(a)において、ポリシラン6は第1の配線溝のパターン形成に用いたレジスト(図示せず)をO2 アッシング除去する工程でシリコン酸化膜に変化するが、第2の実施の形態との相違を示すためにポリシラン6として図示されている。
【0089】
次に図6(b)に示すように、前記シリコン酸化膜からなる絶縁膜2と窒化膜4を被覆した金属配線3の上にポリシラン6を塗布し、コンタクトホールの開口位置に合わせてレジスト7をパターン形成する。
【0090】
次に図6(c)に示すように、レジスト7をマスクとして窒化膜4に達するコンタクトホール5をポリシラン6に形成する。O2 アッシングでレジスト7を除去すると同時にポリシラン6をシリコン酸化膜からなる絶縁膜2に変化させ、金属配線3の上の窒化膜4を除去すれば、図6(d)に示すように、第2の実施の形態で説明した図4(b)の絶縁膜2の構造を全てポリシラン6を材料として形成することができる。
【0091】
絶縁膜2に変化したポリシラン6は、一体の絶縁膜2と同様の加工特性を備えているため、図1(c)以降の第1の実施の形態の製造方法を引き続き適用することができる。
【0092】
第3の実施の形態において、図6(a)の第1層の溝配線をポリシランで形成する効果は、シリコン基板1の表面に段差があってもポリシランの平坦な表面が得られることにある。従来のように絶縁膜2を堆積する方法では、下地に段差があれば絶縁膜2の表面にも段差を生じるので、幅と深さが均一な第1の配線溝を形成することができなかった。
【0093】
絶縁膜2を厚く堆積しCMPで平坦化すれば第1の配線溝の形状は改善されるが、研磨に用いるストッパーの粗密等の問題が残り、ウエハ全面に亘って平坦化することは通常困難である。ポリシラン6を塗布すればこの問題を極めて容易に回避することができる。なお本第3の実施の形態において、ボーダレスエッチングに関し第2の実施の形態と同様の効果が得られることはいうまでもない。
【0094】
次に、図7乃至図9を用いて本発明の第4の実施の形態を説明する。従来、高アスペクト比のコンタクトホール加工、または配線溝加工において、異方性RIEのマスクとして用いるレジストの膜減りを生じてレジストの残膜が薄くなり、マスクとして役立たなくなることが問題とされてきた。
【0095】
これを回避するため、レジストを用いてコンタクトホール開口部の逆パターンを形成し、露出した開口外部のポリシラン表面をO2 RIEまたは、O2 のイオン注入によりシリコン酸化膜からなる絶縁膜に変化させ、この絶縁膜をマスクにしてポリシランを開口することにより、良好なコンタクトホールや配線溝を形成することが可能である。
【0096】
すなわち図7(a)に示すように、シリコン基板1の上の絶縁膜2、及び窒化膜4で被覆された金属配線3からなる第1層の溝配線の上にポリシラン6を塗布し、コンタクトホールの形成位置を覆うようにレジスト7をパターン形成する。
次にレジスト7をマスクとして、O2 RIE装置を用いてO2 イオンをポリシラン6の表面に導入する。引き続きO2 アッシングでレジスト7を除去すれば、図7(b)に示すように、ポリシラン6の表面にシリコン酸化膜からなる絶縁膜2が形成される。なお、このレジスト7のO2 アッシングは、レジスト7の除去に必要な最小限度にとどめ、レジスト7の下部に覆われていたポリシラン6の表面がシリコン酸化膜に変化しないようにする。
【0097】
さきにのべたように、絶縁膜2に対するポリシラン6のエッチング選択比は大きくすることができるため、レジスト7を剥離した後、図7(c)に示すように、絶縁膜2をマスクとする異方性RIEを行えば、窒化膜4をエッチングストッパーとしてポリシラン6にコンタクトホール5を形成することができる。
【0098】
引き続き、RIEと同一チャンバー内でO2 アッシングと同様な処理を行えば、ポリシラン6に開口したコンタクトホール5の内面からO2 が導入され、ポリシラン6全体をシリコン酸化膜からなる絶縁膜に変化することができる。
【0099】
このようにして、図8(d)に示すように、第1の配線溝の形成に用いた絶縁膜2と、コンタクトホール5の形成と、エッチングマスクとして用いた絶縁膜とを、全てシリコン酸化膜からなる一体の絶縁膜2として形成することができる。
このとき、エッチングマスクとして用いた絶縁膜2aの開口部周辺の縁の部分が異方性RIEで丸く加工される。この丸い加工部分が過度に生じて構造上の問題となる場合には、図8(d)に示すように、CMPを用いて絶縁膜2a部分を研磨除去すればよい。
【0100】
しかし、図8(d)の絶縁膜2のコンタクトホールが高アスペクト比である場合には、コンタクトホールに金属配線材料を埋め込む際、開口部周辺の縁に生じた丸い加工部分が埋め込みを円滑化する効果があることに注目しなければならない。
【0101】
次に図8(e)に示すように、コンタクトホールを埋め込むように全面にポリシラン6を塗布し、レジスト7を用いて、第2の配線溝の開口部を覆うように逆のパターニングを行う。このレジスト7をマスクとして、O2 RIE装置を用いて酸素をポリシラン6の表面に導入する。
【0102】
次に図7(b)、図7(c)の工程を、図8(f)、図9(g)に示すように繰り返し、引き続き同一チャンバー内でO2 アッシングと同様な処理を行えば、図9(h)に示すように、全てシリコン酸化膜からなる二重溝配線の層間絶縁膜2をシリコン基板1の上に形成することができる。
【0103】
次に図9(i)に示すように、金属配線3の上部のシリコン窒化膜4を除去し、コンタクトホール5と第2の配線溝8とを埋め込むように金属配線の材料を堆積する。金属配線の材料としてはAl−Cu、及びCuを使用することができる。その後、絶縁膜2をストッパーとして過剰に堆積した金属配線除去部3aをCMPにより除去すれば、加工形状が精密に制御された良好な二重溝配線を形成することができる。
【0104】
このとき異方性RIEにより、エッチングマスクとして用いた絶縁膜2aの開口部周辺の縁の丸い加工部分が過度に生じて構造上の問題となる場合には、CMPをさらに進めて絶縁膜除去部2aを研磨除去すれば良い。
【0105】
しかし、図9(h)に示す第2の配線溝8が高アスペクト比である場合には、この配線溝に金属配線材料を埋め込む際、開口部周辺に生じた図9(g)の絶縁膜除去部2aが、前記埋め込み工程を円滑化する効果があることに注目しなければならない。
【0106】
次に、図10を用いて本発明の第5の実施の形態について説明する。図10(a)において、コンタクトホール5を埋め込むようにポリシラン6を全面に塗布する工程までは、図8(e)に示す第4の実施の形態と同様である。
【0107】
前記第4の実施の形態では、ポリシラン6の第2の配線溝8の開口部を覆うようにレジスト7を逆パターニングにより形成したが、第5の実施の形態では、ポリシラン6を全面に塗布した後、レジスト7を用いて配線溝8の形成位置を開口する通常のパターニングを行う。
【0108】
第2層の溝配線が加工の容易なポリシラン6を用いて形成されるので、異方性RIEにおけるレジストマスクの膜減りが小さい。このため図10(b)に示すように、レジスト7を第2の配線溝形成のエッチングマスクとして用いることができる。
【0109】
図10(c)に示すように、レジスト7のO2 アッシング除去工程と同時にポリシラン6をシリコン酸化膜からなる絶縁膜2に変化させれば、シリコン基板1の上の二重溝配線の層間絶縁膜2を一体のシリコン酸化膜からなる絶縁膜にすることができる。
【0110】
なお異方性RIEにおいて、レジスト7の開口周辺の縁に生じる丸い加工部分はレジスト7と共に除去されるので、丸い部分が加工形状として望ましくない場合にはこの方法が有用である。引き続き図2(f)の金属配線材料の埋め込み工程を付加すれば、二重溝配線を形成することができる。
【0111】
前記第1乃至第5の実施の形態では、シリコン酸化膜からなる絶縁膜の形成方法としてO2 プラズマを用いたRIE、又はO2 イオンの注入を用いることをのべたが、必ずしもこれに限定されるものではない。
【0112】
その他O2 雰囲気中における熱酸化、O3 プラズマを用いたアッシング、紫外線光照射、及び硫酸と過酸化水素とを混合した水溶液中への浸漬等により、ポリシランをシリコン酸化膜からなる絶縁膜に変化させることができる。
【0113】
ポリシラン等の有機シリコン膜は、酸化の程度により酸化膜中にカーボンが残留した有機シリコン酸化膜からカーボンは除去されているが、結晶構造はSiO2 に比べて不規則性を含み、かつOH基のある無機シリコン酸化膜から、さらに熱処理が進められて結晶構造がSiO2 と同程度にされたシリコン酸化膜まで種々の段階の絶縁膜に変化させることができる。
【0114】
例えば第1層の溝配線、及びコンタクトホールを有機シリコン酸化膜を用いて形成した後、有機シリコンを塗布して第2の配線溝を形成し、O2 単体のガス中でアッシングする際、温度制御を行って有機シリコンにカーボンを残すこと、又は、O2 とCO2 の混合ガス雰囲気中で熱処理することにより、第2の配線溝を形成する有機シリコンを有機シリコン酸化膜と同程度の誘電率を有する有機膜系のシリコン酸化膜に変化させることができる。
【0115】
また、ポリシラン等の有機シリコンに対して、O2 とCO2 混合ガス雰囲気中での熱処理、又はCOを用いたRIE、又はCOのイオン注入を行うことにより、有機シリコン酸化膜と同程度の誘電率を有する有機膜系のシリコン酸化膜を形成することができる。
【0116】
また、ポリシラン等の有機シリコンに対して、H2 単体のガス、H2 とO2 との混合ガスによるアッシング、RIE、イオン注入、又は熱処理することにより無機シリコン酸化膜を形成することができる。
【0117】
また、ポリシラン等の有機シリコンに対して、N2 単体のガス、N2 とO2 との混合ガス雰囲気中で熱処理すること、又はこれらのガスを用いてアッシング、RIE、又はイオン注入することにより、シリコン酸化窒化膜、シリコン窒化膜を形成することができる。
【0118】
これらの各種の有機膜系のシリコン酸化膜、シリコン酸化窒化膜、シリコン窒化膜は、いずれも本発明のコンタクトホールや配線溝のドライエッチング加工等に用いることができる。
【0119】
次に、図11を用いて本発明の第6の実施の形態について説明する。第6の実施の形態では、図11(a)に示すように、二重溝配線の層間絶縁膜形成において、第1層の溝配線とコンタクトホール形成までが有機シリコン酸化膜2bを用いて行なわれる。有機シリコン酸化膜2bの形成方法は、図6(a)乃至図6(d)を用いて第3の実施の形態でのべた方法と同様に行われるため、詳細な説明を省略する。
【0120】
図11(a)に示すように、前記有機シリコン酸化膜2bの上に、コンタクトホールを埋め込むようにポリシラン6を塗布し、レジスト7を用いて第2の配線溝の形成部分を開口する。次に図11(b)に示すように、レジスト7をエッチグマスクとして異方性RIEを用いて、ポリシラン6に第2の配線溝8を形成し、さらにコンタクトホール5を満たすポリシラン6を窒化膜4が露出するまで除去する。
【0121】
引き続きO2 とCO2 との混合ガスを用いたアッシングを行い、レジスト7を除去すると同時に、ポリシラン6が有機シリコン酸化膜2bの一部として等しい誘電率を持つよう変化させる。このようにして、全て一体の有機シリコン酸化膜からなる二重溝配線の層間絶縁膜を形成することができる。
【0122】
次に、図12を用いて本発明の第7の実施の形態について説明する。第7の実施の形態では、第2の配線溝形成のためのエッチングストッパーとなるポリシラン6aを埋め込むように、二重溝配線の層間絶縁膜全体が有機シリコン酸化膜2bを用いて形成される。
【0123】
図12(a)に示すように、ポリシラン6aからなるエッチングストッパーにはあらかじめ開口部が設けられ、コンタクトホール形成のためのエッチングマスクとしても役立てられる。さらに有機シリコン酸化膜2bの上に反射防止膜としてポリシラン6を塗布し、レジスト7を用いて第2の配線溝の形成領域に開口部を設ける。
【0124】
次に図12(b)に示すように、RIEを用いてポリシラン6からなる反射防止膜を除去し、引き続き有機シリコン酸化膜2bに対するRIE条件を用いて窒化膜4に達する異方性エッチングを行えば、1回のRIE工程でコンタクトホール5と配線溝8とを形成することができる。
【0125】
このとき、C4 8 /CO/Ar/O2 混合ガスの流量比を10/50/200/8sccmとし、圧力40mTorr、高周波電力1400W印加のRIE条件で異方性エッチングすれば、ポリシラン6の有機シリコン酸化膜に対するエッチング選択比を10以上とすることができるので、ポリシラン6をコンタクトホール5のエッチングマスクとすると同時に、第2の配線溝8形成のエッチングストッパーとして用いることができる。
【0126】
次に図12(c)に示すように、金属配線3の上部を被覆するシリコン窒化膜4を除去した後、O2 とCO2 との混合ガスを用いてアッシングを行い、レジスト7を除去すると同時にポリシラン6、6aが有機シリコン酸化膜2bの一部として等しい誘電率を示すように変化させる。このようにして、全て一体の有機シリコン酸化膜からなる二重溝配線の層間絶縁膜を形成することができる。
【0127】
上記第7の実施の形態において、ポリシラン6aを埋め込むように有機シリコン酸化膜2bを形成しなければならない。すなわち、有機シリコン酸化膜2bの形成過程でポリシラン6aの酸化を防ぐ必要がある。ポリシラン6aの酸化防止のためには、例えばポリシラン6aの表面を薄いプラズマSiO2 膜で覆う等の中間処理を加えてO2 の侵入に対するバリアを形成すればよい。
【0128】
薄いSiO2 膜は、図12(b)の工程でポリシラン6aの表面から除去されるので、図12(c)の工程でポリシラン6aが有機シリコン酸化膜の一部に変化する妨げにはならない。
【0129】
第7の実施の形態では、層間絶縁膜の材料として有機シリコン酸化膜を用いる場合について説明したが、必ずしも有機シリコン酸化膜に限定されるものではない。層間絶縁膜の材料として無機シリコン酸化膜、シリコン酸化膜を用いる際、同様にポリシラン6aをエッチングストッパー及びエッチングマスクとして使用することができる。
【0130】
このとき、反射防止膜6としてポリシランを用いるが、必ずしもポリシランに限定されるものではなく、例えば有機膜系の反射防止膜を用いてもよい。有機膜系の反射防止膜を用いればレジスト7をアッシングにより除去する際、反射防止膜も共に除去することができる。
【0131】
次に、図13を用いて、本発明の第8の実施の形態について説明する。第8の実施の形態では、ポリシランをシリコン窒化膜に変化させ、高アスペクト比のコンタクトホール形成のマスクとして用いる。
【0132】
図13(a)に示すように、第1層の溝配線を絶縁膜2を用いて形成し、その上に再度、絶縁膜2を堆積して、第1の溝配線を厚い絶縁膜2で埋め込み、表面を平坦化する。次にポリシラン6を塗布し、その上に高アスペクト比のコンタクトホール開口用のレジスト7をパターン形成する。ポリシラン6はレジスト7を開口するリソグラフィー工程の露光の反射防止膜である。
【0133】
次に、レジスト7をエッチングマスクとして、異方性RIEにより絶縁膜2にコンタクトホール5を形成し、金属配線3を被覆する窒化膜4を露出させる。このとき窒化膜4は異方性RIEのストッパーとなる。
【0134】
引き続き図13(b)に示すように、レジストマスク7をN2 アッシングにより除去すると同時にポリシラン6からなる反射防止膜をシリコン窒化膜4に変化させる。このシリコン窒化膜4と金属配線3を被覆するシリコン窒化膜4とをホット燐酸処理、あるいはCDE(Chimical Dry Etching)によりエッチング除去すれば、図13(c)に示すように、第1層の金属配線3に達する高アスペクト比のコンタクトホール5が得られる。
【0135】
第8の実施の形態は、従来O2 アッシングでレジスト7を除去する際、表面に残留して除去が困難であったポリシラン6からなる反射防止膜を、N2 アッシングでレジスト7を除去すると同時にシリコン窒化膜に変化し、ウエットエッチング、あるいはCDEにより容易に除去する点に特徴がある。
【0136】
次に、図14を用いて本発明の第9の実施の形態について説明する。第9の実施の形態は第8の実施の形態の変形例であり、高アスペクト比のコンタクトホールを直接シリコン基板1に達するように形成する場合を示している。
【0137】
図14(a)に示すように、シリコン基板1の上に直接厚い絶縁膜2を形成し、ポリシラン6からなる反射防止膜を塗布し、その上にコンタクトホールの開口部を設けたレジスト7をパターン形成する。
【0138】
このレジスト7をエッチングマスクとして、異方性RIEを用いてシリコン基板1の表面に達するコンタクトホール5を形成し、引き続き図14(b)に示すように、N2 アッシングによりレジスト7を除去し、同時にポリシラン6からなる反射防止膜を窒化膜4に変化する。
【0139】
窒化膜4に変化した反射防止膜をホット燐酸処理で除去すれば、図14(c)に示すシリコン基板の表面に達する高アスペクト比のコンタクトホールを形成することができる。
【0140】
次に、図15を用いて本発明の第10の実施の形態について説明する。第10の実施の形態はコンタクトホール5を形成後、ポリシラン6からなる反射防止膜を絶縁膜2の一部とする点が第8の実施の形態と異なる。
【0141】
図15(a)に示すように、シリコン基板1の上に直接厚い絶縁膜2を形成し、ポリシラン6からなる反射防止膜を塗布し、その上にコンタクトホールの開口部を設けたレジスト7をパターン形成する。
【0142】
このレジスト7をエッチングマスクとして、異方性RIEを用いてシリコン基板1の表面に達するコンタクトホール5を開口し、引き続き図15(b)に示すように、O2 アッシングによりレジスト7を除去すると同時にポリシラン6をシリコン酸化膜に変化すれば、反射防止膜を絶縁膜2の一部とすることができる。第10の実施の形態において、はじめにシリコン基板1の上に形成する絶縁膜2として、ポリシランを酸化した絶縁膜を用いれば、図15(b)におけるシリコン基板1に達するコンタクトホールが反射防止膜を含めて一体のシリコン酸化膜からなる絶縁膜として形成することができる。
【0143】
なお、シリコン基板1の上に形成する絶縁膜2としてポリシランから変化したシリコン窒化膜を用い、レジスト7をN2 アッシングにより除去すれば、反射防止膜として用いたポリシラン6がシリコン窒化膜に変化するので、前記コンタクトホールを反射防止膜を含めて一体のシリコン窒化膜からなる絶縁膜として形成することができる。
【0144】
第10の実施の形態では、シリコン基板1に達するコンタクトホールを形成する場合について説明したが、同様にして第1層の溝配線へのコンタクトホールを形成することができる。
【0145】
次に、図16を用いて本発明の第11の実施の形態について説明する。図16(a)に示すように、シリコン基板1の上に厚い絶縁膜2を形成し、ポリシラン6からなる反射防止膜を塗布し、コンタクトホールの開口部を設けたレジスト7をパターン形成した後、レジスト7をマスクとして異方性RIEによりポリシラン6を開口する。引き続き図16(b)に示すように、N2 アッシングを行ってレジスト7を除去すると同時に、ポリシラン6をシリコン窒化膜に変化させる。次に、図16(c)に示すように、シリコン窒化膜4をマスクとして異方性RIEによりシリコン基板1に達する高アスペクト比のコンタクトホールを形成する。
【0146】
直接レジストマスクを用いて、異方性RIEによる高アスペクト比のコンタクトホール加工を行えばレジストマスクの膜減りを生じるが、第11の実施の形態に示すように、シリコン窒化膜4を異方性RIEのマスクとして用いればSiO2 等からなる絶縁膜2との間で高いエッチング選択比がとれるので、前記膜減りの問題を回避することができる。
【0147】
図16(c)において、絶縁膜2の上のシリコン窒化膜4はホット燐酸で除去してもよいし、絶縁膜2をポリシランから変化したシリコン窒化膜として絶縁膜2の一部として一体化してもよい。このときシリコン窒化膜4の開口部周辺に生じた丸い加工部分は、コンタクトホール5に金属配線材料を円滑に埋め込むのに役立つ。
【0148】
次に、図17を用いて本発明の第12の実施の形態について説明する。図17(a)に示すように、シリコン基板1に厚いシリコン酸化膜からなる絶縁膜2を形成し、その上にポリシラン6からなる反射防止膜を塗布する。レジスト7を用いて、コンタクトホール開口部を覆うようにレジスト7の逆パターンを形成する。次にN2 を用いたRIEにより、ポリシラン4の露出した表面をシリコン窒化膜4に変化させた後、レジスト7を除去する。
【0149】
次に図17(b)に示すように、シリコン窒化膜4をマスクとして異方性RIEを行い、ポリシラン6とシリコン酸化膜からなる絶縁膜2にシリコン基板1に達するコンタクトホールを開口する。
【0150】
引き続きO2 アッシングと同様な処理を行って、ポシラン6をシリコン酸化膜に変化させれば、図17(c)に示すように、ポリシラン6は前記絶縁膜2の一部として一体化される。
【0151】
ホット燐酸を用いてマスクとして用いたシリコン窒化膜4を除去すれば、シリコン酸化膜からなる絶縁膜2にシリコン基板1に達する高アスペクト比のコンタクトホールが形成される。第12の実施の形態でも、高アスペクト比のコンタクトホールのエッチングマスクとしてシリコン窒化膜が使用されるので、膜減りの問題を生じる恐れはない。
【0152】
次に、図18を用いて本発明の第13の実施の形態について説明する。図18(a)に示すように、シリコン基板1の上に厚い有機シリコン酸化膜2bを形成し、ポシラン6からなる反射防止膜とコンタクトホール5の形成部を開口したレジスト7とを設ける。引き続きレジスト7を異方性RIEのマスクとして、シリコン基板1に達するコンタクトホールを形成した後、図18(b)に示すようにO2 アッシングによりレジスト7を除去する。
【0153】
このO2 アッシング工程で、ポリシラン6はシリコン酸化膜からなる絶縁膜2に変化する。このとき有機シリコン酸化膜2bとシリコン酸化膜からなる絶縁膜2との間には、希弗酸に対するエッチング選択性があるので、図18(c)に示すように、ポリシラン6から変化したシリコン酸化膜からなる絶縁膜2のみを希弗酸を用いて容易に除去することができる。
【0154】
前記第11乃至第13の実施の形態では、シリコン基板1に達するコンタクトホールを形成する場合について説明したが、同様にして第1層の溝配線へのコンタクトホールが形成されることはいうまでもない。
【0155】
以上の第1乃至第13の実施の形態においては、主として二重溝配線の層間絶縁膜やコンタクトホールの加工部分にポリシラン等の有機シリコン膜を用る方法について説明したが、必ずしもこれに限定されるものではない。ポリシラン等の有機シリコン膜は、ドライエッチングを用いた半導体装置の製造方法としてさらに広く適用し得ることを以下の実施の形態を例として説明する。
【0156】
図19、図20を用いて第14の実施の形態について説明する。第14の実施の形態は、素子分離領域と素子領域との平坦化形成工程にポリシランを用いた例である。
【0157】
図19(a)に示すように、シリコン基板1にポリシラン6を塗布し、MOS(Metal-Oxide-Semiconductor) トランジスタ等を形成する素子領域を覆うようにレジスト7をパターン形成する。次に、流量75sccmのCl2 と、流量10sccmのO2 との混合ガスを用いて、圧力75mTorr、高周波電力300W印加の条件で、図19(b)に示すように、レジスト7をマスクとする異方性RIEによりポリシラン6とシリコン基板1とをエッチングする。
【0158】
引き続き図19(c)に示すように、N2 アッシングを行ってレジスト7を除去すると同時に、ポリシラン6をシリコン窒化膜4に変化させる。次に図20(d)に示すように、シリコン基板1に形成された素子分離用の分離溝を埋め込むように全面にポリシラン6を塗布する。
【0159】
ポリシラン6は流動性があるので、塗布によりポリシラン6の表面は平坦化されるが、さらにCMPによりシリコン窒化膜4をストッパーとしてシリコン窒化膜の上の過剰のポリシラン6を除去し、前記分離溝の開口部が丁度ポリシランで平坦に満たされるようにする。
【0160】
次に図20(e)に示すように、O2 アッシングと同様な処理をすれば、分離溝を満たすポリシラン6をシリコン酸化膜からなる絶縁膜2に変化することができる。上記の例では分離溝の埋め込みにポリシランを用いたが、必ずしもポリシランに限定されるものではない。塗布により成膜される有機、及び無機シリコン酸化膜でも同様に分離溝の埋め込みに用いることができる。
【0161】
さきにのべたように、従来、分離溝の形成工程には、ポリシランに比べて加工が困難なSiO2 からなる絶縁膜を厚く堆積し、分離溝に沿って大きな凹凸面となった絶縁膜の表面をSi3 4 からなるシリコン窒化膜をストッパーとしてCMPにより平坦化する方法が用いられてきた。したがってストッパーで覆われた素子領域の分布の粗密により、例えばストッパーが粗に分布するフイールド領域では研磨が過度に進行し、表面が凹面状になるという問題を生じていた。
【0162】
しかし、第14の実施の形態では、SiO2 の代わりに極めて研磨容易なポリシランを塗布することにより、その表面を当初から平坦にすることができ、またシリコン窒化膜上に僅かに残留する薄膜状のポリシランのみをCMPにより除去すればよいのでCMP後の表面平坦性は従来の方法に比べて大幅に改善される。次に、図21を用いて本発明の第15の実施の形態について説明する。第15の実施の形態は、シリコン基板1に形成されたMOSトランジスタのゲート電極間に設けられた、ソース/ドレイン拡散層9に達するコンタクトホールを自己整合的に形成するSACのドライエッチング加工部分に、本発明のポリシランを用いる方法を示すものである。
【0163】
図21(a)に示すように、MOSトランジスタのソース/ドレイン拡散層9が形成されたシリコン基板1の上にゲート酸化膜10を形成し、このゲート酸化膜10の上にポリシリコン11とタングステン12とシリコン窒化膜4とを積層し、パターン形成することによりMOSトランジスタのゲート電極を形成し、このゲート電極の周囲をシリコン窒化膜4で被覆する。
【0164】
次に、前記ゲート電極が形成されたシリコン基板1の全面に、例えばSiO2 からなる絶縁膜2を堆積し、CMPによりその表面を平坦化する。この平坦化された絶縁膜上にさらにポリシラン6を塗布し、セルフアラインコンタクトホールの形成部分を開口したレジスト7をパターン形成する。
【0165】
次に図21(b)に示すように、異方性RIEによりレジスト7をマスクとしてポリシラン6を開口する。さらに図21(c)に示すように、ゲート電極周囲を被覆するシリコン窒化膜4からなるエッチングストッパーに達するまで、セルフアラインコンタクトホール13を異方性RIEにより形成する。
【0166】
このとき、セルフアラインコンタクトホール13の内部に露出したゲート電極の稜線部分では、前記ゲート電極の周囲を覆う窒化膜4のシリコン酸化膜2に対するエッチング選択比が低下するため、セルフアラインコンタクトホール13の異方性RIEで、図21(c)に示すように、前記窒化膜4の稜線部分の角が削られるようになる。
【0167】
このゲート電極の角の削れは、ゲート電極最上層のシリコン窒化膜ストッパー4により保護されるので、ポリシラン6に比べて加工困難な絶縁膜2のエッチング深さが小さい場合には問題とならない。
【0168】
すなわち、第15の実施の形態において、絶縁膜2を薄くしてその上にポリシラン6を積層することにより、ゲート電極の稜線部が露出するまでの絶縁膜2のエッチング深さを最小にしている。
【0169】
第15の実施の形態では、図21(d)に示すように、O2 アッシングによりレジスト7を除去すると同時にポリシラン6をシリコン酸化膜として絶縁膜2の一部となるように変化させ、ソース/ドレイン拡散層9を覆うシリコン窒化膜4とゲート酸化膜10とを除去し、金属配線材料をセルフアラインコンタクトホール13に埋め込むことにより、ソース/ドレイン拡散層9に接続されたSACが形成される。
【0170】
ここで、図21(a)に示す絶縁膜2の厚さが従来のようにポリシラン6との合計値まで厚くされれば、ポリシラン6に比べて絶縁膜2をエッチングするのにより強力な異方性RIEが必要となるので、ゲート電極の稜線部の削れ量が増加しゲート電極のポリシリコン12が露出すれば、金属配線材料をセルフアラインコンタクトホール13に埋め込む工程でゲート電極とソース/ドレイン拡散層9とが短絡することになる。
【0171】
すなわち第15の実施の形態では、絶縁膜2とポリシラン6とを積層し、後にポリシラン6を絶縁膜2の一部となるよう変化させ、実質的に絶縁膜2の異方性RIEによる加工量を減少させ、ゲート電極とソース/ドレイン拡散層9とが短絡するのを回避して半導体装置の歩留まりを向上させることに特徴がある。
【0172】
次に、図22、図23を用いて本発明の第16の実施の形態について説明する。図22(a)に示すように、ソース/ドレイン拡散層9を備えたシリコン基板1の上にゲート酸化膜10を形成し、ポリシリコン11、タングステン12、シリコン窒化膜4を積層したゲート電極をパターン形成した後、ゲート電極の周囲をシリコン窒化膜4で被覆する。ここまでの工程は前記図21(a)と同様である。
【0173】
次に図22(b)に示すように、ゲート電極を被覆するシリコン窒化膜4の上にさらにシリコン酸化膜10を被覆する。この工程後のシリコン基板1の上部全面にポリシラン6を厚く塗布する。第16の実施の形態では、ゲート電極を埋め込む絶縁膜がほぼポリシラン6からなることに特徴がある。
【0174】
セルフアラインコンタクトの形成位置に、レジスト7の開口部をパターン形成し、レジスト7をマスクとして、厚いポリシラン膜6にセルフアラインコンタクトホール13を開口する。このとき、ポリシラン6は、異方性RIEにより容易に除去できるので、ゲート電極を被覆するシリコン酸化膜10がストッパーとなり、ゲート電極稜線部の削れを完全に回避し、半導体装置の歩留まりを向上することができる。
【0175】
次に図22(c)に示すように、O2 アッシングによりレジスト7を除去すると同時にポリシラン6をシリコン酸化膜に変化させる。
【0176】
次に図23(d)に示すように、ゲート電極を被覆する酸化膜10をエッチングにより除去する。このときゲート電極を被覆するシリコン窒化膜4の稜線部の削れを最大限に抑制するため、シリコン酸化膜エッチングはシリコン窒化膜との選択比が高いRIE条件で行う。なおポリシラン6から変化した絶縁膜2とゲート電極を被覆する酸化膜10との間にはエッチング選択比がとれるので、このようにして前記酸化膜10のみをエッチング除去することができる。
【0177】
さらに図23(e)に示すように、異方性RIEを用いてソース/ドレイン拡散層を覆うシリコン窒化膜4とゲート酸化膜10とを除去する。このときゲート電極の稜線部分を覆うシリコン窒化膜に多少の削れを生じるが、ドライエッチング量が僅かであるため、ゲート電極とソース/ドレイン拡散層9との間に短絡不良を生じる恐れはない。
【0178】
次に、図24を用いて本発明の第17の実施の形態を説明する。第17の実施の形態は第16の実施の形態の変形例である。図24(a)に示すように、図22(a)の工程を経たシリコン基板1の上のゲート電極に、さらに厚いシリコン酸化膜10を被覆し、図24(b)に示すように、異方性RIEを用いてエッチバックすることによりゲート側壁の酸化膜10を形成する。
【0179】
次に、図24(c)に示すように厚いポリシラン6を塗布し、セルフアラインコンタクト形成部分を開口したレジスト7をパターン形成する。このレジスト7をマスクとして異方性RIEを用いてポリシラン6にセルフアラインコンタクトホール13を形成する。このポリシランの異方性RIEにおいて、ゲート側壁の酸化膜がエッチングストッパーとなるのでゲート電極稜線部の削れは生じない。次にO2 アッシングにより、レジスト7を除去すると同時にポリシラン6をシリコン酸化膜からなる絶縁膜2に変化する。その後の工程は図22(c)以降と同様にして、ソース/ドレイン拡散層9に接続されたSACが形成される。
【0180】
なお上記の説明では、図24(b)においてゲート電極を覆う厚いシリコン酸化膜10をエッチバックして、図24(b)のゲート側壁のシリコン酸化膜10としたが、必ずしもエッチバックする必要はなく、エッチング条件を最適化すれば、厚いシリコン酸化膜10のままでも同様の効果を得ることができる。
【0181】
次に、図25を用いて本発明の第18の実施の形態について説明する。第18の実施の形態では、ポリシランを配線溝の加工に適用する例を示す。図25(a)に示すように、シリコン基板1の上にシリコン酸化膜からなる絶縁膜2を形成し、この絶縁膜2の上にポリシラン6を塗布する。
【0182】
ポリシラン6の上の配線溝の形成位置にレジスト7を開口し、このレジスト7をマスクとして、図25(b)に示すように、異方性RIEを用いて配線溝8を形成する。このときシリコン酸化膜からなる絶縁膜2に対するポリシラン6のエッチング選択比が非常に高いことから、絶縁膜2は異方性RIEのストッパーとなり、一定深さの配線溝8が形成される。
【0183】
次に図25(c)に示すように、O2 アッシングを行ってレジスト7を除去すると同時に、ポリシラン6をシリコン酸化膜からなる絶縁膜2の一部に変化させる。さきにのべたようにポリシラン6は塗布により平坦化し、異方性RIEの条件を制御すれば、必ずしも絶縁膜2からなるエッチングストッパーがなくてもポリシラン6に配線溝8を形成することができる。
【0184】
しかし、一般に第1層の溝配線はもっとも微細化が要求され、また一定以上のアスペクト比(配線幅と高さとの比)が必要な場合には、第18の実施の形態に示すようにエッチングストッパーとして絶縁膜2を用いた方が良好な結果が得られる。
【0185】
なお第18の実施の形態において、エッチングストッパーとしてシリコン酸化膜を用いたが、有機シリコン酸化膜、無機シリコン酸化膜を用いても同様な結果がえられる。このとき下地に合わせてポリシランの種類を選択すればさらに良好な結果が得られる。
【0186】
次に、図26、図27を用いて、本発明の第19の実施の形態について説明する。第19の実施の形態は、シリコン基板への深いシリコントレンチ形成に用いる高アスペクト比の絶縁膜マスクの開口部形成方法を示すものである。
【0187】
図26(a)に示すように、シリコン基板1の上にエッチングストッパーとして熱酸化膜10を形成し、その上に下層のポリシラン6を塗布する。下層のポリシラン6に上に、例えばシリコン酸化膜からなる絶縁膜2を堆積した後、反射防止膜として再度上層のポリシラン6を塗布し、深いトレンチの形成位置を覆うようにレジスト7の逆パターンを形成する。このレジスト7をマスクとしてN2 RIE又はN2 イオン注入を行い、露出した上層のポリシラン6の表面をシリコン窒化膜4に変化させる。
【0188】
レジスト7の除去後、シリコン窒化膜4をマスクとしてシリコン窒化膜に対するポリシランのエッチング選択比が高い、Cl2 /O2 の流量比75/10sccm、圧力75mTorr、高周波電力300W印加の条件で異方性RIEを行い、まず反射防止膜となる上層のポリシラン6を開口する。
【0189】
引き続きシリコン窒化膜4に対して、シリコン酸化膜からなる絶縁膜2のエッチング選択比が高いC4 8 /CO/Arの流量比10/50/200sccm、圧力40mTorr、高周波電力1400W印加の条件で異方性RIEを行い、図26(b)に示すように下層のポリシラン6までのトレンチ14aを形成する。
【0190】
このエッチング条件ではポリシラン6とのエッチング選択比がとれるので、下層のポリシラン6がエッチングストッパーとなり、トレンチ14aを制御性良く形成することができる。
【0191】
次に、シリコン窒化膜4及びシリコン酸化膜10に対する下層のポリシラン6のエッチング選択比が高い条件で、図26(c)に示すように、シリコン熱酸化膜10をエッチングストッパーとしてトレンチ14bを形成する。
【0192】
引き続き前記シリコン窒化膜に対するシリコン熱酸化膜10のエッチング選択比が高い条件を用いて、図27(d)に示すように、シリコン熱酸化膜10を除去する。このときシリコン基板1の表面がストッパーとなり、シリコン表面までのトレンチ14cを制御性良く形成することができる。
【0193】
最後に図27(e)に示すように、N2 雰囲気中の熱処理により上層と下層のポリシラン6をシリコン窒化膜4に変化させる。このようにしてシリコン基板1の上に、熱酸化膜10を介して上下に窒化膜4で挟まれた絶縁膜2に高アスペクト比のトレンチが形成された深いトレンチマスク14を形成することができる。なおこの最後の処理は、シリコン熱酸化膜10の除去前に行うことも可能である。また上層のシリコン窒化膜4は除去してもよい。
【0194】
第19の実施の形態に示した深いトレンチマスクの形成方法は、異方性RIEの途中で、数回のエッチングストッパーによる加工制御が行われる点に特徴がある。この方法によれば、従来不可能であった深さまで高い精度でエッチングマスクにトレンチ加工を施すことができる。
【0195】
なお最後に行うN2 雰囲気中の熱処理に代えて、異方性RIEに用いた条件をN2 流量100sccm、圧力150mTorr、高周波電力100W印加に変更し、N2 ラジカルの多い条件にして引き続き処理すればポリシラン6がシリコン窒化膜に変化し、工程数の削減に役立てることができる。
【0196】
次に、図28を用いて本発明の第20の実施の形態について説明する。図28(a)に示すように、シリコン基板1の上にエッチングストッパーとして熱酸化膜10を形成し、下層のポリシラン6を塗布し、シリコン酸化膜からなる絶縁膜2を堆積する。さらに反射防止膜として上層のポリシラン6を塗布し、トレンチの形成部分を開口したレジスト7をパターン形成する。次にレジスト7をエッチングマスクとして反射防止膜となる上層のポリシラン6を開口する。
【0197】
引き続き図28(b)に示すように、N2 アッシングによりレジスト7を除去すると同時に上層のポリシラン6をシリコン窒化膜4に変化させ、この窒化膜4をマスクとして異方性RIEにより下層のポリシラン6に達するトレンチ14aを形成する。
【0198】
次に図28(c)に示すように、異方性RIEの条件を切り換えて熱酸化膜10をエッチングストッパーとして下層のポリシラン6にトレンチ14bを形成する。引き続きN2 アッシングと同様な処理を行い、下層のポリシラン6をシリコン窒化膜に変化させる。最後にシリコン熱酸化膜10を除去すればシリコン基板1の表面に達する深いトレンチマスクを形成することができる。なお、ここでN2 アッシングはシリコン熱酸化膜10の除去後に行ってもよい。
【0199】
第20の実施の形態において、ドライエッチング条件の切替えは第19の実施の形態に準じて行うことができる。また各層がエッチングストッパーとして働くために、第19の実施の形態と同様に、高い制御性で高アスペクト比のエッチングマスクを形成することができる。
【0200】
次に、図29を用いて本発明の第21の実施の形態について説明する。第21の実施の形態は、半導体基板1の上にMOSトランジスタのゲート電極をパターン形成する際、ポリシラン6を用いる工程を示すものである。
【0201】
図29(a)に示すように、シリコン基板1の表面に熱酸化膜10からなるゲート絶縁膜を形成し、その上にゲート電極材料としてポリシリコン11とタングステン12からなる膜を形成する。その全面にポリシラン6を塗布し、さらにゲート電極形成部分を覆うようにレジスト7をパターン形成する。
【0202】
次に図29(b)に示すように、このレジスト7をエッチングマスクとして異方性RIEを行い、ポリシリコン11とタングステン12とポリシラン6とからなる積層膜をゲート電極としてパターン形成する。
【0203】
引き続き図29(c)に示すように、N2 アッシングを行ってレジスト7を除去すると同時にゲート電極の最上層にパターン形成されたポリシラン6をシリコン窒化膜4に変化させる。このシリコン窒化膜4を備えたゲート電極マスクとして、イオン注入と熱処理によりソース/ドレイン拡散層9を自己整合的に形成し、シリコン基板上のMOSトランジスタを得ることができる。なおゲート電極のポリシリコン11とタングステン12とは、熱処理によりタングステンシリサイドとされる。
【0204】
従来ポリシリコンとタングステンとシリコン窒化膜とからなる積層膜をゲート電極としてパターン形成するには、まずレジストをマスクとしてシリコン窒化膜をパターン形成し、次にこのシリコン窒化膜をマスクとしてタングステンとポリシリコンとパターン形成するという2段階の工程が必要であった。
【0205】
第21の実施の形態ではCl2 /O2 の流量比75/10sccm、圧力75mTorr、高周波電力300W印加のポリシラン6に対するエッチング条件で、同時にタングステン12とポリシリコン11とをパターン形成することができるので工程数の削減になり、制御性よくゲート電極を加工することができる。このときタングステンシリサイドの形成を先に行って、ポリシリコンとタングステンシリサイドとを同時にパターン形成することも可能である。
【0206】
以上の各実施の形態におけるRIEやアッシング工程に使用したドライエッチング装置の構成を図30に示す。このドライエッチング装置は真空チャンバー15と、シリコンウエハ等の被処理物16と、この被処理物の載置台17と、対向電極18と、ガス導入管19と、排気口20と、高周波源21と、磁石22とから構成される。
【0207】
載置台17は温度調節機構を有し、被処理物16の温度を制御することができる。また対向電極18をなす真空チャンバーの天壁にはガス導入管19が接続されている。ガス導入管19から真空チャンバーにガスが導入され、排気口20の弁により圧力が調整される。
【0208】
圧力が安定化した後、載置台17下の高周波源17から高周波を印可し、真空チャンバー内にプラズマを発生させる。また真空チャンバーの外周部には磁石22が設けられ、真空中に高密度な磁界を作ると同時にプラズマ中のイオンに異方性を付与し被処理物16をエッチングする。
【0209】
図29に示すドライエッチング装置はマグネトロンRIE装置であるが、これほかECR(Electron Cycrotron Resonance)、ヘリコン、誘導結合型プラズマ等の他のドライエッチング装置を使用することも可能である。
【0210】
最後にポリシラン等を用いた塗布法による有機シリコン膜の形成方法について詳細に説明する。まずシリコンとシリコンとの結合を主鎖とする有機シリコン化合物(請求項において有機シリコンと総称する)を有機溶剤に溶解して溶液材料を作成する。
【0211】
シリコンとシリコンとの結合を主鎖とする有機シリコン化合物としては、例えば一般式(SiR1112)で表わされるポリシランがあげられる。ここでR11、R12は水素原子または炭素数1乃至20の置換もしくは非置換の脂肪族炭化水素、又は芳香族炭化水素等を示す。
【0212】
ポリシランは単独重合体又は共重合体のいずれでもよい。また2種以上のポリシランが酸素原子、窒素原子、脂肪族基、芳香族基を介して互いに結合した構造を有するものでもよい。有機シリコン化合物の具体例を化1乃至化15に示す。
【0213】
【化1】
Figure 0004270632
【0214】
【化2】
Figure 0004270632
【0215】
【化3】
Figure 0004270632
【0216】
【化4】
Figure 0004270632
【0217】
【化5】
Figure 0004270632
【0218】
【化6】
Figure 0004270632
【0219】
【化7】
Figure 0004270632
【0220】
【化8】
Figure 0004270632
【0221】
【化9】
Figure 0004270632
【0222】
【化10】
Figure 0004270632
【0223】
【化11】
Figure 0004270632
【0224】
【化12】
Figure 0004270632
【0225】
【化13】
Figure 0004270632
【0226】
【化14】
Figure 0004270632
【0227】
【化15】
Figure 0004270632
【0228】
なお式中のm、nは正の整数である。これらの化合物の重量平均分子量の値は特に限定されるものではないが、200乃至100,000の範囲内であることが望ましい。その理由は、分子量が200未満では有機シリコン膜がレジストの溶剤に溶解してしまい、一方100,000を超えれば有機溶剤に溶解し難く溶液材料の作成が困難になるためである。
【0229】
有機シリコン化合物は一種類に限定されるものではなく、数種類の化合物を混合して用いてもよい。また必要に応じて貯蔵安定性をはかるために、熱重合防止剤、シリコン系絶縁膜への密着性を向上させるための密着性向上剤、シリコン系絶縁膜からレジスト膜中ヘの反射光の防止に役立つ紫外光の吸収染料、ポリサルフォン、ポリベンズイミダゾールなどの紫外光を吸収するポリマー、導電性物質、光、熱で導電性が生じる物質、又は有機シリコン化合物を架橋させる架橋剤を添加してもよい。
【0230】
導電性物質としては、例えば有機スルフォン酸、有機カルボン酸、多価アルコール、多価チオール(例えばヨウ素、臭素)、SbF5 、PF5 、BF5 、SnF5 などがあげられる。
【0231】
光、熱等のエネルギーで導電性が生じる物質としては、炭素クラスタ(C60、C70)、シアノアントラセン、ジシアノアントラセン、トリフェニルピリウム、テトラフルオロボレート、テトラシアノキノジメタン、テトラシアノエチレン、フタルイミドトリフレート、パークロロペンタシクロドデカン、ジシアノベンゼン、ベンゾニトリル、トリクロロメチルトリアジン、ベンゾイルペルオキシド、ベンゾフエノンテトラカルボン酸、t−ブチルペオキシドなどがあげられる。具体的には次の化合物があげられる。
【0232】
【化16】
Figure 0004270632
【0233】
【化17】
Figure 0004270632
【0234】
【化18】
Figure 0004270632
【0235】
【化19】
Figure 0004270632
【0236】
【化20】
Figure 0004270632
【0237】
【化21】
Figure 0004270632
【0238】
【化22】
Figure 0004270632
【0239】
【化23】
Figure 0004270632
【0240】
【化24】
Figure 0004270632
【0241】
【化25】
Figure 0004270632
【0242】
架橋剤としては、例えば多重結合を有する有機ケイ素化合物や、アクリル系の不飽和化合物があげられる。溶剤としては、極性の有機溶剤でも無極性の有機溶剤でもよく、具体的には乳酸エチル(EL)、エチル−3−エトキシプロピオネート(EEP)、プロピレングリコールモノメチルエーテルアセテート (PGMEA)、プロピレングリコールモノメチルエーテル(PGME)等や、シクロヘキサノン、2−ヘプタノン、3−ヘプタノン、アセチルアセトン、シクロペンタノンなどのケトン類、プロピレングリコールモノエチルエーテルアセテート、エチルセロソルブアセテート、メチルセロソルブアセテート、メチル−3−メトキシプロピオネート、エチル−3−メトキシプロピオネート、メチル−3−エトキシプロピオネート、ピルビン酸メチル、ピルビン酸エチルなどのエステル類、ジエチレングリコールジメチルエーテル、プロピレングリコールジメチルエーテルなどのエーテル類、乳酸メチル、グリコール酸エチルなどのグリコール酸エステル誘導体などがあげられるが、これらに限定されるものではない。
【0243】
以上の方法で塗布材料を作成し、シリコン系絶縁膜上に、例えばスピンコーティング法等を用いて溶液材料を塗布した後、加熱して溶剤を気化することにより有機シリコン膜を形成する。この段階でレジストに対して十分なエッチング選択比を示すガラス転移温度が得られればよいが、レジストに対して十分なエッチング選択比が得られない場合には、さらに塗膜に対して加熱、又はエネルギービームを照射して塗膜を架橋させる。
【0244】
エネルギービームとしては、例えば、紫外光、X線、電子線、イオン線などをあげることができる。特に加熱とエネルギービームの照射とを同時に行うことにより架橋反応の進行を早め、実用的な処理時間の範囲内でガラス転移温度をいちじるしく向上させることができる。
【0245】
なお加熱、あるいはエネルギービームの照射で、シリコンとシリコンとの結合を主鎖とする有機シリコン化合物中における主鎖をなすシリコンとシリコンとの結合が開烈し、酸素と結合することにより酸化し易くなってレジストとシリコン有機膜とのエッチング選択比が低下する場合が生じる。このような場合には加熱及びエネルギービームの照射を空気より酸素濃度が低い雰囲気中で行うことが望ましい。
【0246】
なお本発明は上記の実施の形態に限定されることはない。例えば、以上の説明において、主としてシリコン酸化膜からなる絶縁膜とポリシランとを積層し、ポリシランをシリコン酸化膜又はシリコン窒化膜に変化する例について説明したが、同様の方法を組み合わせればシリコン窒化膜からなる絶縁膜とポリシランとを積層し、ポリシランをシリコン酸化膜又はシリコン窒化膜に変化することによりシリコン窒化膜からなる絶縁膜の一部にすること、又はポリシランをシリコン酸化膜に変化することによりシリコン窒化膜からなる絶縁膜から除去することも可能である。
【0247】
また第1乃至第6の実施の形態において、二重溝配線の層間膜の形成にポリシランを用いるドライエッチング加工方法を説明したが、必ずしも二重溝配線の層間膜に限定されるものではない。一般に多重の溝配線に対して同様な方法が適用可能であることはいうまでもない。その他本発明の要旨を逸脱しない範囲で、種々変形して実施することができる。
【0248】
【発明の効果】
上述したように本発明のドライエッチングを用いた半導体装置の製造方法によれば、ポリシラン等からなる有機シリコン膜を半導体装置の絶縁膜の一部として形成し、この有機シリコン膜からなる加工部分をドライエッチングを用いて加工し、その後この加工部分を酸化物又は窒化物とすることにより前記有機シリコン膜からな加工部分を絶縁膜の一部として一体化することが可能になる。また同様の方法を用いれば、従来困難であった反射防止膜の除去を容易にすることができる。
【0249】
また、前記有機シリコン膜の表面領域を選択的に酸化物または窒化物に変化し、これをマスクとしてドライエッチングを行い、その後前記有機シリコン膜を絶縁膜の一部になるように処理することにより、従来のレジストマスクに比べて高アスペクト比のコンタクトホール等を形成することが可能になる。
【0250】
これらの有機シリコン膜を組み合わせたドライエッチング方法を適用することにより、従来困難であった二重溝配線の層間絶縁膜、配線溝、及び二重溝配線のコンタクトホールの加工を容易にすることができる。またシリコン基板への深いトレンチ形成に必要な、絶縁膜を用いた高アスペクト比のエッチングマスクを形成することができる。
【0251】
このほか、高密度の半導体集積回路を高い歩留まりで製造する際の鍵となる素子領域と素子分離領域の平坦化加工、SACの形成、微細なゲート電極のパターニング等に広く応用することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態の半導体装置の製造方法を示す工程断面図。
【図2】第1の実施の形態の半導体装置の製造方法の続きを示す工程断面図。
【図3】第1の実施の形態の半導体装置の製造方法の効果を示す工程断面図。
【図4】本発明の第2の実施の形態の半導体装置の製造方法を示す工程断面図。
【図5】第2の実施の形態の半導体装置の製造方法の効果を示す工程断面図。
【図6】本発明の第3の実施の形態の半導体装置の製造方法を示す工程断面図。
【図7】本発明の第4の実施の形態の半導体装置の製造方法を示す工程断面図。
【図8】第4の実施の形態の半導体装置の製造方法の続きを示す工程断面図。
【図9】第4の実施の形態の半導体装置の製造方法の続きを示す工程断面図。
【図10】本発明の第5の実施の形態の半導体装置の製造方法を示す工程断面図。
【図11】本発明の第6の実施の形態の半導体装置の製造方法を示す工程断面図。
【図12】本発明の第7の実施の形態の半導体装置の製造方法を示す工程断面図。
【図13】本発明の第8の実施の形態の半導体装置の製造方法を示す工程断面図。
【図14】本発明の第9の実施の形態の半導体装置の製造方法を示す工程断面図。
【図15】本発明の第10の実施の形態の半導体装置の製造方法を示す工程断面図。
【図16】本発明の第11の実施の形態の半導体装置の製造方法を示す工程断面図。
【図17】本発明の第12の実施の形態の半導体装置の製造方法を示す工程断面図。
【図18】本発明の第13の実施の形態の半導体装置の製造方法を示す工程断面図。
【図19】本発明の第14の実施の形態の半導体装置の製造方法を示す工程断面図。
【図20】第14の実施の形態の半導体装置の製造方法の続きを示す工程断面図。
【図21】本発明の第15の実施の形態の半導体装置の製造方法を示す工程断面図。
【図22】本発明の第16の実施の形態の半導体装置の製造方法を示す工程断面図。
【図23】第16の実施の形態の半導体装置の製造方法の続きを示す工程断面図。
【図24】本発明の第17の実施の形態の半導体装置の製造方法を示す工程断面図。
【図25】本発明の第18の実施の形態の半導体装置の製造方法を示す工程断面図。
【図26】本発明の第19の実施の形態の半導体装置の製造方法を示す工程断面図。
【図27】第19の実施の形態の半導体装置の製造方法の続きを示す工程断面図。
【図28】本発明の第20の実施の形態の半導体装置の製造方法を示す工程断面図。
【図29】本発明の第21の実施の形態の半導体装置の製造方法を示す工程断面図。
【図30】本発明の半導体装置の製造方法に用いたドライエッチング装置を示す図。
【符号の説明】
1…シリコン基板
2…絶縁膜
2a…絶縁膜除去部
2b…有機シリコン酸化膜
3…金属配線
3a…金属配線除去部
4…シリコン窒化膜
5…コンタクトホール
6…ポリシラン
7…レジスト
8…配線溝
9…ソース/ドレイン拡散層
10…酸化膜
11…ポリシリコン
12…タングステン
13…セルフアラインコンタクトホール
14…深いトレンチマスク
14a…下層ポリシランまでのトレンチ
14b…熱酸化膜までのトレンチ
14c…シリコン表面までのトレンチ
15…真空チャンバー
16…被処理物
17…載置台
18…対向電極
19…ガス導入管
20…排気口
21…高周波源
22…磁石

Claims (12)

  1. シリコンとシリコンとの結合を主鎖に有する有機シリコン膜を半導体基板上に形成する工程、
    前記有機シリコン膜をドライエッチングする工程、および
    少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜に導入することにより、前記有機シリコン膜をシリコン酸化膜及びシリコン窒化膜のいずれかに変化させて、前記半導体基板上に形成する二重溝配線の上下の各配線における配線溝を含む層間絶縁膜を形成する工程を具備し、
    前記有機シリコン膜を変化させる工程は、
    前記元素又はその混合物からなるイオンを用いた反応性イオンエッチング法、アッシング法、及びイオン注入法のいずれかの方法、あるいは、
    前記元素、又は、その混合物からなるガス雰囲気中で熱処理することにより行われ、
    前記層間絶縁膜を形成する工程は、半導体基板上の第1の絶縁膜にコンタクトホールを形成する工程と、
    前記コンタクトホールを埋め込むように前記第1の絶縁膜の上部全面に有機シリコン膜を塗布する工程と、
    前記有機シリコン膜を選択的にドライエッチングすることにより、前記コンタクトホールの開口部を含む前記上の配線層の配線溝形成部分、及び前記コンタクトホールの内部における前記有機シリコン膜を除去する工程と、
    前記除去工程後の有機シリコン膜を、シリコン酸化膜及びシリコン窒化膜のいずれかからなる第2の絶縁膜に変化させる工程と、
    を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法。
  2. シリコンとシリコンとの結合を主鎖に有する有機シリコン膜を半導体基板上に形成する工程、
    前記有機シリコン膜をドライエッチングする工程、および
    少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜に導入することにより、前記有機シリコン膜をシリコン酸化膜及びシリコン窒化膜のいずれかに変化させて、前記半導体基板上に形成する二重溝配線の上下の各配線層おける配線溝を含む層間絶縁膜を形成する工程を具備し、
    前記有機シリコン膜を変化させる工程は、
    前記元素又はその混合物からなるイオンを用いた反応性イオンエッチング法、アッシング法、及びイオン注入法のいずれかの方法、あるいは、
    前記元素、又は、その混合物からなるガス雰囲気中で熱処理することにより行われ、
    前記層間絶縁膜を形成する工程は、
    前記下の配線層が形成された半導体基板上の第1の絶縁膜の上部全面に前記有機シリコン膜を塗布する工程と、
    前記有機シリコン膜に、前記下の配線層における金属配線の上面に達するコンタクトホールを形成する第1の選択的ドライエッチング工程と、
    前記コンタクトホールを備えた有機シリコン膜を、シリコン酸化膜及びシリコン窒化膜のいずれかからなる第2の絶縁膜に変化させる工程と、
    前記コンタクトホールを埋め込むように前記第2の絶縁膜の上部全面に有機シリコン膜を塗布する工程と、
    前記コンタクトホールの開口部を含む前記上の配線層の配線溝形成部分、及び前記コンタクトホールの内部における前記有機シリコン膜を除去することにより、前記第2の絶縁膜に形成されたコンタクトホールに接続された上の配線層の配線溝を形成する第2の選択的ドライエッチング工程と、
    前記上の配線層の配線溝を備えた有機シリコン膜を、シリコン酸化膜及びシリコン窒化膜のいずれかからなる第3の絶縁膜に変化させる工程と、
    を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法
  3. シリコンとシリコンとの結合を主鎖に有する有機シリコン膜を半導体基板上に形成する工程、
    前記有機シリコン膜をドライエッチングする工程、および
    少なくとも酸素及び炭素のいずれかの元素を前記有機シリコン膜に導入することにより、前記有機シリコン膜をシリコン酸化膜変化させて、前記半導体基板上に形成する二重溝配線の上下の各配線における配線溝を含む層間絶縁膜を形成する工程を具備し
    前記層間絶縁膜を形成する工程は、
    前記下の配線層が形成された半導体基板上の絶縁膜の上に第1のシリコン酸化膜を形成する工程と、
    前記第1のシリコン酸化の上、有機シリコン膜からなるドライエッチングのストッパーを形成する工程と、
    前記ストッパーに前記下の配線層に達するコンタクトホールを形成するための開口部を設ける工程と、
    前記開口部を設けた前記ストッパーを埋め込むように、第2のシリコン酸化膜を形成する工程と、
    前記開口部に合わせて上の配線層の配線溝を形成するためのエッチングマスクを形成する工程と、
    前記エッチングマスクと前記開口部を設けた前記ストッパーとを用いて前記第1、第2のシリコン酸化膜を引き続き選択的にドライエッチングする工程と、
    前記第1、第2のシリコン酸化膜のドライエッチング後に、酸素と二酸化炭素との混合ガスを用いたアッシングを行い、前記有機シリコン膜をシリコン酸化膜に変化させる工程と
    を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法。
  4. 前記有機シリコン膜からなるドライエッチングのストッパは、前記第1、第2のシリコン酸化膜を引き続き選択的にドライエッチングする工程を経た後、有機シリコン酸化膜に変化され、前記層間絶縁膜の一部として一体化される工程を含むことを特徴とする請求項3記載のドライエッチングを用いた半導体装置の製造方法。
  5. 半導体基板上に、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を塗布し、レジストをマスクとして前記半導体基板上の素子領域を覆うように前記有機シリコン膜をパターン形成する工程と、前記パターン形成された有機シリコン膜と前記レジストとをマスクとして半導体基板に分離溝を形成し、前記有機シリコン膜に窒素を導入することにより前記有機シリコン膜をシリコン窒化膜に変化する工程と、を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法。
  6. 前記分離溝が形成された半導体基板の上部全面に、前記分離溝を埋め込むように前記有機シリコン膜をさらに塗布する工程と、前記シリコン窒化膜を抑制層として前記有機シリコン膜の表面を平坦化する工程と、前記分離溝を埋め込む有機シリコン膜に酸素を導入することにより、前記有機シリコン膜をシリコン酸化膜に変化させる工程と、を含むこと特徴とする請求項5記載のドライエッチングを用いた半導体装置の製造方法。
  7. 半導体基板上にゲート絶縁膜を形成し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形成する工程と、
    前記金属膜上に、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を塗布し、レジストをマスクとして前記金属膜で覆われた半導体基板上のゲート電極形成領域に前記有機シリコン膜をパターン形成する工程と、
    前記パターン形成された有機シリコン膜と前記レジストとをマスクとして、前記ゲート電極形成領域に前記金属膜からなるゲート電極をパターン形成する工程と、
    前記有機シリコン膜に窒素を導入することにより前記パターン形成された有機シリコン膜をシリコン窒化膜とする工程と、
    を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法。
  8. 半導体基板上にゲート絶縁膜を形成し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形成し、前記金属膜で覆われた半導体基板のゲート電極形成領域に前 記金属膜からなるゲート電極をパターン形成する工程と、
    前記ゲート電極をシリコン窒化膜で被覆し、前記シリコン窒化膜に積層して前記半導体基板の上部全面に前記ゲート電極を埋め込むように絶縁膜を堆積する工程と、
    前記絶縁膜の表面を平坦化し、この平坦化された絶縁膜上に、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を塗布する工程と、
    前記ゲート電極に隣接するソース又はドレイン形成領域のいずれかと、前記ゲート電極の前記ソース又はドレイン側の一部とを覆う前記有機シリコン膜をレジストをマスクとするドライエッチングを用いて選択的に除去することにより、前記絶縁膜に達するコンタクトホールを前記有機シリコン膜に形成する工程と、
    前記レジストと前記有機シリコン膜とをマスクとするドライエッチングを用いて前記絶縁膜をさらにエッチングすることにより、前記コンタクトホールの底部に前記シリコン窒化膜を露出する工程と、
    前記エッチングをさらに進めて前記シリコン窒化膜とゲート絶縁膜とを除去することにより、前記半導体基板上のソース又はドレイン形成領域のいずれかの表面を自己整合的に露出する工程と、
    前記有機シリコン膜を、O 2 アッシングによりシリコン酸化膜に変化させることにより前記有機シリコン膜を前記絶縁膜と一体化し、前記コンタクトホールを配線の接続に用いる工程と、
    を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法。
  9. 半導体基板上にゲート絶縁膜を形成し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形成し、前記金属膜で覆われた半導体基板のゲート電極形成領域に前記金属膜からなるゲート電極をパターン形成する工程と、
    前記ゲート電極をシリコン窒化膜で被覆し、前記シリコン窒化膜に積層して第1のシリコン酸化膜をさらに被覆する工程と、
    前記半導体基板の上部全面に、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を塗布し、前記ゲート電極に隣接するソース又はドレイン形成領域と前記ゲート電極の前記ソース又はドレイン形成領域側の一部とを覆う前記有機シリコン膜を、レジストをマスクとするドライエッチングを用いて選択的に除去することにより前記有機シリコン膜に前記第1のシリコン酸化膜に達するコンタクトホールを形成する工程と、
    前記有機シリコン膜に酸素を導入することにより前記有機シリコン膜を第2のシリコン酸化膜に変化し、前記第2のシリコン酸化膜をマスクとしてドライエッチングすることにより前記コンタクトホールの底面に露出した前記第1のシリコン酸化膜を除去する工程と、
    前記第1のシリコン酸化膜の除去により露出した前記シリコン窒化膜と前記ゲート絶縁膜とをさらに除去することにより、前記半導体基板上に形成されたソース又はドレイン形成領域のいずれかの表面を自己整合的に露出し、前記コンタクトホールを配線の接続に用いる工程と、
    を含むことを特徴とするドライエッチングを用いた半導体装置の製造方法。
  10. 分離溝が形成され、前記分離溝以外の領域にシリコン窒化膜が形成された半導体基板の上部全面に、前記分離溝を埋め込むように、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を塗布する工程と、
    前記シリコン窒化膜を抑制層として前記有機シリコン膜の表面を平坦化する工程と、
    前記分離溝を埋め込む有機シリコン膜に酸素を導入することにより、前記有機シリコン膜をシリコン酸化膜に変化させる工程とを具備することを特徴とするドライエッチングを用いた半導体装置の製造方法。
  11. 半導体基板上に、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を塗布し、レジストをマスクとして前記半導体基板上の素子領域を覆うように前記有機シリコン膜をパターン形成する工程と、
    前記パターン形成された有機シリコン膜と前記レジストとをマスクとして半導体基板に分離溝を形成し、前記有機シリコン膜に窒素を導入することにより、前記有機シリコン膜 をシリコン窒化膜に変化させる工程と、
    前記分離溝が形成された前記半導体基板の上部全面に、前記分離溝を埋め込むように前記有機シリコン膜を塗布する工程と、
    前記シリコン窒化膜を抑制層として前記有機シリコン膜の表面を平坦化する工程と、
    前記分離溝を埋め込む有機シリコン膜に酸素を導入することにより、前記有機シリコン膜をシリコン酸化膜に変化させる工程とを具備することを特徴とするドライエッチングを用いた半導体装置の製造方法。
  12. 半導体基板上に、少なくともシリコンとシリコンとの結合を主鎖に有する有機シリコン膜を形成する工程と、
    前記有機シリコン膜をドライエッチングする工程、および
    少なくとも酸素、窒素、水素、及び炭素のいずれかの元素を前記有機シリコン膜に導入することにより、前記有機シリコン膜をシリコン酸化膜またはシリコン窒化膜に変化させて半導体層に絶縁物となる部分を形成する工程を具備し、
    前記有機シリコン膜を変化させる工程は、これらの元素又はその混合物からなるイオンを用いた反応性イオンエッチング法、アッシング法、及びイオン注入法のいずれかの方法、あるいは、前記元素、又は、その混合物からなるガス雰囲気中で熱処理することにより行われ、
    前記絶縁物からなる半導体装置の部分は、第1の絶縁膜に設けられた溝であり、
    前記溝を形成する工程は、
    半導体基板上に第1の絶縁膜を形成する工程と、
    前記第1の絶縁膜の上部全面に有機シリコン膜を塗布する工程と、
    前記有機シリコン膜を選択的にドライエッチングして前記第1の絶縁膜の上面を露出することにより、前記溝が形成される有機シリコン膜の部分を除去する工程と、
    除去工程後の前記有機シリコン膜を、シリコン酸化膜、シリコン窒化膜およびシリコン酸窒化膜のいずれかからなる第2の絶縁膜に変化させる工程と、
    を具備することを特徴とするドライエッチングを用いた半導体装置の製造方法。
JP06629399A 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法 Expired - Lifetime JP4270632B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP06629399A JP4270632B2 (ja) 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法
US09/522,175 US6352931B1 (en) 1999-03-12 2000-03-09 Manufacturing method of semiconductor devices by using dry etching technology
TW89104437A TW468201B (en) 1999-03-12 2000-03-10 Dry etching process
KR10-2000-0012221A KR100401040B1 (ko) 1999-03-12 2000-03-11 드라이 에칭법을 이용한 반도체 장치의 제조 방법
US09/995,839 US6605542B2 (en) 1999-03-12 2001-11-29 Manufacturing method of semiconductor devices by using dry etching technology
US10/086,556 US6849923B2 (en) 1999-03-12 2002-03-04 Semiconductor device and manufacturing method of the same
US10/440,136 US20030224611A1 (en) 1999-03-12 2003-05-19 Manufacturing method of semiconductor devices by using dry etching technology
US10/983,746 US7169697B2 (en) 1999-03-12 2004-11-09 Semiconductor device and manufacturing method of the same
US11/170,352 US20060017162A1 (en) 1999-03-12 2005-06-30 Semiconductor device and manufacturing method of the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06629399A JP4270632B2 (ja) 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000260870A JP2000260870A (ja) 2000-09-22
JP4270632B2 true JP4270632B2 (ja) 2009-06-03

Family

ID=13311642

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06629399A Expired - Lifetime JP4270632B2 (ja) 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法

Country Status (4)

Country Link
US (3) US6352931B1 (ja)
JP (1) JP4270632B2 (ja)
KR (1) KR100401040B1 (ja)
TW (1) TW468201B (ja)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
JP2003502449A (ja) * 1999-06-10 2003-01-21 ハネウエル・インターナシヨナル・インコーポレーテツド フォトリソグラフィ用スピンオンガラス反射防止コーティング
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6740594B2 (en) * 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
JP4381143B2 (ja) * 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィー用スピンオン反射防止膜
KR100704469B1 (ko) * 2001-12-14 2007-04-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
EP1543549A1 (en) * 2002-09-20 2005-06-22 Honeywell International, Inc. Interlayer adhesion promoter for low k materials
JP2004179588A (ja) * 2002-11-29 2004-06-24 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3918933B2 (ja) * 2002-12-06 2007-05-23 Jsr株式会社 化学機械研磨ストッパー、その製造方法および化学機械研磨方法
JP3951124B2 (ja) * 2002-12-06 2007-08-01 Jsr株式会社 絶縁膜
TWI223870B (en) * 2003-06-27 2004-11-11 Nanya Technology Corp Method of forming capacitors having geometric deep trench
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP3879751B2 (ja) 2004-07-27 2007-02-14 セイコーエプソン株式会社 コンタクトホールの形成方法、回路基板の製造方法、及び、電気光学装置の製造方法
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
DE112009002023T5 (de) 2008-08-19 2011-06-30 Lintec Corp. Geformter Gegenstand, Verfahren zur Herstellung desselben, elektronisches Vorrichtungsteil und elektronische Vorrichtung
JP2009111433A (ja) * 2009-02-18 2009-05-21 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
JP5379530B2 (ja) 2009-03-26 2013-12-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材および電子デバイス
US9365922B2 (en) 2009-05-22 2016-06-14 Lintec Corporation Formed article, method of producing same, electronic device member, and electronic device
WO2010134611A1 (ja) * 2009-05-22 2010-11-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US20110020753A1 (en) * 2009-07-27 2011-01-27 International Business Machines Corporation Method for reversing tone of patterns on integrated circuit and patterning sub-lithography trenches
JP2011096829A (ja) * 2009-10-29 2011-05-12 Elpida Memory Inc 半導体装置の製造方法
JP5697230B2 (ja) 2010-03-31 2015-04-08 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
CN103249767B (zh) 2010-08-20 2015-05-06 琳得科株式会社 成形体、其制备方法、电子装置用部件及电子装置
CN102403219B (zh) * 2010-09-14 2015-10-07 中微半导体设备(上海)有限公司 一种铜制程等离子刻蚀方法
TWI457235B (zh) 2010-09-21 2014-10-21 Lintec Corp A gas barrier film, a manufacturing method thereof, an electronic device element, and an electronic device
TWI535561B (zh) 2010-09-21 2016-06-01 Lintec Corp A molded body, a manufacturing method thereof, an electronic device element, and an electronic device
JP2011009781A (ja) * 2010-09-29 2011-01-13 Fujikura Ltd 貫通電極付き半導体デバイスの製造方法
JP5664392B2 (ja) * 2011-03-23 2015-02-04 ソニー株式会社 半導体装置、半導体装置の製造方法、及び配線基板の製造方法
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US9786753B2 (en) * 2015-07-13 2017-10-10 Diodes Incorporated Self-aligned dual trench device
CN106206283B (zh) * 2016-07-27 2019-05-03 上海华虹宏力半导体制造有限公司 沟槽刻蚀方法及第一金属层制造方法
US10658180B1 (en) * 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
CN111446166A (zh) * 2020-03-16 2020-07-24 绍兴同芯成集成电路有限公司 一种利用聚合物隔离层生成双沟槽晶体管的工艺方法
CN111540677B (zh) * 2020-05-28 2023-03-21 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺
TW202403912A (zh) * 2022-07-01 2024-01-16 聯華電子股份有限公司 用於偵測參數行為偏離的錯誤偵測方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4576834A (en) * 1985-05-20 1986-03-18 Ncr Corporation Method for forming trench isolation structures
JPS6329951A (ja) * 1986-07-23 1988-02-08 Nec Corp 微細配線パタ−ン形成法
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
JPH01199456A (ja) * 1988-02-04 1989-08-10 Matsushita Electric Ind Co Ltd 半導体集積回路の製造方法
JP2976642B2 (ja) * 1991-11-07 1999-11-10 日本電気株式会社 光結合回路
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JPH0794477A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd ドライエッチング方法
US5962581A (en) * 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
JPH09172009A (ja) 1995-12-20 1997-06-30 Toshiba Corp 絶縁膜の形成方法および絶縁膜パターンの形成方法
JPH09232428A (ja) * 1996-02-28 1997-09-05 Hitachi Ltd 半導体装置の製造方法
US5602053A (en) * 1996-04-08 1997-02-11 Chartered Semidconductor Manufacturing Pte, Ltd. Method of making a dual damascene antifuse structure
KR100245180B1 (ko) * 1996-05-29 2000-02-15 니시무로 타이죠 감광성 조성물 및 그를 이용한 패턴 형성방법
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP2985789B2 (ja) * 1996-08-30 1999-12-06 日本電気株式会社 半導体装置の製造方法
JPH1088176A (ja) * 1996-09-18 1998-04-07 Yushiro Chem Ind Co Ltd 水溶性しごき成形用潤滑剤原液組成物
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JPH1160735A (ja) * 1996-12-09 1999-03-05 Toshiba Corp ポリシランおよびパターン形成方法
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6110648A (en) * 1998-09-17 2000-08-29 Taiwan Semiconductor Manufacturing Company Method of enclosing copper conductor in a dual damascene process
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6147012A (en) * 1999-11-12 2000-11-14 Lsi Logic Corporation Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant

Also Published As

Publication number Publication date
JP2000260870A (ja) 2000-09-22
TW468201B (en) 2001-12-11
US20030224611A1 (en) 2003-12-04
US6605542B2 (en) 2003-08-12
US20020059899A1 (en) 2002-05-23
KR20000076824A (ko) 2000-12-26
KR100401040B1 (ko) 2003-10-10
US6352931B1 (en) 2002-03-05

Similar Documents

Publication Publication Date Title
JP4270632B2 (ja) ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) Semiconductor device and manufacturing method of the same
US7602066B2 (en) Method of filling structures for forming via-first dual damascene interconnects
US7981812B2 (en) Methods for forming ultra thin structures on a substrate
US6699799B2 (en) Method of forming a semiconductor device
TW200524124A (en) Method for forming novel BARC open for precision critical dimension control
US20060199370A1 (en) Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
JP3803523B2 (ja) ドライエッチング方法及び半導体装置の製造方法
US8089153B2 (en) Method for eliminating loading effect using a via plug
TWI250558B (en) Method for fabricating semiconductor device with fine patterns
US6855629B2 (en) Method for forming a dual damascene wiring pattern in a semiconductor device
US6649489B1 (en) Poly etching solution to improve silicon trench for low STI profile
US6521542B1 (en) Method for forming dual damascene structure
US6828248B1 (en) Method of pull back for forming shallow trench isolation
TWI322485B (en) Method for forming contact hole of semiconductor device
US7300878B1 (en) Gas switching during an etch process to modulate the characteristics of the etch
KR100670706B1 (ko) 반도체 소자의 콘택 플러그 형성 방법
JPH09120990A (ja) 接続孔の形成方法
JP2006344815A (ja) 半導体装置の製造方法
KR100868925B1 (ko) 반도체 소자의 소자분리막 형성방법
US20040115565A1 (en) Method for patterning a layer of a low dielectric constant material
JP2005327873A (ja) 半導体装置及びその製造方法
US20090197388A1 (en) Method of manufacturing semiconductor device
TW396455B (en) Semiconductor process for improving non-uniform etching thickness by providing etch stop layer
KR100623876B1 (ko) 얕은 트렌치 소자분리막 형성 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081014

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090217

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090224

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4