JP2000260870A - ドライエッチングを用いた半導体装置の製造方法 - Google Patents

ドライエッチングを用いた半導体装置の製造方法

Info

Publication number
JP2000260870A
JP2000260870A JP11066293A JP6629399A JP2000260870A JP 2000260870 A JP2000260870 A JP 2000260870A JP 11066293 A JP11066293 A JP 11066293A JP 6629399 A JP6629399 A JP 6629399A JP 2000260870 A JP2000260870 A JP 2000260870A
Authority
JP
Japan
Prior art keywords
film
organic silicon
silicon oxide
oxide film
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11066293A
Other languages
English (en)
Other versions
JP4270632B2 (ja
Inventor
Shoji Seta
渉二 瀬田
Makoto Sekine
誠 関根
Naofumi Nakamura
直文 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP06629399A priority Critical patent/JP4270632B2/ja
Priority to US09/522,175 priority patent/US6352931B1/en
Priority to TW89104437A priority patent/TW468201B/zh
Priority to KR10-2000-0012221A priority patent/KR100401040B1/ko
Publication of JP2000260870A publication Critical patent/JP2000260870A/ja
Priority to US09/995,839 priority patent/US6605542B2/en
Priority to US10/086,556 priority patent/US6849923B2/en
Priority to US10/440,136 priority patent/US20030224611A1/en
Priority to US10/983,746 priority patent/US7169697B2/en
Priority to US11/170,352 priority patent/US20060017162A1/en
Application granted granted Critical
Publication of JP4270632B2 publication Critical patent/JP4270632B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】 【課題】有機シリコン膜を用いた二重溝配線の層間絶縁
膜、コンタクトホール、深いトレンチマスク等の形成方
法を提供する。 【解決手段】ポリシラン等を形状加工後、二重溝配線の
層間絶縁膜として使用することにより配線溝加工で問題
になる形状及び深さ制御とボーダレスエッチングの回避
を行う。またポリシランと絶縁膜とを積層構造とし、加
工後一体化することにより高アスペクト比のコンタクト
ホール加工を容易にする。またポリシランの表面を選択
的に絶縁膜とし、ドライエッチングのマスクとする。ま
た反射防止膜やエッチングマスクとして用いるポリシラ
ンを酸化膜又は窒化膜に変化して容易に剥離することが
できる。これらを用いて高密度集積回路の素子領域、素
子分離領域形成の平坦化、高歩留まりのセルフアライン
コンタクトホールや配線溝の形成、ゲート電極のパター
ン形成に応用することができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はドライエッチングを
用いた半導体装置の製造方法に係り、特にポリシラン等
の有機シリコン膜を用いた二重溝配線の層間絶縁膜の形
成方法、コンタクトホール、配線溝の形成方法、深いト
レンチマスクの形成方法、反射防止膜の剥離方法等に関
するものである。
【0002】
【従来の技術】多層配線を有する半導体装置の製造工程
において、例えばシリコン酸化膜、シリコン窒化膜等か
らなる多層絶縁膜上にレジストをマスクとしてコンタク
トホール等をパターン形成する工程が多く用いられる。
【0003】従来、RIE(Reactive Ion Etching)等の
ドライエッチングを用いて、このようなシリコン酸化
膜、及びシリコン窒化膜の選択エッチングを行うとき、
レジストとシリコン酸化膜とのエッチング選択比(エッ
チング速度の比)は大きくできるのでシリコン酸化膜の
選択エッチングは容易であるが、レジストとシリコン窒
化膜との間ではエッチング選択比を大きくすることがで
きないため、レジストをマスクとしてシリコン窒化膜を
選択的にエッチングすることは非常に困難であった。
【0004】また、近年大規模な半導体記憶装置の製造
に当り、極めて多数の微細なトレンチキャパシタを高密
度に集積することが必要となり、このため開口部の寸法
に比べて深さが極めて大きい(以下高アスペクト比と呼
ぶ)トレンチを、異方性ドライエッチングを用いて半導
体基板に形成することが重要な技術の1つとなってい
る。
【0005】半導体基板に高アスペクト比のトレンチを
形成する際、異方性ドライエッチングの絶縁膜マスクに
も高アスペクト比の開口部を形成しなければならない。
前記絶縁膜マスクの開口部の形成には従来レジストマス
クが使用されてきたが、高アスペクト比の開口部を形成
するためには、大電力の高周波により励起されたガスプ
ラズマを長時間に亘ってレジストに照射する必要があ
る。
【0006】このためレジスト開口部に凹凸状の変形を
生じ、半導体基板に内面が平滑で加工精度の高い多数の
トレンチを高い歩留まりで形成することはいちじるしく
困難であった。
【0007】また微細加工技術の進展に伴い、リソグラ
フィー工程の解像度を高めるためレジストの膜厚は薄く
しなければならないが、ドライエッチングに際していわ
ゆる膜減りを生じるため前記トレンチの形成はさらに困
難であった。
【0008】また通常半導体装置の製造方法において、
半導体基板上にパターン形成されたシリコン窒化膜をエ
ッチングマスクとして素子分離用の分離溝を形成し、こ
の分離溝を埋め込むように素子分離用の厚いシリコン酸
化膜を形成し、シリコン窒化膜をストッパー(抑制層)
として表面研磨することにより、分離溝が酸化膜で満た
されるように平坦化する素子領域平坦化工程が用いられ
てきた。
【0009】表面研磨による素子領域平坦化工程におい
て、素子領域が密な部分ではシリコン窒化膜ストッパー
が多く存在するので、分離溝が丁度シリコン酸化膜で満
たされるように良好な表面平坦化がなされるが、素子領
域が疎な部分では窒化膜のストッパーが少ないので、平
坦化工程においてシリコン酸化膜が過剰に研磨されて凹
状となり、素子領域の平坦化形成がウエハ全面に亘って
均一に行われないという問題があった。
【0010】このため、全面にさらにポリシリコン膜を
堆積して平坦化加工を行い、エッチングマスクとして疎
部分にポリシリコン膜を残留させる等の対策がとられ
る。しかし、この平坦化工程で疎部分のシリコン酸化膜
に割れを生じることがあり、後に素子領域上の前記シリ
コン窒化膜ストッパーと前記ポリシリコンマスクとを剥
離する際、シリコン基板が深くえぐられるという問題を
生じていた。
【0011】また従来ゲート電極加工に際して、ゲート
電極形成用の金属膜の上にシリコン窒化膜を形成し、シ
リコン窒化膜上のゲート電極形成領域にレジストをパタ
ーン形成し、このレジストをマスクとして窒化膜をパタ
ーン形成し、レジストマスク剥離の後パターン形成され
たシリコン窒化膜をマスクとして、前記金属膜をゲート
電極として加工する方法がとられてきた。
【0012】このときウエハー面内に凹凸があれば、シ
リコン窒化膜マスクをパターン形成する際、ウエーハの
表面の凸部ではパターン形成のエッチングが過剰となっ
て下地の金属膜にまでエッチングが及び、良好なゲート
電極加工ができなくなるという問題があった。
【0013】また自己整合型コンタクト(以下SAC;
Self-Align Contactと呼ぶ)形成のエッチング工程で
は、ゲート電極間を埋める層間絶縁膜にコンタクトホー
ルの開口を行うが、このとき、コンタクトホール開口部
の下部にゲート電極の上面と側面とが交わる稜線が露出
するようになる。
【0014】シリコン酸化膜からなる層間絶縁膜にコン
タクトホールを開口するエッチング工程において、ゲー
ト電極がエッチングされるのを防止するため、ゲート電
極は通常シリコン酸化膜とのエッチング選択比が大きい
シリコン窒化膜(エッチングストッパー)で被覆され
る。しかし、シリコン窒化膜の酸化膜に対するエッチン
グ選択比は平坦部では高い値が得られるが、稜線部では
平坦部の約1/3に低下する。
【0015】このため従来SAC形成工程において、コ
ンタクトホール開口時にゲート電極の稜線部がエッチン
グされてゲート金属が露出し、コンタクトホールに配線
用の金属を埋め込む際、ゲート電極の短絡不良を生じる
原因となっていた。このため特にゲート電極のアスペク
ト比(ゲート長とゲート高さとの比)が大きいE2 PR
OMの製造工程では、SACの形成はいちじるしく困難
であることが知られている。
【0016】近年微細加工技術の進展に伴い、層間絶縁
膜の高アスペクト比のエッチングが多く要求されるが、
このときシリコン窒化膜との間でエッチング選択比がと
れる条件でシリコン酸化膜の高アスペクト比のドライエ
ッチングを行えば、エッチングの進行中にフロロカーボ
ン等の残渣が開口部に残留して、エッチングが途中で停
止することが知られている。
【0017】また多層配線の形成技術として、2層の溝
配線(この分野でDual-Damascene構造と呼ばれる。Dama
scene は象眼加工を意味する。)が多く用いられるよう
になったが、2層溝配線の層間絶縁膜の加工には2層の
金属配線を埋め込むための溝加工と、2層の金属配線間
を接続するコンタクトホール形成とを組み合わせた高ア
スペクト比で、かつ、微細なドライエッチング加工技術
が求められる。
【0018】従来、層間絶縁膜の溝加工において、ウエ
ーハ面内の溝の深さを一定値に制御することがいちじる
しく困難であり、これを実現するためにはシリコン酸化
膜からなる層間絶縁膜中にシリコン窒化膜を挿入して、
このシリコン窒化膜をドライエッチングのストッパーと
する方法がとられてきた。特に加工形状が複雑な2重溝
配線ではコンタクトホール形成後、上層の溝配線の深さ
制御を行うためにもシリコン窒化膜のストッパーが必要
であった。
【0019】しかし、このようにすれば、配線の近傍に
シリコン酸化膜に比べて誘電率の値が大きいシリコン窒
化膜が含まれるため、配線容量が大きくなり半導体装置
の動作速度を低下させる。また溝加工の際、シリコン酸
化膜からなる層間絶縁膜の部分エッチングを生じ、イオ
ンが溝の底部の角の部分に集中し、溝の角にトレンチン
グと呼ばれる形状を発生させる。このため配線溝への配
線金属の埋め込みが困難になっていた。
【0020】また、従来DRAM(Dynamic Random Acce
ss Memory)とロジックとを1チップ上に混載したLSI
では、DRAM部とロジック部とのデザインルールの相
違から、ロジック部の合わせ幅(フリンジ)がDRAM
部の合わせ幅の1/4程度となっている。
【0021】例えば2重溝配線の層間絶縁膜にコンタク
トホールを形成するとき、下層溝配線の上部のシリコン
窒化膜エッチングストッパーに対して高い選択制を示す
ドライエッチング条件を用いて、シリコン酸化膜からな
る層間絶縁膜にコンタクトホールを形成し、シリコン窒
化膜を除去した後、コンタクトホール及び上層の配線溝
に配線用の金属を埋め込む工程が行われる。
【0022】このとき、特に合わせマージンの小さいロ
ジック部では、コンタクトホールの開口の一部が下層配
線上を覆うシリコン窒化膜ストッパーの外部に外れるこ
とがあり、このため、下層配線の側面を埋めるシリコン
酸化膜からなる層間絶縁膜が、コンタクトホール形成工
程で削られるボーダーレスエッチングを生じ易く、配線
金属の埋め込み不良や短絡不良を生じる欠点があった。
【0023】また従来リソグラフィー工程において、レ
ジストの下に反射防止膜としてポリシランが用いられる
が、パターン形成後O2 アッシングを用いてレジストを
剥離する際、ポリシランが酸化して剥離し難くなるとい
う現象があった。
【0024】
【発明が解決しようとする課題】上記したように、従来
のドライエッチングを用いた半導体装置の製造方法には
高アスペクト比のエッチングが困難であること、素子領
域に粗密がある場合にウエハ全面に亘って平坦化するこ
とが困難であること、微細なゲート電極形成に際して表
面の凹凸が加工不良の原因になること、SACのコンタ
クトホール形成において、ゲート電極の稜線部で短絡不
良を生じやすいこと等の問題があった。
【0025】このほか、層間絶縁膜の高アスペクト比の
エッチングの際、ドライエッチングの残渣によりエッチ
ングが途中で停止する欠点があること、2重溝配線の層
間絶縁膜形成において、溝加工の深さの均一化やボーダ
レスエッチング回避のためエッチングストッパーとして
シリコン窒化膜を積層する必要があり、これが半導体装
置の動作速度を低下させる原因になること、また溝加工
に際してトレンチングを生じ、配線金属の埋め込み不良
の原因になること、メモリ・ロジック混載LSIの製造
に際してロジック部分の合わせマージンが小さいため、
特にボーダーレスエッチングを生じやすいこと、レジス
トの反射防止膜として用いるポリランの剥離が困難であ
ること等、多くの課題が含まれていた。
【0026】本発明は上記の課題を解決すべくなされた
もので、ポリシラン等の有機シリコン膜を用いた半導体
基板上の多層絶縁膜の形成方法、剥離方法、及びエッチ
ングマスクの形成方法を提供することことにより、上記
の課題を解決することを目的としている。
【0027】
【課題を解決するための手段】本発明のドライエッチン
グを用いた半導体装置の製造方法は、塗布することによ
り容易に平坦面を形成することができ、かつドライエッ
チング加工、及び平坦化加工が容易なポリシラン等の有
機シリコン膜をシリコン酸化膜やシリコン窒化膜等の絶
縁膜、配線に用いる金属膜等と積層し、又はこれらの構
成要素の一部をなすように半導体基板上に形成し、前記
絶縁膜部分を加工形状を改善するエッチングの抑制層等
として役立てながら、有機シリコン膜を微細加工するこ
とにより、例えば構造の複雑な2重溝配線の層間絶縁膜
の加工部分を形成し、その後この有機シリコン膜に例え
ば酸素を導入することにより、有機シリコン膜を有機シ
リコン酸化膜等からなる絶縁膜に変化させ、所望の半導
体装置の構成要素を提供しようとするものである。
【0028】このようにして、従来のドライエッチング
で困難であった配線溝の形状や深さの制御、高アスペク
ト比のコンタクトホール形成、ボーダレスエッチングの
回避、平坦化工程における問題点の改善、反射防止膜と
して用いたポリシランの新たな剥離方法を提供すること
を特徴とする。
【0029】具体的には本発明のドライエッチングを用
いた半導体装置の製造方法は、シリコンとシリコンとの
結合を主鎖に有する有機シリコン膜を半導体基板上に形
成し、前記有機シリコン膜をドライエッチングして、前
記ドライエッチングされた有機シリコン膜を絶縁膜に変
化させて半導体装置の絶縁物からなる部分を形成するこ
とを特徴とする。
【0030】好ましくは、本発明のドライエッチングを
用いた半導体装置の製造方法は、前記有機シリコン膜を
ドライエッチングした後、少なくとも酸素、窒素、水
素、及び炭素のいずれかの元素を前記有機シリコン膜に
導入することにより、前記有機シリコン膜を有機シリコ
ン酸化膜、無機シリコン酸化膜、シリコン酸化膜、及び
シリコン窒化膜のいずれかに変化することを特徴とす
る。
【0031】また、好ましくは前記少なくとも酸素、窒
素、水素、及び炭素のいずれかの元素を前記有機シリコ
ン膜に導入する工程は、これらの元素又はその混合物か
らなるイオンを用いた反応性イオンエッチング法、アッ
シング法、及びイオン注入法のいずれかの方法を用いて
行うことを特徴とする。
【0032】また、好ましくは前記少なくとも酸素、窒
素、水素、及び炭素のいずれかの元素を前記有機シリコ
ン膜に導入する工程は、前記元素、又は、その混合物か
らなるガス雰囲気中で熱処理することにより行うことを
特徴とする。
【0033】また、好ましくは前記半導体装置の絶縁物
からなる部分は、少なくとも前記半導体基板表面と二重
溝配線における下の配線層との間、及び前記二重溝配線
の上下の各配線層における金属配線の間、及び前記二重
溝配線の上下の配線層の間のいずれかであることを特徴
とする。
【0034】また、好ましくは前記有機シリコン膜は絶
縁膜に積層して形成され、前記有機シリコン膜のドライ
エッチングにおいて、前記絶縁膜が前記ドライエッチン
グの抑制層として用いられることを特徴とする。
【0035】また、好ましくは前記半導体装置の絶縁物
からなる部分は、前記半導体基板上に形成する二重溝配
線のコンタクトホール、及び前記二重溝配線の上下の各
配線層における配線溝を含む層間絶縁膜であることを特
徴とする。
【0036】また、好ましくは前記コンタクトホールを
形成する工程は、前記下の配線層の上部全面に前記有機
シリコン膜を塗布する工程と、この有機シリコン膜を選
択的にドライエッチングすることにより前記有機シリコ
ン膜に前記下の配線層における金属配線の上面に達する
コンタクトホールを形成する工程と、前記コンタクトホ
ール形成後の有機シリコン膜を有機シリコン酸化膜、無
機シリコン酸化膜、シリコン酸化膜、及びシリコン窒化
膜のいずれかからなる絶縁膜に変化させる工程とを含む
ことを特徴とする。
【0037】また、好ましくは前記下の配線層は、半導
体基板上の絶縁膜に埋め込まれた溝配線からなり、前記
コンタクトホールの形成の際、前記絶縁膜の上面がボー
ダレスエッチングを抑制することを特徴とする。
【0038】また、好ましくは前記配線溝を形成する工
程は、半導体基板上の絶縁膜の上部全面に有機シリコン
膜を塗布する工程と、前記有機シリコン膜を選択的にド
ライエッチングすることにより前記有機シリコン膜の配
線溝の形成部分を除去する工程と、前記除去工程後の有
機シリコン膜を有機シリコン酸化膜、無機シリコン酸化
膜、シリコン酸化膜、及びシリコン窒化膜のいずれかか
らなる絶縁膜に変化させる工程とを含むことを特徴とす
る。
【0039】また、好ましくは前記層間絶縁膜を形成す
る工程は、半導体基板上の絶縁膜にコンタクトホールを
形成する工程と、前記コンタクトホールを埋め込むよう
に前記絶縁膜の上部全面に有機シリコン膜を塗布する工
程と、前記有機シリコン膜を選択的にドライエッチング
することにより、前記コンタクトホールの開口部を含む
前記上の配線層の配線溝形成部分、及び前記コンタクト
ホールの内部における前記有機シリコン膜を除去する工
程と、前記除去工程後の有機シリコン膜を有機シリコン
酸化膜、無機シリコン酸化膜、シリコン酸化膜、及びシ
リコン窒化膜のいずれかからなる絶縁膜に変化させる工
程とを含むことを特徴とする。
【0040】また、好ましくは前記有機シリコン膜の除
去工程において、前記半導体基板上の絶縁膜の上面が前
記ドライエッチングを制御することにより前記有機シリ
コン膜が選択的にエッチングされることを特徴とする。
【0041】また、好ましくは前記層間絶縁膜を形成す
る工程は、前記下の配線層が形成された半導体基板上の
第1の絶縁膜の上部全面に前記有機シリコン膜を塗布す
る工程と、前記有機シリコン膜に前記下の配線層におけ
る金属配線の上面に達するコンタクトホールを形成する
第1の選択的ドライエッチング工程と、前記コンタクト
ホールを備えた有機シリコン膜を有機シリコン酸化膜、
無機シリコン酸化膜、シリコン酸化膜、及びシリコン窒
化膜のいずれかからなる第2の絶縁膜に変化させる工程
と、前記コンタクトホールを埋め込むように前記第2の
絶縁膜の上部全面に有機シリコン膜を塗布する工程と、
前記コンタクトホールの開口部を含む前記上の配線層の
配線溝形成部分、及び前記コンタクトホールの内部にお
ける前記有機シリコン膜を除去することにより、前記第
2の絶縁膜に形成されたコンタクトホールに接続された
上の配線層の配線溝を形成する第2の選択的ドライエッ
チング工程と、前記上の配線層の配線溝を備えた有機シ
リコン膜を有機シリコン酸化膜、無機シリコン酸化膜、
シリコン酸化膜、及びシリコン窒化膜のいずれかからな
る第3の絶縁膜に変化させる工程とを含むことを特徴と
する。
【0042】また、好ましくは本発明のドライエッチン
グを用いた半導体装置の製造方法は、前記第1の絶縁膜
が前記第1のドライエッチング工程における前記下の配
線層周辺部分に生じるボーダレスエッチングを抑制し、
前記第2の絶縁膜が前記上の配線層の配線溝を形成する
第2の選択的ドライエッチング工程を制御することを特
徴とする。
【0043】また、好ましくは前記層間絶縁膜を形成す
る工程は、前記下の配線層が形成された半導体基板上の
絶縁膜の上に第1の有機シリコン酸化膜、あるいは無機
シリコン酸化膜、あるいはシリコン酸化膜のいずれかを
形成する工程と、前記第1の有機シリコン酸化膜、ある
いは無機シリコン酸化膜、あるいはシリコン酸化膜のい
ずれかの上に有機シリコン膜からなるドライエッチング
のストッパーを形成する工程と、前記ストッパーに前記
下の配線層に達するコンタクトホールを形成するための
開口部を設ける工程と、前記開口部を設けた前記ストッ
パーを埋め込むように第2の有機シリコン酸化膜、ある
いは無機シリコン酸化膜、あるいはシリコン酸化膜のい
ずれかを形成する工程と、前記開口部に合わせて上の配
線層の配線溝を形成するためのエッチングマスクを形成
する工程と、前記エッチングマスクと前記開口部を設け
た前記ストッパーとを用いて前記第1、第2の有機シリ
コン酸化膜、あるいは無機シリコン酸化膜、あるいはシ
リコン酸化膜のいずれかを引き続き選択的にドライエッ
チングする工程とを含むことを特徴とする。
【0044】また、好ましくは前記有機シリコン膜から
なるドライエッチングのストッパは、前記第1、第2の
有機シリコン酸化膜、あるいは無機シリコン酸化膜、あ
るいはシリコン酸化膜のいずれかを引き続き選択的にド
ライエッチングする工程を経た後有機シリコン酸化膜に
変化され、前記層間絶縁膜の一部として一体化される工
程を含むことを特徴とする。
【0045】本発明のドライエッチングを用いた半導体
装置の製造方法は、少なくともシリコンとシリコンとの
結合を主鎖に有する有機シリコン膜を半導体基板上に形
成し、少なくとも前記有機シリコン膜の表面に、選択的
に酸素、窒素、水素、及び炭素のいずれかの元素を導入
する工程と、前記有機シリコン膜の表面をマスクとして
選択的にドライエッチングすることにより、前記半導体
装置の絶縁物からなる部分を形成する工程と、前記有機
シリコン膜をドライエッチングした後、少なくとも酸
素、窒素、水素、及び炭素のいずれかの元素を前記有機
シリコン膜の内部に導入することにより、前記有機シリ
コン膜の表面と前記有機シリコン膜の内部とを共に有機
シリコン酸化膜、無機シリコン酸化膜、シリコン酸化
膜、及びシリコン窒化膜のいずれかとして一体化する工
程とを含むことを特徴とする。
【0046】好ましくは、本発明のドライエッチングを
用いた半導体装置の製造方法は、前記有機シリコン膜の
表面をマスクとして選択的にドライエッチングすること
により、前記マスクの開口部周辺の縁が丸く加工される
ことを特徴とする。
【0047】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、少なくともシリコンとシリコ
ンとの結合を主鎖に有する有機シリコン膜を半導体基板
上に形成し、少なくとも前記有機シリコン膜の表面に、
選択的に酸素、窒素、水素、及び炭素のいずれかの元素
を導入する工程と、前記有機シリコン膜の表面をマスク
として選択的にドライエッチングすることにより前記半
導体装置の絶縁物からなる部分を形成する工程と、前記
有機シリコン膜をドライエッチングした後、少なくとも
酸素、窒素、水素、及び炭素のいずれかの元素を前記有
機シリコン膜の内部に導入することにより前記有機シリ
コン膜の表面と前記有機シリコン膜の内部とを互いに異
種の有機シリコン酸化膜、無機シリコン酸化膜、シリコ
ン酸化膜、及びシリコン窒化膜のいずれかとする工程
と、前記有機シリコン膜の表面と内部との選択比エッチ
ングを用いて前記マスクを除去する工程とを含むことを
特徴とする。
【0048】好ましくは、本発明のドライエッチングを
用いた半導体装置の製造方法は、有機シリコン膜を用い
て半導体基板上の絶縁膜の上面にフォトリソグラフィー
工程における反射防止膜を形成し、前記フオトリソグラ
フィー工程の後、前記有機シリコン膜に酸素、窒素、水
素、及び炭素のいずれかの元素を導入することにより前
記反射防止膜を有機シリコン酸化膜、無機シリコン酸化
膜、シリコン酸化膜、及びシリコン窒化膜のいずれかと
し、前記反射防止膜を前記絶縁膜と一体化することを特
徴とする。
【0049】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、有機シリコン膜を用いて半導
体基板上の絶縁膜の上面にフォトリソグラフィー工程に
おける反射防止膜を形成し、前記フオトリソグラフィー
工程の後、前記有機シリコン膜に酸素、窒素、水素、及
び炭素のいずれかの元素を導入することにより前記反射
防止膜を有機シリコン酸化膜、無機シリコン酸化膜、シ
リコン酸化膜、及びシリコン窒化膜のいずれかとし、前
記工程後の反射防止膜と前記絶縁膜との選択的なエッチ
ングを用いて前記反射防止膜をエッチング除去すること
を特徴とする。
【0050】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、半導体基板上に熱酸化膜を形
成する工程と、前記熱酸化膜上に有機シリコン膜を塗布
し前記有機シリコン膜上にシリコン酸化膜を形成する工
程と、前記シリコン酸化膜と有機シリコン膜とからなる
多層膜に前記半導体基板表面に達する開口部を形成する
工程と、前記工程後の前記有機シリコン膜に窒素を導入
することにより前記有機シリコン膜をシリコン窒化膜に
変化させる工程と、前記シリコン酸化膜と前記シリコン
窒化膜とからなる多層膜をマスクとして前記半導体基板
にトレンチを形成する工程とを含むことを特徴とする。
【0051】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、半導体基板上に有機シリコン
膜を塗布し、レジストをマスクとして前記半導体基板上
の素子領域を覆うように前記有機シリコン膜をパターン
形成する工程と、前記パターン形成された有機シリコン
膜と前記レジストとをマスクとして半導体基板に分離溝
を形成し、前記有機シリコン膜に窒素を導入することに
より前記有機シリコン膜をシリコン窒化膜に変化する工
程とを含むことを特徴とする。
【0052】好ましくは、本発明のドライエッチングを
用いた半導体装置の製造方法は、前記分離溝が形成され
た半導体基板の上部全面に前記分離溝を埋め込むように
前記有機シリコン膜をさらに塗布する工程と、前記シリ
コン窒化膜を抑制層として前記有機シリコン膜の表面を
平坦化する工程と、前記分離溝を埋め込む有機シリコン
膜に酸素を導入することにより、前記有機シリコン膜を
シリコン酸化膜、有機シリコン酸化膜、及び無機シリコ
ン酸化膜のいずれかに変化する工程とを含むこと特徴と
する。
【0053】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、半導体基板上にゲート絶縁膜
を形成し、前記ゲート絶縁膜上に少なくとも1層の金属
膜を形成する工程と、前記金属膜上に有機シリコン膜を
塗布し、レジストをマスクとして前記金属膜で覆われた
半導体基板上のゲート電極形成領域に前記有機シリコン
膜をパターン形成する工程と、前記パターン形成された
有機シリコン膜と前記レジストとをマスクとして、前記
ゲート電極形成領域に前記金属膜からなるゲート電極を
パターン形成する工程と、前記有機シリコン膜に窒素を
導入することにより前記パターン形成された有機シリコ
ン膜を窒化膜とする工程とを含むことを特徴とする。
【0054】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、半導体基板上にゲート絶縁膜
を形成し、前記ゲート絶縁膜上に少なくとも1層の金属
膜を形成し、前記金属膜で覆われた半導体基板のゲート
電極形成領域に前記金属膜からなるゲート電極をパター
ン形成する工程と、前記ゲート電極をシリコン窒化膜で
被覆し、前記半導体基板の上部全面に前記ゲート電極を
埋め込むように第1の絶縁膜を堆積する工程と、前記第
1の絶縁膜の表面を平坦化し、この平坦化された第1の
絶縁膜上に有機シリコン膜を塗布する工程と、前記ゲー
ト電極に隣接するソース又はドレイン形成領域のいずれ
かと前記ゲート電極の前記ソース又はドレイン側の一部
とを覆う前記有機シリコン膜を、レジストをマスクとす
るドライエッチングを用いて選択的に除去することによ
り、前記第1の絶縁膜に達するコンタクトホールを前記
有機シリコン膜に形成する工程と、前記レジストと前記
有機シリコン膜とをマスクとするドライエッチングを用
いて前記第1の絶縁膜をさらにエッチングすることによ
り、前記コンタクトホールの底部に前記シリコン窒化膜
を露出する工程と、前記エッチングをさらに進めて前記
シリコン窒化膜とゲート絶縁膜とを除去することによ
り、前記半導体基板上のソース又はドレイン形成領域の
いずれかの表面を自己整合的に露出する工程と、前記有
機シリコン膜を有機シリコン酸化膜、無機シリコン酸化
膜、シリコン酸化膜、及びシリコン窒化膜のいずれかか
らなる第2の絶縁膜に変化させることにより前記有機シ
リコン膜を前記第1の絶縁膜と一体化し、前記コンタク
トホールを配線の接続に用いる工程とを含むことを特徴
とする。
【0055】また、本発明のドライエッチングを用いた
半導体装置の製造方法は、半導体基板上にゲート絶縁膜
を形成し、前記ゲート絶縁膜上に少なくとも1層の金属
膜を形成し、前記金属膜で覆われた半導体基板のゲート
電極形成領域に前記金属膜からなるゲート電極をパター
ン形成する工程と、前記ゲート電極をシリコン窒化膜で
被覆し、前記シリコン窒化膜に積層して第1のシリコン
酸化膜をさらに被覆する工程と、前記半導体基板の上部
全面に有機シリコン膜を塗布し、前記ゲート電極に隣接
するソース又はドレイン形成領域と前記ゲート電極の前
記ソース又はドレイン形成領域側の一部とを覆う前記有
機シリコン膜を、レジストをマスクとするドライエッチ
ングを用いて選択的に除去することにより、前記有機シ
リコン膜に前記第1のシリコン酸化膜に達するコンタク
トホールを形成する工程と、前記有機シリコン膜に酸素
を導入することにより、前記有機シリコン膜を第2のシ
リコン酸化膜に変化し、前記第2のシリコン酸化膜をマ
スクとしてドライエッチングすることにより前記コンタ
クトホールの底面に露出した前記第1のシリコン酸化膜
を除去する工程と、前記第1のシリコン酸化膜の除去に
より露出した前記シリコン窒化膜と前記ゲート絶縁膜と
をさらに除去することにより、前記半導体基板上に形成
されたソース又はドレイン形成領域のいずれかの表面を
自己整合的に露出し、前記コンタクトホールを配線の接
続に用いる工程とを含むことを特徴とする。
【0056】また、本発明のドライエッチング方法は、
半導体装置の絶縁物からなる部分を形成するドライエッ
チング方法であって、少なくともシリコンとシリコンと
の結合を主査に有する有機シリコン膜を半導体基板上に
形成し、少なくとも前記有機シリコン膜をドライエッチ
ングすることにより前記半導体装置の絶縁物からなる部
分を形成する工程と、前記工程後の有機シリコン膜を、
少なくともO2 又はN 2 又はH2 ガス中における熱処
理、O2 又はN2 又はH2 プラズマ中における熱処理、
2 又はN2 又はH2 イオンの注入と熱処理のいずれか
の方法を用いて処理することにより、前記加工部分を有
機シリコン酸化膜、無機シリコン酸化膜、シリコン酸化
膜、シリコン窒化膜のいずれかからなる絶縁膜に変化さ
せることを特徴とする。
【0057】
【発明の実施の形態】以下、図面を参照して本発明の実
施の形態を詳細に説明する。図1乃至図3を用いて本発
明の第1の実施の形態を説明する。図1乃至図3は、二
重溝配線の形成に必要なコンタクトホールを含む層間絶
縁膜の構造と、ドライエッチングによる形成方法、及び
その問題点を説明する図である。
【0058】ここで二重溝配線の層間絶縁膜には、第1
層の溝配線を形成するための第1の溝加工と、第2層の
溝配線を形成するための第2の溝加工と、第1、第2の
溝加工部分を相互に接続するコンタクトホール加工とが
施される。以下、層間絶縁膜における溝加工部分を配線
溝とよぶことにする。
【0059】図1(a)に示すように、シリコン基板1
の上に絶縁膜2を形成し、絶縁膜2に設けた第1の配線
溝を埋め込むように、シリコン窒化膜4で周辺を被覆し
た金属配線3が形成される。絶縁膜2の材料には通常シ
リコン酸化膜が用いられる。絶縁膜2の表面は、シリコ
ン窒化膜4をストッパー(研磨工程の抑制層)としてC
MP(Chemical Mechanical Polish)により平坦化する。
なお、図1(a)に示す第1層の溝配線の形成工程につ
いては、第1の実施の形態とは直接関連しないので詳細
な説明を省略する。
【0060】次に図1(b)に示すように、平坦化され
た絶縁膜2の上にさらに同じ絶縁材料からなる絶縁膜を
積層してその表面を平坦化し、RIEによる異方性エッ
チングを用いてコンタクトホール5を形成する。このと
きシリコン窒化膜4はエッチングストッパー(エッチン
グの抑制層)となる。
【0061】次に図1(c)に示すように、全面にポリ
シラン6を塗布する。このポリシラン6の厚さは第2の
配線溝の深さと等しくなるようにする。ポリシラン6は
流動性があるので、コンタクトホール5の内部はポリシ
ラン6で埋め込まれ、また塗布工程でポリシラン6の表
面は十分に平坦化され、ウエハ全面に亘って第2の配線
溝の深さを一定にすることができる。
【0062】次に、ポリシラン6に第2の配線溝を形成
するためレジスト7を塗布し、第2の配線溝を形成する
ための開口部を設ける。このレジスト7をマスクとし
て、絶縁膜2に対するポリシラン6のエッチング選択比
が大きい条件で、RIEによるポリシラン6の異方性エ
ッチングを行えば、図2(d)に示すように、絶縁膜
2、及びシリコン窒化膜4をエッチングストッパーとし
て、コンタクトホール5の形状に何等の影響を与えるこ
となく第2の配線溝8を形成することができる。
【0063】このとき用いたRIEのエッチング条件
は、チャンバー内の圧力75mTorr、高周波電力3
00W、Cl2 /O2 混合ガスの流量比75/10sc
cmであった。この条件で、シリコン酸化膜(Si
2 )からなる絶縁膜2とポリシラン6との間に100
程度の高いエッチング選択比の値が得られた。
【0064】ポリシラン6は容易に、かつ精密にRIE
で異方性エッチングされ、またシリコン窒化膜4との間
にも50程度のエッチング選択比がとれるので、シリコ
ン窒化膜4を残してコンタクトホール5の内部を埋める
ポリシラン6を完全に除去することができる。
【0065】次に、O2 アッシング工程を用いてレジス
ト7を除去する。このときシリコン基板1の温度が25
0℃程度になり、熱拡散によりポリシラン6に酸素が導
入されることによりポリシラン6がシリコン酸化膜に変
化し、絶縁膜2の一部となる。このとき、ポリシランか
ら変化したシリコン酸化膜は、酸化の程度が強ければS
iO2 に近い結晶構造を示すようになり、電気的にもS
iO2 からなる絶縁膜とみなすことができる。
【0066】このようにして、図2(e)に示すように
ポリシラン6が絶縁膜2の一部となり、コンタクトホー
ル5と第2の配線溝8とを備えた二重溝配線の層間絶縁
膜2が高い精度で形成される。
【0067】次に図2(f)に示すように、シリコン窒
化膜に対するRIEエッチングの条件で金属配線3の上
部を被覆するシリコン窒化膜4を除去し、コンタクトホ
ール5と第2の配線溝8とを埋め込むように金属配線の
材料を堆積する。金属配線の材料としてはAl−Cu、
及びCuを使用することができる。その後、絶縁膜2を
ストッパーとして、過剰に堆積した金属配線除去部3a
をCMPにより除去すれば、加工形状が精密に制御され
た良好な二重溝配線を形成することができる。
【0068】次に、ここに示した二重溝配線の層間絶縁
膜形成方法の他の重要な利点について、図3を用いて説
明する。図3(a)に示すように、絶縁膜2のコンタク
トホール5を形成する際、エッチングマスクの合わせず
れを生じた場合について詳細に説明する。
【0069】従来、SiO2 等からなる絶縁膜の表面を
CMPにより平坦化する際、例えば、エッチングストッ
パーの面内分布に粗密があれば、ウエハ全面に亘って均
一な平坦化加工を行うことが困難となる。
【0070】したがって、図3(a)までのコンタクト
ホール5の形成工程において、コンタクトホール形成前
の絶縁膜2の厚さには、ある程度のばらつきが含まれ
る。
【0071】このように、厚さの値にばらつきのある絶
縁膜に対して、金属配線3を被覆する窒化膜4に確実に
到達するようコンタクトホール5を形成するためには、
規定の厚さよりも20%乃至30%過剰に異方性RIE
を進めなければならない。
【0072】このため、もしエッチングマスクの合わせ
ずれを生じた場合には、ずれた部分ではシリコン窒化膜
4がエッチングストッパーとして働かないので、図3
(a)に示すような過剰なエッチングを生じ、配線不良
の原因となる。このように、コンタクトホールの底部に
生じた過剰なエッチングをボーダーレスエッチングとよ
ぶ。
【0073】従来、二重溝配線の層間絶縁膜形成方法に
おいて、当初から絶縁膜2の厚さを図2(e)に示す厚
さとし、シリコン窒化膜4が露出するまでコンタクトホ
ール5を異方性RIEで形成し、さらに第2の配線溝8
を異方性RIEで形成していた。
【0074】このため、コンタクトホール5形成時の絶
縁膜2の厚さが厚くなり、したがつて、前記過剰に進め
る異方性RIEの量も大となる。さらに、配線溝8形成
時の異方性RIEが加えられるため、ボーダレスエッチ
ングは2回の異方性RIEを受けることになる。したが
って前記ボーダレスエッチングの発生は、二重溝配線の
層間絶縁膜形成工程における重要な問題点のひとつとな
っていた。
【0075】ここに示した第1の実施の形態では、第2
の配線溝8がポリシラン6を用いて形成されるため、コ
ンタクトホール5形成時の絶縁膜2の厚さが小さく、し
たがって、図3(a)に示す絶縁膜2のボーダレスエッ
チングが抑制される。さらに、配線溝8は、加工の容易
なポリシランを用いて行われ、絶縁膜2との間のエッチ
ング選択比が大きいので、図3(b)に示すようにコン
タクトホール5のポリシランを除去する異方性RIE工
程においてボーダレスエッチングが進行する恐れはな
い。
【0076】次に図3(c)に示すように、O2 アッシ
ングによるレジスト7の除去とポリシラン6の酸化とを
同時に行い、金属配線の材料を埋め込み平坦化すればボ
ーダレスエッチングの小さい二重溝配線を形成すること
ができる。
【0077】本第1の実施の形態は、DRAM部分とロ
ジック部分とを1チップ上に搭載したメモリ・ロジック
混載LSIの製造方法として特に有用である。さきにの
べたように、ロジック部分の合わせマージンはメモリ部
に比べて小さいので、ボーダレスエッチングはロジック
部で生じ易いが、第1の実施の形態を用いれば、この場
合でも高い歩留まりでメモリ・ロジック混載LSIを製
造することができる。次に、図4、図5に基づき本発明
の第2の実施の形態について説明する。図4(a)に示
すように、絶縁膜2に第1層の溝配線を形成し、シリコ
ン窒化膜4をストッパーとして平坦化した後、全面にポ
リシラン6を塗布する。レジスト7と異方性RIEとを
用いてポリシラン6にコンタクトホール5を形成し、O
2 アッシングによりレジスト7を除去すると同時に、ポ
リシラン6をシリコン酸化膜からなる絶縁膜2に変化さ
せ、第1の実施の形態で説明した図1(b)に示す絶縁
膜2の形状とする。これを用いて図1(c)以降の工程
を進めることができる。第2の実施の形態において、コ
ンタクトホール5のレジストマスク7を形成する際、合
わせずれを生じた場合を図5に示す。第2の実施の形態
では、図5(a)に示すような合わせずれを生じてもコ
ンタクトホール5がポリシラン6に形成されるため、絶
縁膜2との間に十分なエッチング選択比をとることがで
きる。
【0078】このため、絶縁膜2がエッチングストッパ
ーとなって異方性RIEの制御性が高められ、ボーダレ
スエッチングを回避することができる。
【0079】次に図5(b)に示すように、O2 アッシ
ング工程でレジスト7を剥離すると同時にポリシラン6
をシリコン酸化膜に変化させ、これを絶縁膜2の一部と
した後、金属配線4の上部を覆うシリコン窒化膜4を除
去すれば、図3(c)以降の第1の実施の形態の二重溝
配線の形成工程を引き続き適用することができる。
【0080】図5(b)では、図3(c)のようなボー
ダレスエッチングが全く生じないことに特徴がある。コ
ンタクトホール5を埋める金属配線の材料と、第1層の
金属配線3との接続面積は小さくなるが、ボーダレスエ
ッチングによる配線不良を大幅に軽減することができ
る。
【0081】第2の実施の形態を用いれば、メモリ・ロ
ジック混載LSIにおけるボーダレスエッチングによる
ロジック部の歩留まり低下が大幅に抑制されることはい
うまでもない。
【0082】なお、第2の実施の形態は二重溝配線のコ
ンタクトホール5の形成方法として説明したが、必ずし
もこれに限定されるものではない。通常の多層配線のコ
ンタクトホール形成においても同様の方法を用いること
ができる。
【0083】次に、図6を用いて、本発明の第3の実施
の形態について説明する。第3の実施の形態では、図4
(a)の絶縁膜2がポリシラン6からなることが、第2
の実施の形態と異なる。
【0084】図6(a)に示すように、シリコン基板1
にポリシラン6を塗布する。このシリコン基板1は、ウ
エハ工程終了後のものであって半導体装置の各種の構成
要素が作り込まれているために、通常その表面には複雑
な段差が形成されている。
【0085】しかし、ポリシラン6は流動性があるた
め、塗布により段差が埋め込まれると同時に、特に表面
研磨をしなくてもウエハ全面に亘って均一なドライエッ
チング加工を行うに十分な表面の平坦性を備えている。
【0086】次に、レジスト(図示せず)をマスクとし
て異方性RIEを行い、第1の配線溝をポリシラン6に
形成する。さきにのべように、ポリシランは異方性RI
Eが容易であり、かつ表面が平坦であるため、特にエッ
チングストッパーがなくても単にRIE条件を制御する
だけで、実用上均一とみなせる深さの第1の配線溝を形
成することができる。
【0087】シリコン窒化膜3の被覆工程と金属配線4
の埋め込み工程、及びポリシラン6の上に残留した余分
の金属配線材料とシリコン窒化膜とを除去する工程等を
組み合わせて図6(a)に示す第1層の溝配線を形成す
る。
【0088】なお図6(a)において、ポリシラン6は
第1の配線溝のパターン形成に用いたレジスト(図示せ
ず)をO2 アッシング除去する工程でシリコン酸化膜に
変化するが、第2の実施の形態との相違を示すためにポ
リシラン6として図示されている。
【0089】次に図6(b)に示すように、前記シリコ
ン酸化膜からなる絶縁膜2と窒化膜4を被覆した金属配
線3の上にポリシラン6を塗布し、コンタクトホールの
開口位置に合わせてレジスト7をパターン形成する。
【0090】次に図6(c)に示すように、レジスト7
をマスクとして窒化膜4に達するコンタクトホール5を
ポリシラン6に形成する。O2 アッシングでレジスト7
を除去すると同時にポリシラン6をシリコン酸化膜から
なる絶縁膜2に変化させ、金属配線3の上の窒化膜4を
除去すれば、図6(d)に示すように、第2の実施の形
態で説明した図4(b)の絶縁膜2の構造を全てポリシ
ラン6を材料として形成することができる。
【0091】絶縁膜2に変化したポリシラン6は、一体
の絶縁膜2と同様の加工特性を備えているため、図1
(c)以降の第1の実施の形態の製造方法を引き続き適
用することができる。
【0092】第3の実施の形態において、図6(a)の
第1層の溝配線をポリシランで形成する効果は、シリコ
ン基板1の表面に段差があってもポリシランの平坦な表
面が得られることにある。従来のように絶縁膜2を堆積
する方法では、下地に段差があれば絶縁膜2の表面にも
段差を生じるので、幅と深さが均一な第1の配線溝を形
成することができなかった。
【0093】絶縁膜2を厚く堆積しCMPで平坦化すれ
ば第1の配線溝の形状は改善されるが、研磨に用いるス
トッパーの粗密等の問題が残り、ウエハ全面に亘って平
坦化することは通常困難である。ポリシラン6を塗布す
ればこの問題を極めて容易に回避することができる。な
お本第3の実施の形態において、ボーダレスエッチング
に関し第2の実施の形態と同様の効果が得られることは
いうまでもない。
【0094】次に、図7乃至図9を用いて本発明の第4
の実施の形態を説明する。従来、高アスペクト比のコン
タクトホール加工、または配線溝加工において、異方性
RIEのマスクとして用いるレジストの膜減りを生じて
レジストの残膜が薄くなり、マスクとして役立たなくな
ることが問題とされてきた。
【0095】これを回避するため、レジストを用いてコ
ンタクトホール開口部の逆パターンを形成し、露出した
開口外部のポリシラン表面をO2 RIEまたは、O2
イオン注入によりシリコン酸化膜からなる絶縁膜に変化
させ、この絶縁膜をマスクにしてポリシランを開口する
ことにより、良好なコンタクトホールや配線溝を形成す
ることが可能である。
【0096】すなわち図7(a)に示すように、シリコ
ン基板1の上の絶縁膜2、及び窒化膜4で被覆された金
属配線3からなる第1層の溝配線の上にポリシラン6を
塗布し、コンタクトホールの形成位置を覆うようにレジ
スト7をパターン形成する。次にレジスト7をマスクと
して、O2 RIE装置を用いてO2 イオンをポリシラン
6の表面に導入する。引き続きO2 アッシングでレジス
ト7を除去すれば、図7(b)に示すように、ポリシラ
ン6の表面にシリコン酸化膜からなる絶縁膜2が形成さ
れる。なお、このレジスト7のO2 アッシングは、レジ
スト7の除去に必要な最小限度にとどめ、レジスト7の
下部に覆われていたポリシラン6の表面がシリコン酸化
膜に変化しないようにする。
【0097】さきにのべたように、絶縁膜2に対するポ
リシラン6のエッチング選択比は大きくすることができ
るため、レジスト7を剥離した後、図7(c)に示すよ
うに、絶縁膜2をマスクとする異方性RIEを行えば、
窒化膜4をエッチングストッパーとしてポリシラン6に
コンタクトホール5を形成することができる。
【0098】引き続き、RIEと同一チャンバー内でO
2 アッシングと同様な処理を行えば、ポリシラン6に開
口したコンタクトホール5の内面からO2 が導入され、
ポリシラン6全体をシリコン酸化膜からなる絶縁膜に変
化することができる。
【0099】このようにして、図8(d)に示すよう
に、第1の配線溝の形成に用いた絶縁膜2と、コンタク
トホール5の形成と、エッチングマスクとして用いた絶
縁膜とを、全てシリコン酸化膜からなる一体の絶縁膜2
として形成することができる。このとき、エッチングマ
スクとして用いた絶縁膜2aの開口部周辺の縁の部分が
異方性RIEで丸く加工される。この丸い加工部分が過
度に生じて構造上の問題となる場合には、図8(d)に
示すように、CMPを用いて絶縁膜2a部分を研磨除去
すればよい。
【0100】しかし、図8(d)の絶縁膜2のコンタク
トホールが高アスペクト比である場合には、コンタクト
ホールに金属配線材料を埋め込む際、開口部周辺の縁に
生じた丸い加工部分が埋め込みを円滑化する効果がある
ことに注目しなければならない。
【0101】次に図8(e)に示すように、コンタクト
ホールを埋め込むように全面にポリシラン6を塗布し、
レジスト7を用いて、第2の配線溝の開口部を覆うよう
に逆のパターニングを行う。このレジスト7をマスクと
して、O2 RIE装置を用いて酸素をポリシラン6の表
面に導入する。
【0102】次に図7(b)、図7(c)の工程を、図
8(f)、図9(g)に示すように繰り返し、引き続き
同一チャンバー内でO2 アッシングと同様な処理を行え
ば、図9(h)に示すように、全てシリコン酸化膜から
なる二重溝配線の層間絶縁膜2をシリコン基板1の上に
形成することができる。
【0103】次に図9(i)に示すように、金属配線3
の上部のシリコン窒化膜4を除去し、コンタクトホール
5と第2の配線溝8とを埋め込むように金属配線の材料
を堆積する。金属配線の材料としてはAl−Cu、及び
Cuを使用することができる。その後、絶縁膜2をスト
ッパーとして過剰に堆積した金属配線除去部3aをCM
Pにより除去すれば、加工形状が精密に制御された良好
な二重溝配線を形成することができる。
【0104】このとき異方性RIEにより、エッチング
マスクとして用いた絶縁膜2aの開口部周辺の縁の丸い
加工部分が過度に生じて構造上の問題となる場合には、
CMPをさらに進めて絶縁膜除去部2aを研磨除去すれ
ば良い。
【0105】しかし、図9(h)に示す第2の配線溝8
が高アスペクト比である場合には、この配線溝に金属配
線材料を埋め込む際、開口部周辺に生じた図9(g)の
絶縁膜除去部2aが、前記埋め込み工程を円滑化する効
果があることに注目しなければならない。
【0106】次に、図10を用いて本発明の第5の実施
の形態について説明する。図10(a)において、コン
タクトホール5を埋め込むようにポリシラン6を全面に
塗布する工程までは、図8(e)に示す第4の実施の形
態と同様である。
【0107】前記第4の実施の形態では、ポリシラン6
の第2の配線溝8の開口部を覆うようにレジスト7を逆
パターニングにより形成したが、第5の実施の形態で
は、ポリシラン6を全面に塗布した後、レジスト7を用
いて配線溝8の形成位置を開口する通常のパターニング
を行う。
【0108】第2層の溝配線が加工の容易なポリシラン
6を用いて形成されるので、異方性RIEにおけるレジ
ストマスクの膜減りが小さい。このため図10(b)に
示すように、レジスト7を第2の配線溝形成のエッチン
グマスクとして用いることができる。
【0109】図10(c)に示すように、レジスト7の
2 アッシング除去工程と同時にポリシラン6をシリコ
ン酸化膜からなる絶縁膜2に変化させれば、シリコン基
板1の上の二重溝配線の層間絶縁膜2を一体のシリコン
酸化膜からなる絶縁膜にすることができる。
【0110】なお異方性RIEにおいて、レジスト7の
開口周辺の縁に生じる丸い加工部分はレジスト7と共に
除去されるので、丸い部分が加工形状として望ましくな
い場合にはこの方法が有用である。引き続き図2(f)
の金属配線材料の埋め込み工程を付加すれば、二重溝配
線を形成することができる。
【0111】前記第1乃至第5の実施の形態では、シリ
コン酸化膜からなる絶縁膜の形成方法としてO2 プラズ
マを用いたRIE、又はO2 イオンの注入を用いること
をのべたが、必ずしもこれに限定されるものではない。
【0112】その他O2 雰囲気中における熱酸化、O3
プラズマを用いたアッシング、紫外線光照射、及び硫酸
と過酸化水素とを混合した水溶液中への浸漬等により、
ポリシランをシリコン酸化膜からなる絶縁膜に変化させ
ることができる。
【0113】ポリシラン等の有機シリコン膜は、酸化の
程度により酸化膜中にカーボンが残留した有機シリコン
酸化膜からカーボンは除去されているが、結晶構造はS
iO 2 に比べて不規則性を含み、かつOH基のある無機
シリコン酸化膜から、さらに熱処理が進められて結晶構
造がSiO2 と同程度にされたシリコン酸化膜まで種々
の段階の絶縁膜に変化させることができる。
【0114】例えば第1層の溝配線、及びコンタクトホ
ールを有機シリコン酸化膜を用いて形成した後、有機シ
リコンを塗布して第2の配線溝を形成し、O2 単体のガ
ス中でアッシングする際、温度制御を行って有機シリコ
ンにカーボンを残すこと、又は、O2 とCO2 の混合ガ
ス雰囲気中で熱処理することにより、第2の配線溝を形
成する有機シリコンを有機シリコン酸化膜と同程度の誘
電率を有する有機膜系のシリコン酸化膜に変化させるこ
とができる。
【0115】また、ポリシラン等の有機シリコンに対し
て、O2 とCO2 混合ガス雰囲気中での熱処理、又はC
Oを用いたRIE、又はCOのイオン注入を行うことに
より、有機シリコン酸化膜と同程度の誘電率を有する有
機膜系のシリコン酸化膜を形成することができる。
【0116】また、ポリシラン等の有機シリコンに対し
て、H2 単体のガス、H2 とO2 との混合ガスによるア
ッシング、RIE、イオン注入、又は熱処理することに
より無機シリコン酸化膜を形成することができる。
【0117】また、ポリシラン等の有機シリコンに対し
て、N2 単体のガス、N2 とO2 との混合ガス雰囲気中
で熱処理すること、又はこれらのガスを用いてアッシン
グ、RIE、又はイオン注入することにより、シリコン
酸化窒化膜、シリコン窒化膜を形成することができる。
【0118】これらの各種の有機膜系のシリコン酸化
膜、シリコン酸化窒化膜、シリコン窒化膜は、いずれも
本発明のコンタクトホールや配線溝のドライエッチング
加工等に用いることができる。
【0119】次に、図11を用いて本発明の第6の実施
の形態について説明する。第6の実施の形態では、図1
1(a)に示すように、二重溝配線の層間絶縁膜形成に
おいて、第1層の溝配線とコンタクトホール形成までが
有機シリコン酸化膜2bを用いて行なわれる。有機シリ
コン酸化膜2bの形成方法は、図6(a)乃至図6
(d)を用いて第3の実施の形態でのべた方法と同様に
行われるため、詳細な説明を省略する。
【0120】図11(a)に示すように、前記有機シリ
コン酸化膜2bの上に、コンタクトホールを埋め込むよ
うにポリシラン6を塗布し、レジスト7を用いて第2の
配線溝の形成部分を開口する。次に図11(b)に示す
ように、レジスト7をエッチグマスクとして異方性RI
Eを用いて、ポリシラン6に第2の配線溝8を形成し、
さらにコンタクトホール5を満たすポリシラン6を窒化
膜4が露出するまで除去する。
【0121】引き続きO2 とCO2 との混合ガスを用い
たアッシングを行い、レジスト7を除去すると同時に、
ポリシラン6が有機シリコン酸化膜2bの一部として等
しい誘電率を持つよう変化させる。このようにして、全
て一体の有機シリコン酸化膜からなる二重溝配線の層間
絶縁膜を形成することができる。
【0122】次に、図12を用いて本発明の第7の実施
の形態について説明する。第7の実施の形態では、第2
の配線溝形成のためのエッチングストッパーとなるポリ
シラン6aを埋め込むように、二重溝配線の層間絶縁膜
全体が有機シリコン酸化膜2bを用いて形成される。
【0123】図12(a)に示すように、ポリシラン6
aからなるエッチングストッパーにはあらかじめ開口部
が設けられ、コンタクトホール形成のためのエッチング
マスクとしても役立てられる。さらに有機シリコン酸化
膜2bの上に反射防止膜としてポリシラン6を塗布し、
レジスト7を用いて第2の配線溝の形成領域に開口部を
設ける。
【0124】次に図12(b)に示すように、RIEを
用いてポリシラン6からなる反射防止膜を除去し、引き
続き有機シリコン酸化膜2bに対するRIE条件を用い
て窒化膜4に達する異方性エッチングを行えば、1回の
RIE工程でコンタクトホール5と配線溝8とを形成す
ることができる。
【0125】このとき、C4 8 /CO/Ar/O2
合ガスの流量比を10/50/200/8sccmと
し、圧力40mTorr、高周波電力1400W印加の
RIE条件で異方性エッチングすれば、ポリシラン6の
有機シリコン酸化膜に対するエッチング選択比を10以
上とすることができるので、ポリシラン6をコンタクト
ホール5のエッチングマスクとすると同時に、第2の配
線溝8形成のエッチングストッパーとして用いることが
できる。
【0126】次に図12(c)に示すように、金属配線
3の上部を被覆するシリコン窒化膜4を除去した後、O
2 とCO2 との混合ガスを用いてアッシングを行い、レ
ジスト7を除去すると同時にポリシラン6、6aが有機
シリコン酸化膜2bの一部として等しい誘電率を示すよ
うに変化させる。このようにして、全て一体の有機シリ
コン酸化膜からなる二重溝配線の層間絶縁膜を形成する
ことができる。
【0127】上記第7の実施の形態において、ポリシラ
ン6aを埋め込むように有機シリコン酸化膜2bを形成
しなければならない。すなわち、有機シリコン酸化膜2
bの形成過程でポリシラン6aの酸化を防ぐ必要があ
る。ポリシラン6aの酸化防止のためには、例えばポリ
シラン6aの表面を薄いプラズマSiO2 膜で覆う等の
中間処理を加えてO2 の侵入に対するバリアを形成すれ
ばよい。
【0128】薄いSiO2 膜は、図12(b)の工程で
ポリシラン6aの表面から除去されるので、図12
(c)の工程でポリシラン6aが有機シリコン酸化膜の
一部に変化する妨げにはならない。
【0129】第7の実施の形態では、層間絶縁膜の材料
として有機シリコン酸化膜を用いる場合について説明し
たが、必ずしも有機シリコン酸化膜に限定されるもので
はない。層間絶縁膜の材料として無機シリコン酸化膜、
シリコン酸化膜を用いる際、同様にポリシラン6aをエ
ッチングストッパー及びエッチングマスクとして使用す
ることができる。
【0130】このとき、反射防止膜6としてポリシラン
を用いるが、必ずしもポリシランに限定されるものでは
なく、例えば有機膜系の反射防止膜を用いてもよい。有
機膜系の反射防止膜を用いればレジスト7をアッシング
により除去する際、反射防止膜も共に除去することがで
きる。
【0131】次に、図13を用いて、本発明の第8の実
施の形態について説明する。第8の実施の形態では、ポ
リシランをシリコン窒化膜に変化させ、高アスペクト比
のコンタクトホール形成のマスクとして用いる。
【0132】図13(a)に示すように、第1層の溝配
線を絶縁膜2を用いて形成し、その上に再度、絶縁膜2
を堆積して、第1の溝配線を厚い絶縁膜2で埋め込み、
表面を平坦化する。次にポリシラン6を塗布し、その上
に高アスペクト比のコンタクトホール開口用のレジスト
7をパターン形成する。ポリシラン6はレジスト7を開
口するリソグラフィー工程の露光の反射防止膜である。
【0133】次に、レジスト7をエッチングマスクとし
て、異方性RIEにより絶縁膜2にコンタクトホール5
を形成し、金属配線3を被覆する窒化膜4を露出させ
る。このとき窒化膜4は異方性RIEのストッパーとな
る。
【0134】引き続き図13(b)に示すように、レジ
ストマスク7をN2 アッシングにより除去すると同時に
ポリシラン6からなる反射防止膜をシリコン窒化膜4に
変化させる。このシリコン窒化膜4と金属配線3を被覆
するシリコン窒化膜4とをホット燐酸処理、あるいはC
DE(Chimical Dry Etching)によりエッチング除去すれ
ば、図13(c)に示すように、第1層の金属配線3に
達する高アスペクト比のコンタクトホール5が得られ
る。
【0135】第8の実施の形態は、従来O2 アッシング
でレジスト7を除去する際、表面に残留して除去が困難
であったポリシラン6からなる反射防止膜を、N2 アッ
シングでレジスト7を除去すると同時にシリコン窒化膜
に変化し、ウエットエッチング、あるいはCDEにより
容易に除去する点に特徴がある。
【0136】次に、図14を用いて本発明の第9の実施
の形態について説明する。第9の実施の形態は第8の実
施の形態の変形例であり、高アスペクト比のコンタクト
ホールを直接シリコン基板1に達するように形成する場
合を示している。
【0137】図14(a)に示すように、シリコン基板
1の上に直接厚い絶縁膜2を形成し、ポリシラン6から
なる反射防止膜を塗布し、その上にコンタクトホールの
開口部を設けたレジスト7をパターン形成する。
【0138】このレジスト7をエッチングマスクとし
て、異方性RIEを用いてシリコン基板1の表面に達す
るコンタクトホール5を形成し、引き続き図14(b)
に示すように、N2 アッシングによりレジスト7を除去
し、同時にポリシラン6からなる反射防止膜を窒化膜4
に変化する。
【0139】窒化膜4に変化した反射防止膜をホット燐
酸処理で除去すれば、図14(c)に示すシリコン基板
の表面に達する高アスペクト比のコンタクトホールを形
成することができる。
【0140】次に、図15を用いて本発明の第10の実
施の形態について説明する。第10の実施の形態はコン
タクトホール5を形成後、ポリシラン6からなる反射防
止膜を絶縁膜2の一部とする点が第8の実施の形態と異
なる。
【0141】図15(a)に示すように、シリコン基板
1の上に直接厚い絶縁膜2を形成し、ポリシラン6から
なる反射防止膜を塗布し、その上にコンタクトホールの
開口部を設けたレジスト7をパターン形成する。
【0142】このレジスト7をエッチングマスクとし
て、異方性RIEを用いてシリコン基板1の表面に達す
るコンタクトホール5を開口し、引き続き図15(b)
に示すように、O2 アッシングによりレジスト7を除去
すると同時にポリシラン6をシリコン酸化膜に変化すれ
ば、反射防止膜を絶縁膜2の一部とすることができる。
第10の実施の形態において、はじめにシリコン基板1
の上に形成する絶縁膜2として、ポリシランを酸化した
絶縁膜を用いれば、図15(b)におけるシリコン基板
1に達するコンタクトホールが反射防止膜を含めて一体
のシリコン酸化膜からなる絶縁膜として形成することが
できる。
【0143】なお、シリコン基板1の上に形成する絶縁
膜2としてポリシランから変化したシリコン窒化膜を用
い、レジスト7をN2 アッシングにより除去すれば、反
射防止膜として用いたポリシラン6がシリコン窒化膜に
変化するので、前記コンタクトホールを反射防止膜を含
めて一体のシリコン窒化膜からなる絶縁膜として形成す
ることができる。
【0144】第10の実施の形態では、シリコン基板1
に達するコンタクトホールを形成する場合について説明
したが、同様にして第1層の溝配線へのコンタクトホー
ルを形成することができる。
【0145】次に、図16を用いて本発明の第11の実
施の形態について説明する。図16(a)に示すよう
に、シリコン基板1の上に厚い絶縁膜2を形成し、ポリ
シラン6からなる反射防止膜を塗布し、コンタクトホー
ルの開口部を設けたレジスト7をパターン形成した後、
レジスト7をマスクとして異方性RIEによりポリシラ
ン6を開口する。引き続き図16(b)に示すように、
2 アッシングを行ってレジスト7を除去すると同時
に、ポリシラン6をシリコン窒化膜に変化させる。次
に、図16(c)に示すように、シリコン窒化膜4をマ
スクとして異方性RIEによりシリコン基板1に達する
高アスペクト比のコンタクトホールを形成する。
【0146】直接レジストマスクを用いて、異方性RI
Eによる高アスペクト比のコンタクトホール加工を行え
ばレジストマスクの膜減りを生じるが、第11の実施の
形態に示すように、シリコン窒化膜4を異方性RIEの
マスクとして用いればSiO 2 等からなる絶縁膜2との
間で高いエッチング選択比がとれるので、前記膜減りの
問題を回避することができる。
【0147】図16(c)において、絶縁膜2の上のシ
リコン窒化膜4はホット燐酸で除去してもよいし、絶縁
膜2をポリシランから変化したシリコン窒化膜として絶
縁膜2の一部として一体化してもよい。このときシリコ
ン窒化膜4の開口部周辺に生じた丸い加工部分は、コン
タクトホール5に金属配線材料を円滑に埋め込むのに役
立つ。
【0148】次に、図17を用いて本発明の第12の実
施の形態について説明する。図17(a)に示すよう
に、シリコン基板1に厚いシリコン酸化膜からなる絶縁
膜2を形成し、その上にポリシラン6からなる反射防止
膜を塗布する。レジスト7を用いて、コンタクトホール
開口部を覆うようにレジスト7の逆パターンを形成す
る。次にN2 を用いたRIEにより、ポリシラン4の露
出した表面をシリコン窒化膜4に変化させた後、レジス
ト7を除去する。
【0149】次に図17(b)に示すように、シリコン
窒化膜4をマスクとして異方性RIEを行い、ポリシラ
ン6とシリコン酸化膜からなる絶縁膜2にシリコン基板
1に達するコンタクトホールを開口する。
【0150】引き続きO2 アッシングと同様な処理を行
って、ポシラン6をシリコン酸化膜に変化させれば、図
17(c)に示すように、ポリシラン6は前記絶縁膜2
の一部として一体化される。
【0151】ホット燐酸を用いてマスクとして用いたシ
リコン窒化膜4を除去すれば、シリコン酸化膜からなる
絶縁膜2にシリコン基板1に達する高アスペクト比のコ
ンタクトホールが形成される。第12の実施の形態で
も、高アスペクト比のコンタクトホールのエッチングマ
スクとしてシリコン窒化膜が使用されるので、膜減りの
問題を生じる恐れはない。
【0152】次に、図18を用いて本発明の第13の実
施の形態について説明する。図18(a)に示すよう
に、シリコン基板1の上に厚い有機シリコン酸化膜2b
を形成し、ポシラン6からなる反射防止膜とコンタクト
ホール5の形成部を開口したレジスト7とを設ける。引
き続きレジスト7を異方性RIEのマスクとして、シリ
コン基板1に達するコンタクトホールを形成した後、図
18(b)に示すようにO2 アッシングによりレジスト
7を除去する。
【0153】このO2 アッシング工程で、ポリシラン6
はシリコン酸化膜からなる絶縁膜2に変化する。このと
き有機シリコン酸化膜2bとシリコン酸化膜からなる絶
縁膜2との間には、希弗酸に対するエッチング選択性が
あるので、図18(c)に示すように、ポリシラン6か
ら変化したシリコン酸化膜からなる絶縁膜2のみを希弗
酸を用いて容易に除去することができる。
【0154】前記第11乃至第13の実施の形態では、
シリコン基板1に達するコンタクトホールを形成する場
合について説明したが、同様にして第1層の溝配線への
コンタクトホールが形成されることはいうまでもない。
【0155】以上の第1乃至第13の実施の形態におい
ては、主として二重溝配線の層間絶縁膜やコンタクトホ
ールの加工部分にポリシラン等の有機シリコン膜を用る
方法について説明したが、必ずしもこれに限定されるも
のではない。ポリシラン等の有機シリコン膜は、ドライ
エッチングを用いた半導体装置の製造方法としてさらに
広く適用し得ることを以下の実施の形態を例として説明
する。
【0156】図19、図20を用いて第14の実施の形
態について説明する。第14の実施の形態は、素子分離
領域と素子領域との平坦化形成工程にポリシランを用い
た例である。
【0157】図19(a)に示すように、シリコン基板
1にポリシラン6を塗布し、MOS(Metal-Oxide-Semic
onductor) トランジスタ等を形成する素子領域を覆うよ
うにレジスト7をパターン形成する。次に、流量75s
ccmのCl2 と、流量10sccmのO2 との混合ガ
スを用いて、圧力75mTorr、高周波電力300W
印加の条件で、図19(b)に示すように、レジスト7
をマスクとする異方性RIEによりポリシラン6とシリ
コン基板1とをエッチングする。
【0158】引き続き図19(c)に示すように、N2
アッシングを行ってレジスト7を除去すると同時に、ポ
リシラン6をシリコン窒化膜4に変化させる。次に図2
0(d)に示すように、シリコン基板1に形成された素
子分離用の分離溝を埋め込むように全面にポリシラン6
を塗布する。
【0159】ポリシラン6は流動性があるので、塗布に
よりポリシラン6の表面は平坦化されるが、さらにCM
Pによりシリコン窒化膜4をストッパーとしてシリコン
窒化膜の上の過剰のポリシラン6を除去し、前記分離溝
の開口部が丁度ポリシランで平坦に満たされるようにす
る。
【0160】次に図20(e)に示すように、O2 アッ
シングと同様な処理をすれば、分離溝を満たすポリシラ
ン6をシリコン酸化膜からなる絶縁膜2に変化すること
ができる。上記の例では分離溝の埋め込みにポリシラン
を用いたが、必ずしもポリシランに限定されるものでは
ない。塗布により成膜される有機、及び無機シリコン酸
化膜でも同様に分離溝の埋め込みに用いることができ
る。
【0161】さきにのべたように、従来、分離溝の形成
工程には、ポリシランに比べて加工が困難なSiO2
らなる絶縁膜を厚く堆積し、分離溝に沿って大きな凹凸
面となった絶縁膜の表面をSi3 4 からなるシリコン
窒化膜をストッパーとしてCMPにより平坦化する方法
が用いられてきた。したがってストッパーで覆われた素
子領域の分布の粗密により、例えばストッパーが粗に分
布するフイールド領域では研磨が過度に進行し、表面が
凹面状になるという問題を生じていた。
【0162】しかし、第14の実施の形態では、SiO
2 の代わりに極めて研磨容易なポリシランを塗布するこ
とにより、その表面を当初から平坦にすることができ、
またシリコン窒化膜上に僅かに残留する薄膜状のポリシ
ランのみをCMPにより除去すればよいのでCMP後の
表面平坦性は従来の方法に比べて大幅に改善される。次
に、図21を用いて本発明の第15の実施の形態につい
て説明する。第15の実施の形態は、シリコン基板1に
形成されたMOSトランジスタのゲート電極間に設けら
れた、ソース/ドレイン拡散層9に達するコンタクトホ
ールを自己整合的に形成するSACのドライエッチング
加工部分に、本発明のポリシランを用いる方法を示すも
のである。
【0163】図21(a)に示すように、MOSトラン
ジスタのソース/ドレイン拡散層9が形成されたシリコ
ン基板1の上にゲート酸化膜10を形成し、このゲート
酸化膜10の上にポリシリコン11とタングステン12
とシリコン窒化膜4とを積層し、パターン形成すること
によりMOSトランジスタのゲート電極を形成し、この
ゲート電極の周囲をシリコン窒化膜4で被覆する。
【0164】次に、前記ゲート電極が形成されたシリコ
ン基板1の全面に、例えばSiO2からなる絶縁膜2を
堆積し、CMPによりその表面を平坦化する。この平坦
化された絶縁膜上にさらにポリシラン6を塗布し、セル
フアラインコンタクトホールの形成部分を開口したレジ
スト7をパターン形成する。
【0165】次に図21(b)に示すように、異方性R
IEによりレジスト7をマスクとしてポリシラン6を開
口する。さらに図21(c)に示すように、ゲート電極
周囲を被覆するシリコン窒化膜4からなるエッチングス
トッパーに達するまで、セルフアラインコンタクトホー
ル13を異方性RIEにより形成する。
【0166】このとき、セルフアラインコンタクトホー
ル13の内部に露出したゲート電極の稜線部分では、前
記ゲート電極の周囲を覆う窒化膜4のシリコン酸化膜2
に対するエッチング選択比が低下するため、セルフアラ
インコンタクトホール13の異方性RIEで、図21
(c)に示すように、前記窒化膜4の稜線部分の角が削
られるようになる。
【0167】このゲート電極の角の削れは、ゲート電極
最上層のシリコン窒化膜ストッパー4により保護される
ので、ポリシラン6に比べて加工困難な絶縁膜2のエッ
チング深さが小さい場合には問題とならない。
【0168】すなわち、第15の実施の形態において、
絶縁膜2を薄くしてその上にポリシラン6を積層するこ
とにより、ゲート電極の稜線部が露出するまでの絶縁膜
2のエッチング深さを最小にしている。
【0169】第15の実施の形態では、図21(d)に
示すように、O2 アッシングによりレジスト7を除去す
ると同時にポリシラン6をシリコン酸化膜として絶縁膜
2の一部となるように変化させ、ソース/ドレイン拡散
層9を覆うシリコン窒化膜4とゲート酸化膜10とを除
去し、金属配線材料をセルフアラインコンタクトホール
13に埋め込むことにより、ソース/ドレイン拡散層9
に接続されたSACが形成される。
【0170】ここで、図21(a)に示す絶縁膜2の厚
さが従来のようにポリシラン6との合計値まで厚くされ
れば、ポリシラン6に比べて絶縁膜2をエッチングする
のにより強力な異方性RIEが必要となるので、ゲート
電極の稜線部の削れ量が増加しゲート電極のポリシリコ
ン12が露出すれば、金属配線材料をセルフアラインコ
ンタクトホール13に埋め込む工程でゲート電極とソー
ス/ドレイン拡散層9とが短絡することになる。
【0171】すなわち第15の実施の形態では、絶縁膜
2とポリシラン6とを積層し、後にポリシラン6を絶縁
膜2の一部となるよう変化させ、実質的に絶縁膜2の異
方性RIEによる加工量を減少させ、ゲート電極とソー
ス/ドレイン拡散層9とが短絡するのを回避して半導体
装置の歩留まりを向上させることに特徴がある。
【0172】次に、図22、図23を用いて本発明の第
16の実施の形態について説明する。図22(a)に示
すように、ソース/ドレイン拡散層9を備えたシリコン
基板1の上にゲート酸化膜10を形成し、ポリシリコン
11、タングステン12、シリコン窒化膜4を積層した
ゲート電極をパターン形成した後、ゲート電極の周囲を
シリコン窒化膜4で被覆する。ここまでの工程は前記図
21(a)と同様である。
【0173】次に図22(b)に示すように、ゲート電
極を被覆するシリコン窒化膜4の上にさらにシリコン酸
化膜10を被覆する。この工程後のシリコン基板1の上
部全面にポリシラン6を厚く塗布する。第16の実施の
形態では、ゲート電極を埋め込む絶縁膜がほぼポリシラ
ン6からなることに特徴がある。
【0174】セルフアラインコンタクトの形成位置に、
レジスト7の開口部をパターン形成し、レジスト7をマ
スクとして、厚いポリシラン膜6にセルフアラインコン
タクトホール13を開口する。このとき、ポリシラン6
は、異方性RIEにより容易に除去できるので、ゲート
電極を被覆するシリコン酸化膜10がストッパーとな
り、ゲート電極稜線部の削れを完全に回避し、半導体装
置の歩留まりを向上することができる。
【0175】次に図22(c)に示すように、O2 アッ
シングによりレジスト7を除去すると同時にポリシラン
6をシリコン酸化膜に変化させる。
【0176】次に図23(d)に示すように、ゲート電
極を被覆する酸化膜10をエッチングにより除去する。
このときゲート電極を被覆するシリコン窒化膜4の稜線
部の削れを最大限に抑制するため、シリコン酸化膜エッ
チングはシリコン窒化膜との選択比が高いRIE条件で
行う。なおポリシラン6から変化した絶縁膜2とゲート
電極を被覆する酸化膜10との間にはエッチング選択比
がとれるので、このようにして前記酸化膜10のみをエ
ッチング除去することができる。
【0177】さらに図23(e)に示すように、異方性
RIEを用いてソース/ドレイン拡散層を覆うシリコン
窒化膜4とゲート酸化膜10とを除去する。このときゲ
ート電極の稜線部分を覆うシリコン窒化膜に多少の削れ
を生じるが、ドライエッチング量が僅かであるため、ゲ
ート電極とソース/ドレイン拡散層9との間に短絡不良
を生じる恐れはない。
【0178】次に、図24を用いて本発明の第17の実
施の形態を説明する。第17の実施の形態は第16の実
施の形態の変形例である。図24(a)に示すように、
図22(a)の工程を経たシリコン基板1の上のゲート
電極に、さらに厚いシリコン酸化膜10を被覆し、図2
4(b)に示すように、異方性RIEを用いてエッチバ
ックすることによりゲート側壁の酸化膜10を形成す
る。
【0179】次に、図24(c)に示すように厚いポリ
シラン6を塗布し、セルフアラインコンタクト形成部分
を開口したレジスト7をパターン形成する。このレジス
ト7をマスクとして異方性RIEを用いてポリシラン6
にセルフアラインコンタクトホール13を形成する。こ
のポリシランの異方性RIEにおいて、ゲート側壁の酸
化膜がエッチングストッパーとなるのでゲート電極稜線
部の削れは生じない。次にO2 アッシングにより、レジ
スト7を除去すると同時にポリシラン6をシリコン酸化
膜からなる絶縁膜2に変化する。その後の工程は図22
(c)以降と同様にして、ソース/ドレイン拡散層9に
接続されたSACが形成される。
【0180】なお上記の説明では、図24(b)におい
てゲート電極を覆う厚いシリコン酸化膜10をエッチバ
ックして、図24(b)のゲート側壁のシリコン酸化膜
10としたが、必ずしもエッチバックする必要はなく、
エッチング条件を最適化すれば、厚いシリコン酸化膜1
0のままでも同様の効果を得ることができる。
【0181】次に、図25を用いて本発明の第18の実
施の形態について説明する。第18の実施の形態では、
ポリシランを配線溝の加工に適用する例を示す。図25
(a)に示すように、シリコン基板1の上にシリコン酸
化膜からなる絶縁膜2を形成し、この絶縁膜2の上にポ
リシラン6を塗布する。
【0182】ポリシラン6の上の配線溝の形成位置にレ
ジスト7を開口し、このレジスト7をマスクとして、図
25(b)に示すように、異方性RIEを用いて配線溝
8を形成する。このときシリコン酸化膜からなる絶縁膜
2に対するポリシラン6のエッチング選択比が非常に高
いことから、絶縁膜2は異方性RIEのストッパーとな
り、一定深さの配線溝8が形成される。
【0183】次に図25(c)に示すように、O2 アッ
シングを行ってレジスト7を除去すると同時に、ポリシ
ラン6をシリコン酸化膜からなる絶縁膜2の一部に変化
させる。さきにのべたようにポリシラン6は塗布により
平坦化し、異方性RIEの条件を制御すれば、必ずしも
絶縁膜2からなるエッチングストッパーがなくてもポリ
シラン6に配線溝8を形成することができる。
【0184】しかし、一般に第1層の溝配線はもっとも
微細化が要求され、また一定以上のアスペクト比(配線
幅と高さとの比)が必要な場合には、第18の実施の形
態に示すようにエッチングストッパーとして絶縁膜2を
用いた方が良好な結果が得られる。
【0185】なお第18の実施の形態において、エッチ
ングストッパーとしてシリコン酸化膜を用いたが、有機
シリコン酸化膜、無機シリコン酸化膜を用いても同様な
結果がえられる。このとき下地に合わせてポリシランの
種類を選択すればさらに良好な結果が得られる。
【0186】次に、図26、図27を用いて、本発明の
第19の実施の形態について説明する。第19の実施の
形態は、シリコン基板への深いシリコントレンチ形成に
用いる高アスペクト比の絶縁膜マスクの開口部形成方法
を示すものである。
【0187】図26(a)に示すように、シリコン基板
1の上にエッチングストッパーとして熱酸化膜10を形
成し、その上に下層のポリシラン6を塗布する。下層の
ポリシラン6に上に、例えばシリコン酸化膜からなる絶
縁膜2を堆積した後、反射防止膜として再度上層のポリ
シラン6を塗布し、深いトレンチの形成位置を覆うよう
にレジスト7の逆パターンを形成する。このレジスト7
をマスクとしてN2 RIE又はN2 イオン注入を行い、
露出した上層のポリシラン6の表面をシリコン窒化膜4
に変化させる。
【0188】レジスト7の除去後、シリコン窒化膜4を
マスクとしてシリコン窒化膜に対するポリシランのエッ
チング選択比が高い、Cl2 /O2 の流量比75/10
sccm、圧力75mTorr、高周波電力300W印
加の条件で異方性RIEを行い、まず反射防止膜となる
上層のポリシラン6を開口する。
【0189】引き続きシリコン窒化膜4に対して、シリ
コン酸化膜からなる絶縁膜2のエッチング選択比が高い
4 8 /CO/Arの流量比10/50/200sc
cm、圧力40mTorr、高周波電力1400W印加
の条件で異方性RIEを行い、図26(b)に示すよう
に下層のポリシラン6までのトレンチ14aを形成す
る。
【0190】このエッチング条件ではポリシラン6との
エッチング選択比がとれるので、下層のポリシラン6が
エッチングストッパーとなり、トレンチ14aを制御性
良く形成することができる。
【0191】次に、シリコン窒化膜4及びシリコン酸化
膜10に対する下層のポリシラン6のエッチング選択比
が高い条件で、図26(c)に示すように、シリコン熱
酸化膜10をエッチングストッパーとしてトレンチ14
bを形成する。
【0192】引き続き前記シリコン窒化膜に対するシリ
コン熱酸化膜10のエッチング選択比が高い条件を用い
て、図27(d)に示すように、シリコン熱酸化膜10
を除去する。このときシリコン基板1の表面がストッパ
ーとなり、シリコン表面までのトレンチ14cを制御性
良く形成することができる。
【0193】最後に図27(e)に示すように、N2
囲気中の熱処理により上層と下層のポリシラン6をシリ
コン窒化膜4に変化させる。このようにしてシリコン基
板1の上に、熱酸化膜10を介して上下に窒化膜4で挟
まれた絶縁膜2に高アスペクト比のトレンチが形成され
た深いトレンチマスク14を形成することができる。な
おこの最後の処理は、シリコン熱酸化膜10の除去前に
行うことも可能である。また上層のシリコン窒化膜4は
除去してもよい。
【0194】第19の実施の形態に示した深いトレンチ
マスクの形成方法は、異方性RIEの途中で、数回のエ
ッチングストッパーによる加工制御が行われる点に特徴
がある。この方法によれば、従来不可能であった深さま
で高い精度でエッチングマスクにトレンチ加工を施すこ
とができる。
【0195】なお最後に行うN2 雰囲気中の熱処理に代
えて、異方性RIEに用いた条件をN2 流量100sc
cm、圧力150mTorr、高周波電力100W印加
に変更し、N2 ラジカルの多い条件にして引き続き処理
すればポリシラン6がシリコン窒化膜に変化し、工程数
の削減に役立てることができる。
【0196】次に、図28を用いて本発明の第20の実
施の形態について説明する。図28(a)に示すよう
に、シリコン基板1の上にエッチングストッパーとして
熱酸化膜10を形成し、下層のポリシラン6を塗布し、
シリコン酸化膜からなる絶縁膜2を堆積する。さらに反
射防止膜として上層のポリシラン6を塗布し、トレンチ
の形成部分を開口したレジスト7をパターン形成する。
次にレジスト7をエッチングマスクとして反射防止膜と
なる上層のポリシラン6を開口する。
【0197】引き続き図28(b)に示すように、N2
アッシングによりレジスト7を除去すると同時に上層の
ポリシラン6をシリコン窒化膜4に変化させ、この窒化
膜4をマスクとして異方性RIEにより下層のポリシラ
ン6に達するトレンチ14aを形成する。
【0198】次に図28(c)に示すように、異方性R
IEの条件を切り換えて熱酸化膜10をエッチングスト
ッパーとして下層のポリシラン6にトレンチ14bを形
成する。引き続きN2 アッシングと同様な処理を行い、
下層のポリシラン6をシリコン窒化膜に変化させる。最
後にシリコン熱酸化膜10を除去すればシリコン基板1
の表面に達する深いトレンチマスクを形成することがで
きる。なお、ここでN 2 アッシングはシリコン熱酸化膜
10の除去後に行ってもよい。
【0199】第20の実施の形態において、ドライエッ
チング条件の切替えは第19の実施の形態に準じて行う
ことができる。また各層がエッチングストッパーとして
働くために、第19の実施の形態と同様に、高い制御性
で高アスペクト比のエッチングマスクを形成することが
できる。
【0200】次に、図29を用いて本発明の第21の実
施の形態について説明する。第21の実施の形態は、半
導体基板1の上にMOSトランジスタのゲート電極をパ
ターン形成する際、ポリシラン6を用いる工程を示すも
のである。
【0201】図29(a)に示すように、シリコン基板
1の表面に熱酸化膜10からなるゲート絶縁膜を形成
し、その上にゲート電極材料としてポリシリコン11と
タングステン12からなる膜を形成する。その全面にポ
リシラン6を塗布し、さらにゲート電極形成部分を覆う
ようにレジスト7をパターン形成する。
【0202】次に図29(b)に示すように、このレジ
スト7をエッチングマスクとして異方性RIEを行い、
ポリシリコン11とタングステン12とポリシラン6と
からなる積層膜をゲート電極としてパターン形成する。
【0203】引き続き図29(c)に示すように、N2
アッシングを行ってレジスト7を除去すると同時にゲー
ト電極の最上層にパターン形成されたポリシラン6をシ
リコン窒化膜4に変化させる。このシリコン窒化膜4を
備えたゲート電極マスクとして、イオン注入と熱処理に
よりソース/ドレイン拡散層9を自己整合的に形成し、
シリコン基板上のMOSトランジスタを得ることができ
る。なおゲート電極のポリシリコン11とタングステン
12とは、熱処理によりタングステンシリサイドとされ
る。
【0204】従来ポリシリコンとタングステンとシリコ
ン窒化膜とからなる積層膜をゲート電極としてパターン
形成するには、まずレジストをマスクとしてシリコン窒
化膜をパターン形成し、次にこのシリコン窒化膜をマス
クとしてタングステンとポリシリコンとパターン形成す
るという2段階の工程が必要であった。
【0205】第21の実施の形態ではCl2 /O2 の流
量比75/10sccm、圧力75mTorr、高周波
電力300W印加のポリシラン6に対するエッチング条
件で、同時にタングステン12とポリシリコン11とを
パターン形成することができるので工程数の削減にな
り、制御性よくゲート電極を加工することができる。こ
のときタングステンシリサイドの形成を先に行って、ポ
リシリコンとタングステンシリサイドとを同時にパター
ン形成することも可能である。
【0206】以上の各実施の形態におけるRIEやアッ
シング工程に使用したドライエッチング装置の構成を図
30に示す。このドライエッチング装置は真空チャンバ
ー15と、シリコンウエハ等の被処理物16と、この被
処理物の載置台17と、対向電極18と、ガス導入管1
9と、排気口20と、高周波源21と、磁石22とから
構成される。
【0207】載置台17は温度調節機構を有し、被処理
物16の温度を制御することができる。また対向電極1
8をなす真空チャンバーの天壁にはガス導入管19が接
続されている。ガス導入管19から真空チャンバーにガ
スが導入され、排気口20の弁により圧力が調整され
る。
【0208】圧力が安定化した後、載置台17下の高周
波源17から高周波を印可し、真空チャンバー内にプラ
ズマを発生させる。また真空チャンバーの外周部には磁
石22が設けられ、真空中に高密度な磁界を作ると同時
にプラズマ中のイオンに異方性を付与し被処理物16を
エッチングする。
【0209】図29に示すドライエッチング装置はマグ
ネトロンRIE装置であるが、これほかECR(Electro
n Cycrotron Resonance)、ヘリコン、誘導結合型プラズ
マ等の他のドライエッチング装置を使用することも可能
である。
【0210】最後にポリシラン等を用いた塗布法による
有機シリコン膜の形成方法について詳細に説明する。ま
ずシリコンとシリコンとの結合を主鎖とする有機シリコ
ン化合物(請求項において有機シリコンと総称する)を
有機溶剤に溶解して溶液材料を作成する。
【0211】シリコンとシリコンとの結合を主鎖とする
有機シリコン化合物としては、例えば一般式(SiR11
12)で表わされるポリシランがあげられる。ここでR
11、R12は水素原子または炭素数1乃至20の置換もし
くは非置換の脂肪族炭化水素、又は芳香族炭化水素等を
示す。
【0212】ポリシランは単独重合体又は共重合体のい
ずれでもよい。また2種以上のポリシランが酸素原子、
窒素原子、脂肪族基、芳香族基を介して互いに結合した
構造を有するものでもよい。有機シリコン化合物の具体
例を化1乃至化15に示す。
【0213】
【化1】
【0214】
【化2】
【0215】
【化3】
【0216】
【化4】
【0217】
【化5】
【0218】
【化6】
【0219】
【化7】
【0220】
【化8】
【0221】
【化9】
【0222】
【化10】
【0223】
【化11】
【0224】
【化12】
【0225】
【化13】
【0226】
【化14】
【0227】
【化15】
【0228】なお式中のm、nは正の整数である。これ
らの化合物の重量平均分子量の値は特に限定されるもの
ではないが、200乃至100,000の範囲内である
ことが望ましい。その理由は、分子量が200未満では
有機シリコン膜がレジストの溶剤に溶解してしまい、一
方100,000を超えれば有機溶剤に溶解し難く溶液
材料の作成が困難になるためである。
【0229】有機シリコン化合物は一種類に限定される
ものではなく、数種類の化合物を混合して用いてもよ
い。また必要に応じて貯蔵安定性をはかるために、熱重
合防止剤、シリコン系絶縁膜への密着性を向上させるた
めの密着性向上剤、シリコン系絶縁膜からレジスト膜中
ヘの反射光の防止に役立つ紫外光の吸収染料、ポリサル
フォン、ポリベンズイミダゾールなどの紫外光を吸収す
るポリマー、導電性物質、光、熱で導電性が生じる物
質、又は有機シリコン化合物を架橋させる架橋剤を添加
してもよい。
【0230】導電性物質としては、例えば有機スルフォ
ン酸、有機カルボン酸、多価アルコール、多価チオール
(例えばヨウ素、臭素)、SbF5 、PF5 、BF5
SnF5 などがあげられる。
【0231】光、熱等のエネルギーで導電性が生じる物
質としては、炭素クラスタ(C60、C70)、シアノアン
トラセン、ジシアノアントラセン、トリフェニルピリウ
ム、テトラフルオロボレート、テトラシアノキノジメタ
ン、テトラシアノエチレン、フタルイミドトリフレー
ト、パークロロペンタシクロドデカン、ジシアノベンゼ
ン、ベンゾニトリル、トリクロロメチルトリアジン、ベ
ンゾイルペルオキシド、ベンゾフエノンテトラカルボン
酸、t−ブチルペオキシドなどがあげられる。具体的に
は次の化合物があげられる。
【0232】
【化16】
【0233】
【化17】
【0234】
【化18】
【0235】
【化19】
【0236】
【化20】
【0237】
【化21】
【0238】
【化22】
【0239】
【化23】
【0240】
【化24】
【0241】
【化25】
【0242】架橋剤としては、例えば多重結合を有する
有機ケイ素化合物や、アクリル系の不飽和化合物があげ
られる。溶剤としては、極性の有機溶剤でも無極性の有
機溶剤でもよく、具体的には乳酸エチル(EL)、エチ
ル−3−エトキシプロピオネート(EEP)、プロピレ
ングリコールモノメチルエーテルアセテート (PGM
EA)、プロピレングリコールモノメチルエーテル(P
GME)等や、シクロヘキサノン、2−ヘプタノン、3
−ヘプタノン、アセチルアセトン、シクロペンタノンな
どのケトン類、プロピレングリコールモノエチルエーテ
ルアセテート、エチルセロソルブアセテート、メチルセ
ロソルブアセテート、メチル−3−メトキシプロピオネ
ート、エチル−3−メトキシプロピオネート、メチル−
3−エトキシプロピオネート、ピルビン酸メチル、ピル
ビン酸エチルなどのエステル類、ジエチレングリコール
ジメチルエーテル、プロピレングリコールジメチルエー
テルなどのエーテル類、乳酸メチル、グリコール酸エチ
ルなどのグリコール酸エステル誘導体などがあげられる
が、これらに限定されるものではない。
【0243】以上の方法で塗布材料を作成し、シリコン
系絶縁膜上に、例えばスピンコーティング法等を用いて
溶液材料を塗布した後、加熱して溶剤を気化することに
より有機シリコン膜を形成する。この段階でレジストに
対して十分なエッチング選択比を示すガラス転移温度が
得られればよいが、レジストに対して十分なエッチング
選択比が得られない場合には、さらに塗膜に対して加
熱、又はエネルギービームを照射して塗膜を架橋させ
る。
【0244】エネルギービームとしては、例えば、紫外
光、X線、電子線、イオン線などをあげることができ
る。特に加熱とエネルギービームの照射とを同時に行う
ことにより架橋反応の進行を早め、実用的な処理時間の
範囲内でガラス転移温度をいちじるしく向上させること
ができる。
【0245】なお加熱、あるいはエネルギービームの照
射で、シリコンとシリコンとの結合を主鎖とする有機シ
リコン化合物中における主鎖をなすシリコンとシリコン
との結合が開烈し、酸素と結合することにより酸化し易
くなってレジストとシリコン有機膜とのエッチング選択
比が低下する場合が生じる。このような場合には加熱及
びエネルギービームの照射を空気より酸素濃度が低い雰
囲気中で行うことが望ましい。
【0246】なお本発明は上記の実施の形態に限定され
ることはない。例えば、以上の説明において、主として
シリコン酸化膜からなる絶縁膜とポリシランとを積層
し、ポリシランをシリコン酸化膜又はシリコン窒化膜に
変化する例について説明したが、同様の方法を組み合わ
せればシリコン窒化膜からなる絶縁膜とポリシランとを
積層し、ポリシランをシリコン酸化膜又はシリコン窒化
膜に変化することによりシリコン窒化膜からなる絶縁膜
の一部にすること、又はポリシランをシリコン酸化膜に
変化することによりシリコン窒化膜からなる絶縁膜から
除去することも可能である。
【0247】また第1乃至第6の実施の形態において、
二重溝配線の層間膜の形成にポリシランを用いるドライ
エッチング加工方法を説明したが、必ずしも二重溝配線
の層間膜に限定されるものではない。一般に多重の溝配
線に対して同様な方法が適用可能であることはいうまで
もない。その他本発明の要旨を逸脱しない範囲で、種々
変形して実施することができる。
【0248】
【発明の効果】上述したように本発明のドライエッチン
グを用いた半導体装置の製造方法によれば、ポリシラン
等からなる有機シリコン膜を半導体装置の絶縁膜の一部
として形成し、この有機シリコン膜からなる加工部分を
ドライエッチングを用いて加工し、その後この加工部分
を酸化物又は窒化物とすることにより前記有機シリコン
膜からな加工部分を絶縁膜の一部として一体化すること
が可能になる。また同様の方法を用いれば、従来困難で
あった反射防止膜の除去を容易にすることができる。
【0249】また、前記有機シリコン膜の表面領域を選
択的に酸化物または窒化物に変化し、これをマスクとし
てドライエッチングを行い、その後前記有機シリコン膜
を絶縁膜の一部になるように処理することにより、従来
のレジストマスクに比べて高アスペクト比のコンタクト
ホール等を形成することが可能になる。
【0250】これらの有機シリコン膜を組み合わせたド
ライエッチング方法を適用することにより、従来困難で
あった二重溝配線の層間絶縁膜、配線溝、及び二重溝配
線のコンタクトホールの加工を容易にすることができ
る。またシリコン基板への深いトレンチ形成に必要な、
絶縁膜を用いた高アスペクト比のエッチングマスクを形
成することができる。
【0251】このほか、高密度の半導体集積回路を高い
歩留まりで製造する際の鍵となる素子領域と素子分離領
域の平坦化加工、SACの形成、微細なゲート電極のパ
ターニング等に広く応用することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態の半導体装置の製造
方法を示す工程断面図。
【図2】第1の実施の形態の半導体装置の製造方法の続
きを示す工程断面図。
【図3】第1の実施の形態の半導体装置の製造方法の効
果を示す工程断面図。
【図4】本発明の第2の実施の形態の半導体装置の製造
方法を示す工程断面図。
【図5】第2の実施の形態の半導体装置の製造方法の効
果を示す工程断面図。
【図6】本発明の第3の実施の形態の半導体装置の製造
方法を示す工程断面図。
【図7】本発明の第4の実施の形態の半導体装置の製造
方法を示す工程断面図。
【図8】第4の実施の形態の半導体装置の製造方法の続
きを示す工程断面図。
【図9】第4の実施の形態の半導体装置の製造方法の続
きを示す工程断面図。
【図10】本発明の第5の実施の形態の半導体装置の製
造方法を示す工程断面図。
【図11】本発明の第6の実施の形態の半導体装置の製
造方法を示す工程断面図。
【図12】本発明の第7の実施の形態の半導体装置の製
造方法を示す工程断面図。
【図13】本発明の第8の実施の形態の半導体装置の製
造方法を示す工程断面図。
【図14】本発明の第9の実施の形態の半導体装置の製
造方法を示す工程断面図。
【図15】本発明の第10の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図16】本発明の第11の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図17】本発明の第12の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図18】本発明の第13の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図19】本発明の第14の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図20】第14の実施の形態の半導体装置の製造方法
の続きを示す工程断面図。
【図21】本発明の第15の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図22】本発明の第16の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図23】第16の実施の形態の半導体装置の製造方法
の続きを示す工程断面図。
【図24】本発明の第17の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図25】本発明の第18の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図26】本発明の第19の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図27】第19の実施の形態の半導体装置の製造方法
の続きを示す工程断面図。
【図28】本発明の第20の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図29】本発明の第21の実施の形態の半導体装置の
製造方法を示す工程断面図。
【図30】本発明の半導体装置の製造方法に用いたドラ
イエッチング装置を示す図。
【符号の説明】
1…シリコン基板 2…絶縁膜 2a…絶縁膜除去部 2b…有機シリコン酸化膜 3…金属配線 3a…金属配線除去部 4…シリコン窒化膜 5…コンタクトホール 6…ポリシラン 7…レジスト 8…配線溝 9…ソース/ドレイン拡散層 10…酸化膜 11…ポリシリコン 12…タングステン 13…セルフアラインコンタクトホール 14…深いトレンチマスク 14a…下層ポリシランまでのトレンチ 14b…熱酸化膜までのトレンチ 14c…シリコン表面までのトレンチ 15…真空チャンバー 16…被処理物 17…載置台 18…対向電極 19…ガス導入管 20…排気口 21…高周波源 22…磁石
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/336 (72)発明者 中村 直文 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 Fターム(参考) 4M104 BB28 CC05 DD02 DD66 EE06 EE18 GG09 HH20 5F004 AA05 AA11 BA04 BA14 BA20 DA00 DA04 DA23 DA24 DA26 DB00 DB03 DB26 EA04 EA15 EA23 EA26 EA33 EB01 EB02 EB03 5F033 HH09 JJ01 JJ09 KK07 MM02 NN17 QQ04 QQ09 QQ10 QQ13 QQ15 QQ16 QQ21 QQ23 QQ25 QQ28 QQ30 QQ35 QQ37 QQ48 QQ60 QQ61 QQ63 QQ64 QQ74 QQ79 QQ89 QQ90 RR04 RR06 RR21 SS21 TT04 VV16 XX01 XX04 XX15 XX31 5F040 EC07 EC13 ED03 FA06 FA18 FC19 FC21 FC27 5F058 AA10 AC03 AD02 AD05 AF04 AG04 AH02 AH05

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 シリコンとシリコンとの結合を主鎖に有
    する有機シリコン膜を半導体基板上に形成し、 前記有機シリコン膜をドライエッチングして、前記ドラ
    イエッチングされた有機シリコン膜を絶縁膜に変化させ
    て半導体装置の絶縁物からなる部分を形成することを特
    徴とするドライエッチングを用いた半導体装置の製造方
    法。
  2. 【請求項2】 前記有機シリコン膜をドライエッチング
    した後、少なくとも酸素、窒素、水素、及び炭素のいず
    れかの元素を前記有機シリコン膜に導入することによ
    り、前記有機シリコン膜を有機シリコン酸化膜、無機シ
    リコン酸化膜、シリコン酸化膜、及びシリコン窒化膜の
    いずれかに変化することを特徴とする請求項1記載のド
    ライエッチングを用いた半導体装置の製造方法。
  3. 【請求項3】 前記少なくとも酸素、窒素、水素、及び
    炭素のいずれかの元素を前記有機シリコン膜に導入する
    工程は、これらの元素又はその混合物からなるイオンを
    用いた反応性イオンエッチング法、アッシング法、及び
    イオン注入法のいずれかの方法を用いて行うことを特徴
    とする請求項2記載のドライエッチングを用いた半導体
    装置の製造方法。
  4. 【請求項4】 前記少なくとも酸素、窒素、水素、及び
    炭素のいずれかの元素を前記有機シリコン膜に導入する
    工程は、前記元素、又は、その混合物からなるガス雰囲
    気中で熱処理することにより行うことを特徴とする請求
    項2記載のドライエッチングを用いた半導体装置の製造
    方法。
  5. 【請求項5】 前記半導体装置の絶縁物からなる部分
    は、少なくとも前記半導体基板表面と二重溝配線におけ
    る下の配線層との間、及び前記二重溝配線の上下の各配
    線層における金属配線の間、及び前記二重溝配線の上下
    の配線層の間のいずれかであることを特徴とする請求項
    1記載の半導体装置の製造方法。
  6. 【請求項6】 前記有機シリコン膜は絶縁膜に積層して
    形成され、前記有機シリコン膜のドライエッチングにお
    いて、前記絶縁膜が前記ドライエッチングの抑制層とし
    て用いられることを特徴とする請求項5記載のドライエ
    ッチングを用いた半導体装置の製造方法。
  7. 【請求項7】 前記半導体装置の絶縁物からなる部分
    は、前記半導体基板上に形成する二重溝配線のコンタク
    トホール、及び前記二重溝配線の上下の各配線層におけ
    る配線溝を含む層間絶縁膜であることを特徴とする請求
    項1記載のドライエッチングを用いた半導体装置の製造
    方法。
  8. 【請求項8】 前記コンタクトホールを形成する工程
    は、前記下の配線層の上部全面に前記有機シリコン膜を
    塗布する工程と、 この有機シリコン膜を選択的にドライエッチングするこ
    とにより、前記有機シリコン膜に前記下の配線層におけ
    る金属配線の上面に達するコンタクトホールを形成する
    工程と、 前記コンタクトホール形成後の有機シリコン膜を、有機
    シリコン酸化膜、無機シリコン酸化膜、シリコン酸化
    膜、及びシリコン窒化膜のいずれかからなる絶縁膜に変
    化させる工程と、 を含むことを特徴とする請求項7記載のドライエッチン
    グを用いた半導体装置の製造方法。
  9. 【請求項9】 前記下の配線層は、半導体基板上の絶縁
    膜に埋め込まれた溝配線からなり、前記コンタクトホー
    ルの形成の際、前記絶縁膜の上面がボーダレスエッチン
    グを抑制することを特徴とする請求項8記載のドライエ
    ッチングを用いた半導体装置の製造方法。
  10. 【請求項10】 前記配線溝を形成する工程は、半導体
    基板上の絶縁膜の上部全面に有機シリコン膜を塗布する
    工程と、 前記有機シリコン膜を選択的にドライエッチングするこ
    とにより前記有機シリコン膜の配線溝の形成部分を除去
    する工程と、 前記除去工程後の有機シリコン膜を有機シリコン酸化
    膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコ
    ン窒化膜のいずれかからなる絶縁膜に変化させる工程
    と、 を含むことを特徴とする請求項7記載のドライエッチン
    グを用いた半導体装置の製造方法。
  11. 【請求項11】 前記層間絶縁膜を形成する工程は、半
    導体基板上の絶縁膜にコンタクトホールを形成する工程
    と、 前記コンタクトホールを埋め込むように前記絶縁膜の上
    部全面に有機シリコン膜を塗布する工程と、 前記有機シリコン膜を選択的にドライエッチングするこ
    とにより、前記コンタクトホールの開口部を含む前記上
    の配線層の配線溝形成部分、及び前記コンタクトホール
    の内部における前記有機シリコン膜を除去する工程と、 前記除去工程後の有機シリコン膜を有機シリコン酸化
    膜、無機シリコン酸化膜、シリコン酸化膜、及びシリコ
    ン窒化膜のいずれかからなる絶縁膜に変化させる工程
    と、 を含むことを特徴とする請求項7記載のドライエッチン
    グを用いた半導体装置の製造方法。
  12. 【請求項12】 前記有機シリコン膜の除去工程におい
    て、前記半導体基板上の絶縁膜の上面が前記ドライエッ
    チングを制御することにより、前記有機シリコン膜が選
    択的にエッチングされることを特徴とする請求項11記
    載のドライエッチングを用いた半導体装置の製造方法。
  13. 【請求項13】 前記層間絶縁膜を形成する工程は、前
    記下の配線層が形成された半導体基板上の第1の絶縁膜
    の上部全面に前記有機シリコン膜を塗布する工程と、 前記有機シリコン膜に、前記下の配線層における金属配
    線の上面に達するコンタクトホールを形成する第1の選
    択的ドライエッチング工程と、 前記コンタクトホールを備えた有機シリコン膜を有機シ
    リコン酸化膜、無機シリコン酸化膜、シリコン酸化膜、
    及びシリコン窒化膜のいずれかからなる第2の絶縁膜に
    変化させる工程と、 前記コンタクトホールを埋め込むように前記第2の絶縁
    膜の上部全面に有機シリコン膜を塗布する工程と、 前記コンタクトホールの開口部を含む前記上の配線層の
    配線溝形成部分、及び前記コンタクトホールの内部にお
    ける前記有機シリコン膜を除去することにより、前記第
    2の絶縁膜に形成されたコンタクトホールに接続された
    上の配線層の配線溝を形成する第2の選択的ドライエッ
    チング工程と、 前記上の配線層の配線溝を備えた有機シリコン膜を有機
    シリコン酸化膜、無機シリコン酸化膜、シリコン酸化
    膜、及びシリコン窒化膜のいずれかからなる第3の絶縁
    膜に変化させる工程と、 を含むことを特徴とする請求項7記載のドライエッチン
    グを用いた半導体装置の製造方法。
  14. 【請求項14】 前記第1の絶縁膜が、前記第1のドラ
    イエッチング工程における前記下の配線層周辺部分に生
    じるボーダレスエッチングを抑制し、前記第2の絶縁膜
    が、前記上の配線層の配線溝を形成する第2の選択的ド
    ライエッチング工程を制御することを特徴とする請求項
    13記載のドライエッチングを用いた半導体装置の製造
    方法。
  15. 【請求項15】 前記層間絶縁膜を形成する工程は、前
    記下の配線層が形成された半導体基板上の絶縁膜の上に
    第1の有機シリコン酸化膜、あるいは無機シリコン酸化
    膜、あるいはシリコン酸化膜のいずれかを形成する工程
    と、 前記第1の有機シリコン酸化膜、あるいは無機シリコン
    酸化膜、あるいはシリコン酸化膜のいずれかの上に有機
    シリコン膜からなるドライエッチングのストッパーを形
    成する工程と、 前記ストッパーに前記下の配線層に達するコンタクトホ
    ールを形成するための開口部を設ける工程と、 前記開口部を設けた前記ストッパーを埋め込むように第
    2の有機シリコン酸化膜、あるいは無機シリコン酸化
    膜、あるいはシリコン酸化膜のいずれかを形成する工程
    と、 前記開口部に合わせて上の配線層の配線溝を形成するた
    めのエッチングマスクを形成する工程と、 前記エッチングマスクと前記開口部を設けた前記ストッ
    パーとを用いて前記第1、第2の有機シリコン酸化膜、
    あるいは無機シリコン酸化膜、あるいはシリコン酸化膜
    のいずれかを引き続き選択的にドライエッチングする工
    程と、 を含むことを特徴とする請求項7記載のドライエッチン
    グを用いた半導体装置の製造方法。
  16. 【請求項16】 前記有機シリコン膜からなるドライエ
    ッチングのストッパは、前記第1、第2の有機シリコン
    酸化膜、あるいは無機シリコン酸化膜、あるいはシリコ
    ン酸化膜のいずれかを引き続き選択的にドライエッチン
    グする工程を経た後、有機シリコン酸化膜に変化され、
    前記層間絶縁膜の一部として一体化される工程を含むこ
    とを特徴とする請求項15記載のドライエッチングを用
    いた半導体装置の製造方法。
  17. 【請求項17】 ドライエッチングを用いた半導体装置
    の製造方法において、少なくともシリコンとシリコンと
    の結合を主鎖に有する有機シリコン膜を半導体基板上に
    形成し、少なくとも前記有機シリコン膜の表面に、選択
    的に酸素、窒素、水素、及び炭素のいずれかの元素を導
    入する工程と、 前記有機シリコン膜の表面をマスクとして選択的にドラ
    イエッチングすることにより前記半導体装置の絶縁物か
    らなる部分を形成する工程と、 前記有機シリコン膜をドライエッチングした後、少なく
    とも酸素、窒素、水素、及び炭素のいずれかの元素を前
    記有機シリコン膜の内部に導入することにより、前記有
    機シリコン膜の表面と前記有機シリコン膜の内部とを共
    に有機シリコン酸化膜、無機シリコン酸化膜、シリコン
    酸化膜、及びシリコン窒化膜のいずれかとして一体化す
    る工程と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  18. 【請求項18】 前記有機シリコン膜の表面をマスクと
    して選択的にドライエッチングすることにより前記マス
    クの開口部周辺の縁が丸く加工されることを特徴とする
    請求項17記載のドライエッチングを用いた半導体装置
    の製造方法。
  19. 【請求項19】 ドライエッチングを用いた半導体装置
    の製造方法において、少なくともシリコンとシリコンと
    の結合を主鎖に有する有機シリコン膜を半導体基板上に
    形成し、少なくとも前記有機シリコン膜の表面に選択的
    に酸素、窒素、水素、及び炭素のいずれかの元素を導入
    する工程と、 前記有機シリコン膜の表面をマスクとして選択的にドラ
    イエッチングすることにより前記半導体装置の絶縁物か
    らなる部分を形成する工程と、 前記有機シリコン膜をドライエッチングした後、少なく
    とも酸素、窒素、水素、及び炭素のいずれかの元素を前
    記有機シリコン膜の内部に導入することにより、前記有
    機シリコン膜の表面と前記有機シリコン膜の内部とを互
    いに異種の有機シリコン酸化膜、無機シリコン酸化膜、
    シリコン酸化膜、及びシリコン窒化膜のいずれかとする
    工程と、 前記有機シリコン膜の表面と内部との選択比エッチング
    を用いて前記マスクを除去する工程と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  20. 【請求項20】 有機シリコン膜を用いて半導体基板上
    の絶縁膜の上面にフォトリソグラフィー工程における反
    射防止膜を形成し、 前記フオトリソグラフィー工程の後、前記有機シリコン
    膜に酸素、窒素、水素、及び炭素のいずれかの元素を導
    入することにより前記反射防止膜を有機シリコン酸化
    膜、無機シリコン酸化膜、シリコン酸化膜、及び、シリ
    コン窒化膜のいずれかとし、 前記反射防止膜を前記絶縁膜と一体化することを特徴と
    するドライエッチングを用いた半導体装置の製造方法。
  21. 【請求項21】 有機シリコン膜を用いて半導体基板上
    の絶縁膜の上面にフォトリソグラフィー工程における反
    射防止膜を形成し、 前記フオトリソグラフィー工程の後、前記有機シリコン
    膜に酸素、窒素、水素、及び炭素のいずれかの元素を導
    入することにより前記反射防止膜を有機シリコン酸化
    膜、無機シリコン酸化膜、シリコン酸化膜、及び、シリ
    コン窒化膜のいずれかとし、 前記工程後の反射防止膜と前記絶縁膜との選択的なエッ
    チングを用いて、前記反射防止膜をエッチング除去する
    ことを特徴とするドライエッチングを用いた半導体装置
    の製造方法。
  22. 【請求項22】 半導体基板上に熱酸化膜を形成する工
    程と、 前記熱酸化膜上に有機シリコン膜を塗布し前記有機シリ
    コン膜上にシリコン酸化膜を形成する工程と、 前記シリコン酸化膜と有機シリコン膜とからなる多層膜
    に前記半導体基板表面に達する開口部を形成する工程
    と、 前記工程後の前記有機シリコン膜に窒素を導入すること
    により、前記有機シリコン膜をシリコン窒化膜に変化さ
    せる工程と、 前記シリコン酸化膜と前記シリコン窒化膜とからなる多
    層膜をマスクとして、前記半導体基板にトレンチを形成
    する工程と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  23. 【請求項23】 半導体基板上に有機シリコン膜を塗布
    し、レジストをマスクとして前記半導体基板上の素子領
    域を覆うように前記有機シリコン膜をパターン形成する
    工程と、 前記パターン形成された有機シリコン膜と前記レジスト
    とをマスクとして半導体基板に分離溝を形成し、前記有
    機シリコン膜に窒素を導入することにより前記有機シリ
    コン膜をシリコン窒化膜に変化する工程と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  24. 【請求項24】 前記分離溝が形成された半導体基板の
    上部全面に、前記分離溝を埋め込むように前記有機シリ
    コン膜をさらに塗布する工程と、 前記シリコン窒化膜を抑制層として前記有機シリコン膜
    の表面を平坦化する工程と、 前記分離溝を埋め込む有機シリコン膜に酸素を導入する
    ことにより、前記有機シリコン膜をシリコン酸化膜、有
    機シリコン酸化膜、及び無機シリコン酸化膜のいずれか
    に変化する工程と、 を含むこと特徴とする請求項23記載のドライエッチン
    グを用いた半導体装置の製造方法。
  25. 【請求項25】 半導体基板上にゲート絶縁膜を形成
    し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形
    成する工程と、 前記金属膜上に有機シリコン膜を塗布し、レジストをマ
    スクとして前記金属膜で覆われた半導体基板上のゲート
    電極形成領域に前記有機シリコン膜をパターン形成する
    工程と、 前記パターン形成された有機シリコン膜と前記レジスト
    とをマスクとして、前記ゲート電極形成領域に前記金属
    膜からなるゲート電極をパターン形成する工程と、 前記有機シリコン膜に窒素を導入することにより前記パ
    ターン形成された有機シリコン膜を窒化膜とする工程
    と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  26. 【請求項26】 半導体基板上にゲート絶縁膜を形成
    し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形
    成し、前記金属膜で覆われた半導体基板のゲート電極形
    成領域に前記金属膜からなるゲート電極をパターン形成
    する工程と、 前記ゲート電極をシリコン窒化膜で被覆し、前記半導体
    基板の上部全面に前記ゲート電極を埋め込むように第1
    の絶縁膜を堆積する工程と、 前記第1の絶縁膜の表面を平坦化し、この平坦化された
    第1の絶縁膜上に有機シリコン膜を塗布する工程と、 前記ゲート電極に隣接するソース又はドレイン形成領域
    のいずれかと、前記ゲート電極の前記ソース又はドレイ
    ン側の一部とを覆う前記有機シリコン膜をレジストをマ
    スクとするドライエッチングを用いて選択的に除去する
    ことにより、前記第1の絶縁膜に達するコンタクトホー
    ルを前記有機シリコン膜に形成する工程と、 前記レジストと前記有機シリコン膜とをマスクとするド
    ライエッチングを用いて前記第1の絶縁膜をさらにエッ
    チングすることにより、前記コンタクトホールの底部に
    前記シリコン窒化膜を露出する工程と、 前記エッチングをさらに進めて前記シリコン窒化膜とゲ
    ート絶縁膜とを除去することにより、前記半導体基板上
    のソース又はドレイン形成領域のいずれかの表面を自己
    整合的に露出する工程と、 前記有機シリコン膜を有機シリコン酸化膜、無機シリコ
    ン酸化膜、シリコン酸化膜、及びシリコン窒化膜のいず
    れかからなる第2の絶縁膜に変化させることにより前記
    有機シリコン膜を前記第1の絶縁膜と一体化し、前記コ
    ンタクトホールを配線の接続に用いる工程と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  27. 【請求項27】 半導体基板上にゲート絶縁膜を形成
    し、前記ゲート絶縁膜上に少なくとも1層の金属膜を形
    成し、前記金属膜で覆われた半導体基板のゲート電極形
    成領域に前記金属膜からなるゲート電極をパターン形成
    する工程と、 前記ゲート電極をシリコン窒化膜で被覆し、前記シリコ
    ン窒化膜に積層して第1のシリコン酸化膜をさらに被覆
    する工程と、 前記半導体基板の上部全面に有機シリコン膜を塗布し、
    前記ゲート電極に隣接するソース又はドレイン形成領域
    と前記ゲート電極の前記ソース又はドレイン形成領域側
    の一部とを覆う前記有機シリコン膜を、レジストをマス
    クとするドライエッチングを用いて選択的に除去するこ
    とにより前記有機シリコン膜に前記第1のシリコン酸化
    膜に達するコンタクトホールを形成する工程と、 前記有機シリコン膜に酸素を導入することにより前記有
    機シリコン膜を第2のシリコン酸化膜に変化し、前記第
    2のシリコン酸化膜をマスクとしてドライエッチングす
    ることにより前記コンタクトホールの底面に露出した前
    記第1のシリコン酸化膜を除去する工程と、 前記第1のシリコン酸化膜の除去により露出した前記シ
    リコン窒化膜と前記ゲート絶縁膜とをさらに除去するこ
    とにより、前記半導体基板上に形成されたソース又はド
    レイン形成領域のいずれかの表面を自己整合的に露出
    し、前記コンタクトホールを配線の接続に用いる工程
    と、 を含むことを特徴とするドライエッチングを用いた半導
    体装置の製造方法。
  28. 【請求項28】 半導体装置の絶縁物からなる部分を形
    成するドライエッチング方法において、 少なくともシリコンとシリコンとの結合を主査に有する
    有機シリコン膜を半導体基板上に形成し、少なくとも前
    記有機シリコン膜をドライエッチングすることにより前
    記半導体装置の絶縁物からなる部分を形成する工程と、 前記工程後の有機シリコン膜を、少なくともO2 又はN
    2 又はH2 ガス中における熱処理、O2 又はN2 又はH
    2 プラズマ中における熱処理、O2 又はN2 又はH2
    オンの注入と熱処理のいずれかの方法を用いて処理する
    ことにより、前記加工部分を有機シリコン酸化膜、無機
    シリコン酸化膜、シリコン酸化膜、シリコン窒化膜のい
    ずれかからなる絶縁膜に変化させることを特徴とするド
    ライエッチング方法。
JP06629399A 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法 Expired - Lifetime JP4270632B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP06629399A JP4270632B2 (ja) 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法
US09/522,175 US6352931B1 (en) 1999-03-12 2000-03-09 Manufacturing method of semiconductor devices by using dry etching technology
TW89104437A TW468201B (en) 1999-03-12 2000-03-10 Dry etching process
KR10-2000-0012221A KR100401040B1 (ko) 1999-03-12 2000-03-11 드라이 에칭법을 이용한 반도체 장치의 제조 방법
US09/995,839 US6605542B2 (en) 1999-03-12 2001-11-29 Manufacturing method of semiconductor devices by using dry etching technology
US10/086,556 US6849923B2 (en) 1999-03-12 2002-03-04 Semiconductor device and manufacturing method of the same
US10/440,136 US20030224611A1 (en) 1999-03-12 2003-05-19 Manufacturing method of semiconductor devices by using dry etching technology
US10/983,746 US7169697B2 (en) 1999-03-12 2004-11-09 Semiconductor device and manufacturing method of the same
US11/170,352 US20060017162A1 (en) 1999-03-12 2005-06-30 Semiconductor device and manufacturing method of the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06629399A JP4270632B2 (ja) 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000260870A true JP2000260870A (ja) 2000-09-22
JP4270632B2 JP4270632B2 (ja) 2009-06-03

Family

ID=13311642

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06629399A Expired - Lifetime JP4270632B2 (ja) 1999-03-12 1999-03-12 ドライエッチングを用いた半導体装置の製造方法

Country Status (4)

Country Link
US (3) US6352931B1 (ja)
JP (1) JP4270632B2 (ja)
KR (1) KR100401040B1 (ja)
TW (1) TW468201B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002078082A2 (en) * 2001-03-23 2002-10-03 International Business Machines Corporation Electronic structure
WO2002099864A1 (en) * 2001-05-31 2002-12-12 Infineon Technologies, Ag Method for removing polysilane from a semiconductor without stripping
US7199049B2 (en) 2004-07-27 2007-04-03 Seiko Epson Corporation Methods for forming contact hole, for manufacturing circuit board and for manufacturing electro-optical device
JP2009111433A (ja) * 2009-02-18 2009-05-21 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
WO2010021326A1 (ja) * 2008-08-19 2010-02-25 リンテック株式会社 成形体、その製造方法、電子デバイス部材および電子デバイス
WO2010134609A1 (ja) * 2009-05-22 2010-11-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材および電子デバイス
WO2010134611A1 (ja) * 2009-05-22 2010-11-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
JP2011009781A (ja) * 2010-09-29 2011-01-13 Fujikura Ltd 貫通電極付き半導体デバイスの製造方法
JP2012204391A (ja) * 2011-03-23 2012-10-22 Sony Corp 半導体装置、半導体装置の製造方法、及び配線基板の製造方法
US8771834B2 (en) 2010-09-21 2014-07-08 Lintec Corporation Formed body, production method thereof, electronic device member and electronic device
US8846200B2 (en) 2010-09-21 2014-09-30 Lintec Corporation Gas-barrier film, process for producing same, member for electronic device, and electronic device
US8865810B2 (en) 2009-03-26 2014-10-21 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9540519B2 (en) 2010-03-31 2017-01-10 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9556513B2 (en) 2010-08-20 2017-01-31 Lintec Corporation Molding, production method therefor, part for electronic devices and electronic device
CN111540677A (zh) * 2020-05-28 2020-08-14 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
KR100804873B1 (ko) * 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
JP4381143B2 (ja) * 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィー用スピンオン反射防止膜
KR100704469B1 (ko) * 2001-12-14 2007-04-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
AU2002357645A1 (en) * 2002-09-20 2004-04-08 Honeywell International, Inc. Interlayer adhesion promoter for low k materials
JP2004179588A (ja) * 2002-11-29 2004-06-24 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3918933B2 (ja) * 2002-12-06 2007-05-23 Jsr株式会社 化学機械研磨ストッパー、その製造方法および化学機械研磨方法
JP3951124B2 (ja) * 2002-12-06 2007-08-01 Jsr株式会社 絶縁膜
TWI223870B (en) * 2003-06-27 2004-11-11 Nanya Technology Corp Method of forming capacitors having geometric deep trench
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US20110020753A1 (en) * 2009-07-27 2011-01-27 International Business Machines Corporation Method for reversing tone of patterns on integrated circuit and patterning sub-lithography trenches
JP2011096829A (ja) * 2009-10-29 2011-05-12 Elpida Memory Inc 半導体装置の製造方法
CN102403219B (zh) * 2010-09-14 2015-10-07 中微半导体设备(上海)有限公司 一种铜制程等离子刻蚀方法
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
US9786753B2 (en) * 2015-07-13 2017-10-10 Diodes Incorporated Self-aligned dual trench device
CN106206283B (zh) * 2016-07-27 2019-05-03 上海华虹宏力半导体制造有限公司 沟槽刻蚀方法及第一金属层制造方法
US10658180B1 (en) * 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
CN111446166A (zh) * 2020-03-16 2020-07-24 绍兴同芯成集成电路有限公司 一种利用聚合物隔离层生成双沟槽晶体管的工艺方法
TW202403912A (zh) * 2022-07-01 2024-01-16 聯華電子股份有限公司 用於偵測參數行為偏離的錯誤偵測方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4576834A (en) * 1985-05-20 1986-03-18 Ncr Corporation Method for forming trench isolation structures
JPS6329951A (ja) * 1986-07-23 1988-02-08 Nec Corp 微細配線パタ−ン形成法
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
JPH01199456A (ja) * 1988-02-04 1989-08-10 Matsushita Electric Ind Co Ltd 半導体集積回路の製造方法
JP2976642B2 (ja) * 1991-11-07 1999-11-10 日本電気株式会社 光結合回路
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
JPH0794477A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd ドライエッチング方法
JPH09172009A (ja) 1995-12-20 1997-06-30 Toshiba Corp 絶縁膜の形成方法および絶縁膜パターンの形成方法
US5962581A (en) * 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
JPH09232428A (ja) * 1996-02-28 1997-09-05 Hitachi Ltd 半導体装置の製造方法
US5602053A (en) * 1996-04-08 1997-02-11 Chartered Semidconductor Manufacturing Pte, Ltd. Method of making a dual damascene antifuse structure
KR100245180B1 (ko) * 1996-05-29 2000-02-15 니시무로 타이죠 감광성 조성물 및 그를 이용한 패턴 형성방법
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP2985789B2 (ja) * 1996-08-30 1999-12-06 日本電気株式会社 半導体装置の製造方法
JPH1088176A (ja) * 1996-09-18 1998-04-07 Yushiro Chem Ind Co Ltd 水溶性しごき成形用潤滑剤原液組成物
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JPH1160735A (ja) * 1996-12-09 1999-03-05 Toshiba Corp ポリシランおよびパターン形成方法
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6110648A (en) * 1998-09-17 2000-08-29 Taiwan Semiconductor Manufacturing Company Method of enclosing copper conductor in a dual damascene process
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6147012A (en) * 1999-11-12 2000-11-14 Lsi Logic Corporation Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002078082A2 (en) * 2001-03-23 2002-10-03 International Business Machines Corporation Electronic structure
WO2002078082A3 (en) * 2001-03-23 2003-02-27 Ibm Electronic structure
GB2391388A (en) * 2001-03-23 2004-02-04 Ibm Electronic structure
GB2391388B (en) * 2001-03-23 2005-10-26 Ibm Electronic structure
WO2002099864A1 (en) * 2001-05-31 2002-12-12 Infineon Technologies, Ag Method for removing polysilane from a semiconductor without stripping
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US7199049B2 (en) 2004-07-27 2007-04-03 Seiko Epson Corporation Methods for forming contact hole, for manufacturing circuit board and for manufacturing electro-optical device
US7459793B2 (en) 2004-07-27 2008-12-02 Seiko Epson Corporation Methods for forming contact hole, for manufacturing circuit board and for manufacturing electro-optical device
JP4944993B2 (ja) * 2008-08-19 2012-06-06 リンテック株式会社 成形体、その製造方法、電子デバイス部材および電子デバイス
US9340869B2 (en) 2008-08-19 2016-05-17 Lintec Corporation Formed article, method for producing the same, electronic device member, and electronic device
KR101502202B1 (ko) * 2008-08-19 2015-03-12 린텍 가부시키가이샤 성형체, 그 제조 방법, 전자 디바이스 부재 및 전자 디바이스
WO2010021326A1 (ja) * 2008-08-19 2010-02-25 リンテック株式会社 成形体、その製造方法、電子デバイス部材および電子デバイス
JP2009111433A (ja) * 2009-02-18 2009-05-21 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
US8865810B2 (en) 2009-03-26 2014-10-21 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
KR101489552B1 (ko) * 2009-05-22 2015-02-03 린텍 가부시키가이샤 성형체, 그 제조 방법, 전자 디바이스용 부재, 및 전자 디바이스
JP5704610B2 (ja) * 2009-05-22 2015-04-22 リンテック株式会社 成形体、その製造方法、電子デバイス用部材および電子デバイス
US9365922B2 (en) 2009-05-22 2016-06-14 Lintec Corporation Formed article, method of producing same, electronic device member, and electronic device
WO2010134609A1 (ja) * 2009-05-22 2010-11-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材および電子デバイス
CN102439078A (zh) * 2009-05-22 2012-05-02 琳得科株式会社 成型体、其制造方法、电子设备用构件和电子设备
JP5704611B2 (ja) * 2009-05-22 2015-04-22 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
WO2010134611A1 (ja) * 2009-05-22 2010-11-25 リンテック株式会社 成形体、その製造方法、電子デバイス用部材及び電子デバイス
US9540519B2 (en) 2010-03-31 2017-01-10 Lintec Corporation Formed article, method for producing same, electronic device member, and electronic device
US9556513B2 (en) 2010-08-20 2017-01-31 Lintec Corporation Molding, production method therefor, part for electronic devices and electronic device
US8846200B2 (en) 2010-09-21 2014-09-30 Lintec Corporation Gas-barrier film, process for producing same, member for electronic device, and electronic device
US8771834B2 (en) 2010-09-21 2014-07-08 Lintec Corporation Formed body, production method thereof, electronic device member and electronic device
JP2011009781A (ja) * 2010-09-29 2011-01-13 Fujikura Ltd 貫通電極付き半導体デバイスの製造方法
JP2012204391A (ja) * 2011-03-23 2012-10-22 Sony Corp 半導体装置、半導体装置の製造方法、及び配線基板の製造方法
CN111540677A (zh) * 2020-05-28 2020-08-14 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺
CN111540677B (zh) * 2020-05-28 2023-03-21 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺

Also Published As

Publication number Publication date
TW468201B (en) 2001-12-11
US20030224611A1 (en) 2003-12-04
US20020059899A1 (en) 2002-05-23
JP4270632B2 (ja) 2009-06-03
KR100401040B1 (ko) 2003-10-10
KR20000076824A (ko) 2000-12-26
US6352931B1 (en) 2002-03-05
US6605542B2 (en) 2003-08-12

Similar Documents

Publication Publication Date Title
JP4270632B2 (ja) ドライエッチングを用いた半導体装置の製造方法
JP5060129B2 (ja) ビア・ファースト・デュアルダマシン相互接続配線形成のための構造体充填方法
US6627557B2 (en) Semiconductor device and method for manufacturing the same
US6319821B1 (en) Dual damascene approach for small geometry dimension
US6849923B2 (en) Semiconductor device and manufacturing method of the same
JP2003023069A (ja) 半導体素子の金属配線層形成方法
US5930672A (en) Manufacture of semiconductor device having reliable and fine connection hole
US6521542B1 (en) Method for forming dual damascene structure
JP4108228B2 (ja) 半導体装置の製造方法
TW594860B (en) Method for manufacturing semiconductor device
JPWO2007043634A1 (ja) 多層配線の製造方法
US6861376B1 (en) Photoresist scum free process for via first dual damascene process
US6110843A (en) Etch back method for smoothing microbubble-generated defects in spin-on-glass interlayer dielectric
US20060030159A1 (en) Method of making dual damascene with via etch through
JP2000150632A (ja) 半導体装置の製造方法
JP3941485B2 (ja) 多層配線形成方法及び半導体素子の製造方法
JP2000269192A (ja) ドライエッチング方法および半導体装置の製造方法
KR100987871B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100868925B1 (ko) 반도체 소자의 소자분리막 형성방법
US20040115565A1 (en) Method for patterning a layer of a low dielectric constant material
JP3803516B2 (ja) ドライエッチング方法及び半導体装置の製造方法
JPH09260485A (ja) 半導体装置の製造方法
JP3308714B2 (ja) 半導体装置の製造方法
TW396455B (en) Semiconductor process for improving non-uniform etching thickness by providing etch stop layer
JPH0677182A (ja) 凹凸のある絶縁膜の平坦化方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081014

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090217

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090224

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4