JP2003502449A - フォトリソグラフィ用スピンオンガラス反射防止コーティング - Google Patents

フォトリソグラフィ用スピンオンガラス反射防止コーティング

Info

Publication number
JP2003502449A
JP2003502449A JP2001503571A JP2001503571A JP2003502449A JP 2003502449 A JP2003502449 A JP 2003502449A JP 2001503571 A JP2001503571 A JP 2001503571A JP 2001503571 A JP2001503571 A JP 2001503571A JP 2003502449 A JP2003502449 A JP 2003502449A
Authority
JP
Japan
Prior art keywords
group
absorbing
spin
composition
reaction mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001503571A
Other languages
English (en)
Other versions
JP2003502449A5 (ja
Inventor
スピア,リチヤード
ハツカー,ナイジエル・ピー
ボールドウイン,テリーザ
ケネデイ,ジヨージフ
Original Assignee
ハネウエル・インターナシヨナル・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/330,248 external-priority patent/US6268457B1/en
Application filed by ハネウエル・インターナシヨナル・インコーポレーテツド filed Critical ハネウエル・インターナシヨナル・インコーポレーテツド
Publication of JP2003502449A publication Critical patent/JP2003502449A/ja
Publication of JP2003502449A5 publication Critical patent/JP2003502449A5/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/006Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character
    • C03C17/008Surface treatment of glass, not in the form of fibres or filaments, by coating with materials of composite character comprising a mixture of materials covered by two or more of the groups C03C17/02, C03C17/06, C03C17/22 and C03C17/28
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

(57)【要約】 深紫外線フォトリソグラフィ用反射防止コーティング材料は、スピンオンガラス材料に配合された一つ以上の有機光吸収化合物を含有する。適する吸収化合物は、フォトリソグラフィに用いることができる365nm、248nmおよび193nmなどの波長周辺の波長範囲にわたって強く吸収する。吸収スピンオンガラス材料を製造する方法は、スピンオンガラス材料の合成中に、一つ以上の有機吸収化合物をアルコキシシランまたはハロシラン反応体と化合させることを含む。

Description

【発明の詳細な説明】
【0001】 関連出願の説明 本出願は、1999年6月10日に出願された米国特許出願番号09/330
,248の一部継続出願である。
【0002】 発明の属する技術分野 本発明は、一般にはスピンオンガラス材料に関し、特に、フォトリソグラフィ
において反射防止層として用いるための光吸収スピンオンガラス材料およびその
材料を製造する方法に関する。
【0003】 発明の背景 より高速な性能に対する要求に応えるために、集積回路装置の形状の特性寸法
は小さくなりつづけてきた。より小さい形状サイズを有する装置を製造すること
は、半導体製造に通常用いられる多くの方法に新しい課題を投げかけている。こ
れらの製造法の中で最も重要なものの一つは、フォトリソグラフィである。
【0004】 フォトリソグラフィによって製造されるパターンの線幅変化は、半導体ウェハ
上での下地層の反射による光学障害が原因となり生じ得ると長い間考えられてき
た。下地層の微細構成が起因するフォトレジスト厚の変化も線幅変化をもたらす
。フォトレジスト層の下に塗付される反射防止コーティング(ARC)は、照射
ビームの反射による障害を防止するために用いられてきた。さらに、反射防止コ
ーティングは、ウェハの微細構成を部分的に平坦化し、従って、フォトレジスト
厚がより均一であるため製造段階を通して線幅変化の改善を助長する。
【0005】 有機ポリマーフィルム、特に、フォトレジストを感光させるために通常用いら
れるiライン(365nm)およびgライン(436nm)波長および近年用い
られる248nm波長で吸収するのものが、反射防止コーティングとして利用さ
れてきた。しかし、有機ARCが有機フォトレジストの化学的特徴を共有すると
いうことは、使用可能な一連の工程を制限し得る。さらに、有機ARCは、フォ
トレジスト層と混ざる場合がある。混合を避ける一つの解決法は、例えば、フラ
イム(Flaim)らの米国特許第5,693,691号に記載されているよう
に、有機ARCの追加成分として熱硬化性結合剤を導入することである。アーノ
ルド(Arnold)らの米国特許第4,910,122号に記載されているよ
うに、染料、ならびに任意に湿潤剤、定着剤、防腐剤および可塑剤などの追加の
添加剤を有機ARCに配合してもよい。
【0006】 シリコンオキシナイトライドは、反射防止コーティングとして用いられてきた
もう一つの材料である。しかし、シリコンオキシナイトライドは、吸収によって
ではなく干渉を弱め合う方法によってARCとして働く。このことは、オキシナ
イトライド厚の非常に厳密な調節が必要であり、この材料が非常に変化しやすい
微細構成の上のARCとしてうまく働くことができないことを意味する。さらに
、シリコンオキシナイトライドは、典型的に、化学蒸着法によって蒸着されるが
、フォトレジスト層は、典型的に、スピンコーターを用いて塗布される。追加の
化学蒸着工程によって、加工の複雑さが増す。
【0007】 反射防止層として用いることができる材料のなおもう一つの種類は、染料を含
有するスピンオンガラス(SOG)組成物である。ヤウ(Yau)らの米国特許
第4,587,138号は、およそ1重量%の量でスピンオンガラスと混合した
塩基性イエロー#11などの染料を開示している。アルマン(Allman)ら
の米国特許第5,100,503号は、TiO、Cr、MoOまたは
ScOなどの無機染料および定着剤を含有する架橋ポリオルガノシロキサンを
開示している。アルマンは、さらに、スピンオンガラス組成物が平坦化層として
の役目を果たすことも教示している。しかし、今まで開示されたスピンオンガラ
スと染料の組合わせは、小さな形状サイズを有する装置を製造するために用いる
こととなる深紫外線、特に、248および193nmの光源暴露に最適ではない
。さらに、すべての染料が、任意のスピンオンガラス組成物に容易に配合できる
とは限らない。
【0008】 必要なのは、深紫外線スペクトル領域において強く、均一に吸収する吸収スピ
ンオンガラス反射防止コーティング材料である。ARC層がフォトレジスト現像
液におかされないことが望ましい。有機スピンオンガラス材料の望ましい特性を
保持しながら、ある範囲の吸収化合物を種々のSOG材料に配合する方法を提供
することも望ましい。
【0009】 発明の要約 深紫外線フォトリソグラフィ用反射防止コーティング材料は、スピンオンガラ
ス(SOG)材料に配合された一つ以上の有機吸収化合物を含有する。スピンオ
ンガラス材料には、メチルシロキサン、メチルシルセスキオキサン、フェニルシ
ロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフ
ェニルシルセスキオキサンおよびシリケートポリマーが挙げられる。本明細書中
で用いられるスピンオンガラス材料には、一般式(H0〜1.0SiO1.5〜 2.0のハイドロジェンシロキサンポリマー、および式(HSiO1.5 を有するハイドロジェンシルセスキオキサンポリマー(式中、xは、約8より
大きい)も含まれる。ハイドロジェンシルセスキオキサンと、アルコキシヒドリ
ドシロキサンまたはヒドロキシヒドリドシロキサンとのコポリマーも含まれる。
スピンオンガラス材料には、さらに、一般式(H0〜1.0SiO1.5〜2. (R0〜1.0SiO1.5〜2.0のオルガノヒドリドシロキサン
ポリマー、および一般式(HSiO1.5(RSiO1.5のオルガノ
ヒドリドシルセスキオキサンポリマー(式中、mは、0より大きく、nとmの合
計は、約8より大きく、Rは、アルキルまたはアリールである)も含まれる。吸
収化合物に配合するスピンオンガラス材料のコーティング溶液は、集積回路装置
における種々の層上に反射防止フィルムを形成するために用いられる。
【0010】 本発明と共に用いるために適する吸収化合物は、約375nmより短い波長ま
たは約260nmより短い波長で強く吸収する。詳細には、適する吸収化合物は
、248nm、193nmなどの波長周辺、またはフォトリソグラフィに用いる
ことができる365nmなどのその他の紫外線波長周辺の少なくともおよそ10
nm幅の波長範囲にわたって強く吸収する。適する化合物の発色団は、縮合して
いてもよいし、していなくてもよい1から3個のベンゼン環を典型的には有する
。配合可能な吸収化合物は、発色団に結合した接触容易な反応性基を有し、この
反応性基には、ヒドロキシル基、アミン基、カルボキシル基、および1、2また
は3個のアルコキシ基またはハロゲン原子置換基に結合したケイ素を有する置換
シリル基が挙げられる。反応性基が発色団に直接結合していてもよいし、あるい
は反応性基が炭化水素ブリッジを介して発色団に結合していてもよい。
【0011】 適する有機吸収化合物の例には、アントラフラビン酸、9−アントラセンカル
ボン酸、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、
2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン
、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタルイミド、9−ア
ントラセンカルボキシ−メチルトリエトキシシラン、フェニルトリエトキシシラ
ン、4−フェニルアゾフェノールなどのアゾ化合物、およびそれらの混合物が挙
げられる。
【0012】 本発明のもう一つの態様に従って、吸収スピンオンガラス組成物を合成するた
めの方法を提供する。スピンオンガラス材料は、トリエトキシシラン、テトラエ
トキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テトラ
メトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジ
メトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、
ジフェニルジエトキシシラン、およびジフェニルジメトキシシランなどのシラン
反応体から通常合成される。ハロシラン、特にクロロシラン、例えば、トリクロ
ロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリク
ロロシラン、テトラクロロシラン、ジクロロシラン、メチルジクロロシラン、ジ
メチルジクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン
、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロ
フェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチル
トリメトキシシラン、およびクロロフェニルトリメトキシシランもシラン反応体
として用いられる。
【0013】 吸収スピンオンガラス組成物を製造する方法は、一つ以上のアルコキシシラン
または一つ以上のハロシラン、一つ以上の配合可能な有機吸収化合物、硝酸/水
混合物などの酸/水混合物、および一つ以上の溶媒を混合して反応混合物を作る
こと;およびその反応混合物を還流して、吸収スピンオンガラス組成物を生成す
ることを含む。そのようにして生成したスピンオンガラス組成物を一つ以上の溶
媒で希釈して、多様な厚さのフィルムを製造するコーティング溶液を生じる。ハ
ロシロキサンおよび相間移動触媒を用いる方法を含む吸収スピンオンガラス組成
物を製造する別法も提供する。
【0014】 本発明のなおもう一つの態様に従って、化学組成物9−アントラセンカルボキ
シ−メチルトリエトキシシランの吸収化合物を提供する。9−アントラセンカル
ボキシ−メチルトリエトキシシランを合成する方法は、9−アントラセンカルボ
ン酸、クロロメチルトリエトキシシラン、トリエチルアミン、および溶媒を混合
して、反応混合物を作ること;その反応混合物を還流すること;還流された反応
混合物を冷却して、沈殿と残りの溶液にすること;および残りの溶液を濾過して
、液体9−アントラセンカルボキシ−メチルトリエトキシシランを生じることを
含む。
【0015】 図面の簡単な説明 図1aおよび1bは、本発明の実施形態に従って、スピンオンガラス組成物に
配合される吸収化合物の化学式を示す。
【0016】 図2a〜2hは、フォトリソグラフィ法において反射防止コーティング層とし
て本発明の実施形態に従う吸収スピンオンガラス組成物の使用を示す。
【0017】 詳細な説明 深紫外線フォトリソグラフィ用反射防止コーティング材料は、スピンオンガラ
ス(SOG)材料に配合された一つ以上の有機吸収化合物を含有する。吸収スピ
ンオンガラス組成物を適切な溶媒に溶解してコーティング溶液を作り、半導体デ
バイスの製造における種々の材料層に塗付する。吸収スピンオンガラス反射防止
コーティングは、既存の半導体製造工程に容易に組み込めるように設計されてい
る。組み込みをもたらす特性には、耐現像液性、標準的なフォトレジスト加工中
の熱安定性、および下地層に関する選択的除去が挙げられる。
【0018】 本発明の態様に従って組成物中で用いられるスピンオンガラス材料には、メチ
ルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシル
セスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサ
ン、およびシリケートポリマーが挙げられる。本明細書中で用いられるスピンオ
ンガラス材料には、一般式(H0〜1.0SiO1.5〜2.0のハイドロ
ジェンシロキサンポリマー、および式(HSiO1.5を有するハイドロジ
ェンシルセスキオキサンポリマー(式中、xは、約8より大きい)も含まれる。
ハイドロジェンシルセスキオキサンと、アルコキシヒドリドシロキサンまたはヒ
ドロキシヒドリドシロキサンとのコポリマーも含まれる。スピンオンガラス材料
には、さらに、一般式(H0〜1.0SiO1.5〜2.0(R0〜1.0 SiO1.5〜2.0のオルガノヒドリドシロキサンポリマー、および一般
式(HSiO1.5(RSiO1.5のオルガノヒドリドシルセスキオ
キサンポリマー(式中、mは、0より大きく、nとmの合計は、約8より大きく
、Rは、アルキルまたはアリールである)も含まれる。一部の有用なオルガノヒ
ドリドシロキサンポリマーは、RがC〜C20アルキル基またはC〜C12 アリール基である場合、約8から約5000のnとmの合計を有する。オルガノ
ヒドリドシロキサンおよびオルガノヒドリドシルセルキオキサンポリマーは、ス
ピンオンポリマーとも表わされる。特定の例には、メチルヒドリドシロキサン、
エチルヒドリドシロキサン、プロピルヒドリドシロキサン、t−ブチルヒドリド
シロキサン、フェニルヒドリドシロキサン、メチルヒドリドシルセスキオキサン
、エチルヒドリドシルセスキオキサン、プロピルヒドリドシルセスキオキサン、
t−ブチルヒドリドシルセスキオキサン、フェニルヒドリドシルセスキオキサン
、およびそれらの混合物が挙げられる。
【0019】 多くのナフタレン系およびアントラセン系化合物は、248nm以下で顕著な
吸収を有する。本明細書でフェニル系とも呼ばれるベンゼン系化合物は、200
nmより短い波長で顕著な吸収を有する。これらのナフタレン系、アントラセン
系およびフェニル系化合物は、染料と呼ばれることが多いが、これらの化合物の
吸収がスペクトルの可視領域における波長を制限しないため、本明細書では「吸
収化合物」という用語を用いる。しかし、すべてのこうした吸収化合物が、AR
C材料として用いるためにスピンオンガラスに配合することができるとは限らな
い。本発明と共に用いることに適する吸収化合物は、248nm、193nmな
どの波長周辺、またはフォトリソグラフィに用いることができる365nmなど
のその他の紫外線波長周辺に中心を置く少なくともおよそ10nm幅の波長範囲
にわたる吸収ピークを有する。これらの波長周辺の狭い、例えば、2nmより狭
い幅の吸収ピークしか有さない吸収化合物は望ましくない。
【0020】 適する吸収化合物の発色団は、縮合していてもよいし、していなくてもよい1
、2または3個のベンゼン環を典型的には有する。配合可能な吸収化合物は、発
色団に結合した接触容易な反応性基を有し、この反応性基には、ヒドロキシル基
、アミン基、カルボン酸基、ならびにアルコキシ基またはハロゲン原子などの1
,2または3個の「脱離基」に結合したケイ素を有する置換シリル基が挙げられ
る。エトキシまたはメトキシ基、もしくは塩素原子は、脱離基としてよく用いら
れる。従って、適する反応性基には、シリコンエトキシ、シリコンジエトキシ、
シリコントリエトキシ、シリコンメトキシ、シリコンジメトキシ、シリコントリ
メトキシ、クロロシルイル、ジクロロシルイル、およびトリクロロシルイル基が
あげられる。反応性基は、例えば、フェニルトリエトキシシランの場合のように
、発色団に直接結合していてもよいし、あるいは反応性基は、例えば、9−アン
トラセンカルボキシ−メチルトリエトキシシランの場合のように、炭化水素ブリ
ッジを介して発色団に結合していてもよい。例えば、発色団にシリコントリエト
キシ基が包接されていることは、特に吸収SOGフィルムの安定性を助長するた
めに有利であることがわかった。特に365nm周辺の吸収が所望される時には
、アゾ基、−N=N−、および接触容易な反応性基を含有する吸収化合物、特に
ベンゼン環に結合したアゾ基を含むものも有用である。
【0021】 吸収スピンオンガラスにおいて、組成物、すなわち吸収組成物は、スピンオン
ガラスマトリックス内に間入する形で取り込まれていてもよい。あるいは、吸収
化合物は、スピンオンガラスポリマーに化学的に結合されている。いかなる理論
にも拘束されないが、本発明者は、配合可能な吸収化合物が接触容易な反応性基
を介してスピンオンガラスポリマー主鎖に結合することが有益な結果を提供する
ことを提唱する。
【0022】 本発明と共に用いるために適する吸収化合物の例には、アントラフラビン酸(
1)、9−アントラセンカルボン酸(2)、9−アントラセンメタノール(3)
、アリザリン(4)、キニザリン(5)、プリムリン(6)、2−ヒドロキシ−
4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン(7)、ロゾール
酸(8)、トリエトキシシリルプロピル−1,8−ナフタルイミド(9)、およ
び9−アントラセンカルボキシ−メチルトリエトキシシラン(10)、フェニル
トリエトキシシラン(11)、4−フェニルアゾフェノール(12)、およびそ
れらの混合物が挙げられる。吸収化合物(1)〜(12)の化学式を図1aから
1bに示す。例えば、9−アントラセンメタノール(3)、2−ヒドロキシ−4
(3−トリエトキシシリルプロポキシ)−ジフェニルケトン(7)およびロゾー
ル酸(8)との併用で9−アントラセンカルボキシ−メチルトリエトキシシラン
(10)を用いて、ならびにフェニルトリエトキシシラン(11)を用いて有利
な結果を得た。
【0023】 吸収化合物(1)〜(9)および(12)は、例えば、アルドリッチケミカル
(Aldrich Chemical Company)(ウイスコンシン州、
ミルウォーキー)から市販されている。吸収化合物(10)は、すぐ下に記載す
るようなエステル化法を用いて合成される。吸収化合物(11)は、ゲレスト(
Gelest,Inc.)(ペンシルバニア州、タリータウン)から市販されて
いる。吸収化合物(11)に加えて、フェニル系吸収化合物(これらの多くもゲ
レストから市販されている)の例は、フェニル環に結合した、あるいはメチルフ
ェニル、クロロフェニルおよびクロロメチルフェニルなどの置換フェニルに結合
したケイ素系反応性基を有する構造を含む。例を少しだけ挙げると、特定のフェ
ニル系吸収化合物には、フェニルトリメチルエトキシシラン、ベンジルトリクロ
ロシラン、クロロメチルフェニルトリメトキシシラン、フェニルトリフルオロシ
ランが挙げられる。再び例を少しだけ挙げると、ジフェニルメチルエトキシシラ
ン、ジフェニルジエトキシシランおよびジフェニルジクロロシランなどの1また
は2個の「脱離基」を含むジフェニルシランも適する配合可能な吸収化合物であ
る。
【0024】 9−アントラセンカルボキシ−メチルトリエトキシシラン(10)を合成する
方法には、反応体として9−アントラセンカルボン酸(2)およびクロロメチル
トリエトキシシランを用いる。反応体は、トリエチルアミンと、あらかじめ4Å
のモリキュラーシーブを用いて乾燥したメチルイソブチルケトン(MIBK)と
を混合して、反応混合物を作り、これを還流するまで加熱して、およそ6から1
0時間還流させた。還流後、反応混合物を一晩冷却して、大量の固体沈殿を生じ
させた。残りの溶液を回転蒸発させて、シリカゲルカラムを通して濾過し、二回
回転蒸発させて、濃琥珀色の油状液体として9−アントラセンカルボキシ−メチ
ルトリエトキシシラン(10)を生じた。これを精製してもよい。
【0025】 本発明のもう一つの態様に従って、吸収スピンオンガラス組成物を合成するた
めの方法を提供する。スピンオンガラス材料は、例えば、トリエトキシシラン(
HIEOS)、テトラエトキシシラン(TEOS)、メチルトリエトキシシラン
(MTEOS)、ジメチルジエトキシシラン、テトラメトキシシラン(TMOS
)、メチルトリメトキシシラン(MTMOS)、トリメトキシシラン、ジメチル
ジメトキシシラン、フェニルトリエトキシシラン(PTEOS)、フェニルトリ
メトキシシラン(PTMOS)、ジフェニルジエトキシシラン、およびジフェニ
ルジメトキシシランを含む種々のシラン反応体から典型的には合成される。ハロ
シラン、特に、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロ
シラン、フェニルトリクロロシラン、テトラクロロシラン、ジクロロシラン、メ
チルジクロロシラン、ジメチルジクロロシラン、クロロトリエトキシシラン、ク
ロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリ
エトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキ
シシラン、クロロエチルトリメトキシシラン、およびクロロフェニルトリメトキ
シシランなどのクロロシラン類もシラン反応体として用いられる。吸収スピンオ
ンガラス組成物を製造するために、吸収化合物(1)〜(12)またはそれらの
混合物などの吸収化合物は、SOG材料の合成中にシラン反応体と化合させる。
【0026】 第一の方法において、シラン反応体、例えば、HTEOS、またはTEOSお
よびMTESO、もしくはTMOSおよびMTMOS;または、代わりになるも
のとして、テトラクロロシランおよびメチルトリクロロシラン、吸収化合物(1
)〜(12)などの一つ以上の吸収化合物;溶媒または溶媒の混合物;および酸
/水混合物を含む反応体混合物を反応容器内で作る。適切な溶媒には、アセトン
、2−プロパノール、および1−プロパノール、MIBK、プロポキシプロパノ
ールおよびプロピルアセテートなどのその他の単純アルコール、ケトンおよびエ
ステルが挙げられる。酸/水混合物は、例えば、硝酸および水である。あるいは
、酢酸、ギ酸、リン酸、塩酸および無水酢酸などのその他のプロトン酸または酸
無水物が酸混合物中で用いられる。得られた混合物をおよそ1〜24時間の間で
還流し、SOGポリマー溶液を生成する。
【0027】 吸収SOGを適切な溶媒で希釈して、多様な厚さのフィルムを製造するコーテ
ィング溶液を得ることができる。適する希釈溶液には、アセトン、2−プロパノ
ール、エタノール、ブタノール、メタノール、プロピルアセテート、エチルラク
テート、および商業的にはプロパゾールP(Propasol−P)と呼ばれる
プロピレングリコールプロピルエーテルが挙げられる。エチルラクテートおよび
プロピレングリコールプロピルエーテルなどの高沸点を有する希釈溶媒は、有益
なことがわかった。高沸点溶媒は、気泡フィルム欠陥が形成される確立を低下さ
せると考えられる。対照的に、低沸点溶媒は、フィルムの架橋された一番上の層
の下に閉じ込められることとなり、後に、焼付け工程階中に除去される時、気孔
を生じる場合がある。本発明に有用なさらなる溶媒には、グリムとも呼ばれるエ
チレングリコールジメチルエーテル、アニソール、ジブチルエーテル、ジプロピ
ルエーテル、プロピレングリコールメチルエーテルアセテートおよびペンタノー
ルが挙げられる。任意に、3M(ミネソタ州、ミネアポリス)によって供給され
る製品FC430、またはDIC(日本)によって供給される商品メガフェース
(Megaface)R08などの界面活性剤もコーティング溶液に添加される
。コーティング溶液は、典型的には約0.5と20重量%の間のポリマーである
。使用に先立ち、コーティング溶液は、標準的な濾過技術によって濾過される。
【0028】 吸収SOG材料を生成する第二の方法に従って、シラン反応体、吸収化合物(
1)〜(12)などの一つ以上の吸収化合物、および溶媒または溶媒の混合物を
含む反応混合物を反応容器内で作る。反応混合物を還流するまで加熱し、およそ
1〜24時間還流する。シラン反応体および溶媒は、上の第一の方法に記載した
とおりである。上記の酸/水混合物を撹拌しながら反応混合物に添加する。得ら
れた混合物を還流するまで加熱し、およそ1〜24時間還流して、吸収SOGポ
リマーを生成する。吸収SOGを上に記載したように希釈および濾過して、コー
ティング溶液を生成した。
【0029】 吸収オルガノヒドリドシロキサン材料を生成する方法は、非極性溶媒と極性溶
媒の両方を含む二相系溶媒と相間移動触媒との混合物を作ること;一つ以上のオ
ルガノトリハロシラン、ヒドリドトリハロシラン、および吸収化合物(1)〜(
12)などの一つ以上の吸収化合物を添加して、二相系反応混合物を生じること
;および二相系反応混合物を1〜24時間反応させて、吸収オルガノヒドリドシ
ロキサンポリマーを生成することを含む。相間移動触媒には、塩化テトラブチル
アンモニウムおよび塩化ベンジルトリメチルアンモニウムが挙げられるが、これ
らに限定されない。非極性溶媒の例としては、ペンタン、ヘキサン、ヘプタン、
シクロヘキサン、ベンゼン、トルエン、キシレン、四塩化炭素などのハロゲン化
溶媒、およびそれらの混合物が挙げられるが、それらに限定されない。有用な極
性溶媒には、水、アルコール、およびアルコールと水の混合物が挙げられる。吸
収ポリマー溶液を上に記載したように希釈および濾過して、コーティング溶液を
生成する。
【0030】 吸収SOGコーティング溶液は、特定の製造法に依存して、典型的には通常の
スピンオンガラス被着技術によって、半導体加工に用いられる多様な層に塗付さ
れる。これらの技術は、SOG反射防止フィルムを製造するために、ディスペン
ススピン(dispense spin)、厚さ回転(thickness s
pin)、および熱焼付け段階を含む。典型的な方法は、約20秒間に1000
〜4000rpmの厚さ回転、および80℃〜300℃の温度で各々約1分間の
2つまたは3つの焼付け段階を含む。本発明によると、吸収SOG反射防止フィ
ルムは、約1.3〜約2.0の屈折率および0.07より大きい吸光率を示す。
実施例15〜17において以下で報告するように、0.4より大きい吸光率が得
られた。対照的に、二酸化ケイ素、シリケート、およびメチルシロキサンなどの
誘電体の吸光率は、190nmより長い波長ではほぼ0である。
【0031】 集積回路(IC)装置の製造に関するフォトリソグラフィ法において、反射防
止層として本発明による吸収スピンオンガラス材料を用いる一般的な方法を図2
a〜2hに示す。図2aに示すように、誘電体層22は、基板20上に被着され
る。IC装置において、基板20はシリコン基板であるか、または基板20は一
つ以上の金属配線層から成る。誘電体層22は、例えば、TEOSから誘導され
る二酸化ケイ素層、シラン系二酸化ケイ素層、熱成長酸化物、または他の元素ま
たは化合物に配合する化学蒸着製造メチルヒドリドシロキサンまたは二酸化ケイ
素を含む多様な誘電体から成り得る。誘電体層22は、典型的には光学的に透明
な基材である。吸収SOG反射防止コーティング層24は、通常のポジ型フォト
レジストであるフォトレジスト26によって被覆した誘電体層22の上に塗付さ
れ(図2b)、図2cに示す積層体を製造する。図2cの積層体は、図2dに示
すように、マスク30を通して紫外線放射32に暴露される。露光中、吸収SO
G ARC層24は、フォトレジストを通して伝導されるUV線32を吸収する
。吸収SOG ARC層24が存在しない場合には、誘電体層22がUV波長範
囲において透明であるため、UV線32はシリコン下層20で反射されて、臨界
寸法、例えば、露光されたフォトレジストの臨界寸法27を低下させる。この例
では、直接形像伝送をもたらすポジ型フォトレジストを想定している。
【0032】 露光された積層体を現像して、図2eの積層体を製造する。吸収SOG AR
C層24は、水酸化テトラメチルアンモニウム(TMAH)の2.5%溶液など
の通常のフォトレジスト現像溶液に耐性がある。対照的に、フォトレジスト材料
の一部の化学的特性を有する有機ARC層は、フォトレジスト現像液による損傷
をより受けやすい。さらに、吸収SOG ARC層は還元化学ガス系フォトレジ
ストストリッピング工程に耐性があるのに対して、有機ARC層は耐性がないこ
とが予想される。従って、吸収SOG層を用いることによって、ARC層を再塗
付する必要なく、フォトレジストの再生を助長することができる。
【0033】 次に、フォトレジスト層26における開口を通して、吸収SOG ARC層2
4にパターンをエッチングし、図2fのエッチングされた積層体を製造する。フ
ォトレジストに対して高い選択性を有するフルオロカーボンエッチングを用いて
、吸収SOG ARC層24をエッチングする。吸収SOGのフルオロカーボン
エッチングに対する反応は、酸素プラズマエッチングを必要とする有機ARC層
を越える吸収SOG層のさらなる利点を提供する。酸素プラズマエッチングは、
現像されたフォトレジストの臨界寸法を低下させ得る。これは、有機系であるフ
ォトレジストも酸素プラズマによってエッチングされるからである。フルオロカ
ーボンプラズマは、酸素プラズマよりフォトレジストを消費しない。より短いU
V波長では、焦点深度の要求が、図2dに示す露光段階において、フォトレジス
ト層26の厚さを限定するであろう。例えば、193nmでは、フォトレジスト
の厚さはおよそ300nmであることが概算される。従って、これらの短い波長
を使用し始める時には、フォトレジストに関して選択的にエッチングされ得るA
RC層を用いることが重要であろう。
【0034】 フルオロカーボンエッチングを誘電体層22まで継続して、図2gの積層体を
製造する。フォトレジスト層26は、継続エッチング工程中に一部消費される。
最後に、フォトレジスト層26は、酸素プラズマまたは水素還元化学を用いてス
トリップし、またSOG ARC層24は、緩衝酸化物エッチング液、例えば、
標準的なフッ化水素酸/水混合物か、あるいは水性または非水系オルガノアミン
かのいずれかを用いてストリップする。有利なことに、SOG ARC層は、誘
電体下地層に関して良好な選択性を示す溶液を用いてストリップすることができ
る。従って、図2a〜2hに示す概略のフォトリソグラフィ方法は、反射防止コ
ーティング層としての吸収SOG材料の工程上の利点を説明している。
【0035】 吸収SOG材料を合成する方法ならびに吸収化合物(10)9−アントラセン
カルボキシ−メチルトリエトキシシランの合成を以下の実施例で説明する。
【0036】 実施例1 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、60gの9−アントラセンカルボ
キシ−メチルトリエトキシシラン、0.6gの0.1M硝酸、および72gの脱
イオン水を混合した。フラスコを4時間還流した。その溶液に115gのブタノ
ール、488gの2−プロパノール、245gのアセトン、329gのエタノー
ル、53gの脱イオン水、および3.8gの10%FC430(ミネソタ州、ミ
ネアポリスの3M)を添加した。その溶液を濾過した。その溶液を分取し、続い
て、20秒間3000rpmの厚さスピンにかけ、80℃および180℃で各々
1分間焼付した。N&Kテクノロジーのモデル1200のアナライザーを用いて
、光学的性質を測定した。フィルム厚は1635Åであった。248nmにおい
て、屈折率(n)は1.373であり、吸光率(k)は0.268であった。同
じスピンおよび焼付け工程パラメータおよび測定技術を以下の実施例すべてに用
いた。
【0037】 実施例2 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトンおよびロゾール酸を含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、25gの9−アントラセンメタノ
ール、10gの2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジ
フェニルケトン、5gのロゾール酸、0.6gの0.1M硝酸、および72gの
脱イオン水を混合した。フラスコを4時間還流した。その溶液に111gのブタ
ノール、459gの2−プロパノール、230gのアセトン、309gのエタノ
ール、50gの脱イオン水、および3.75gの10%FC430(ミネソタ州
、ミネアポリスの3M)を添加した。厚さ=1436Å、n=1.479、k=
0.1255。
【0038】 実施例3 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトンおよびロゾール酸を含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、93gのTEOS、77gのMTEOS、20gの9−アントラセンメタノー
ル、60gの2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフ
ェニルケトン、5gのロゾール酸、0.5599gの0.1M硝酸、および71
.90gの脱イオン水を混合した。フラスコを4時間還流した。その溶液に57
gのブタノール、88gの2−プロパノール、44gのアセトン、59gのエタ
ノール、9.5gの脱イオン水、および3.75gの10%FC430(ミネソ
タ州、ミネアポリスの3M)を添加した。厚さ=4248Å、n=1.525、
k=0.228。
【0039】 実施例4 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトンおよびロゾール酸を含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、108gのTEOS、77gのMTEOS、10gの9−アントラセンメタノ
ール、60gの2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジ
フェニルケトン、5gのロゾール酸、0.5599gの0.1M硝酸、および7
2gの脱イオン水を混合した。フラスコを4時間還流した。その溶液に57gの
ブタノール、88gの2−プロパノール、44gのアセトン、59gのエタノー
ル、9.5gの脱イオン水、および3.75gの10%FC430(ミネソタ州
、ミネアポリスの3M)を添加した。厚さ=4275Å、n=1.529、k=
0.124。
【0040】 実施例5 2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケト
ンを含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、51gのMTEOS、60gの2−ヒドロキシ−4(3
−トリエトキシシリルプロポキシ)−ジフェニルケトン、0.6gの0.1M硝
酸、および72gの脱イオン水を混合した。フラスコを4時間還流した。その溶
液に57gのブタノール、88gの2−プロパノール、44gのアセトン、59
gのエタノール、9.5gの脱イオン水、および3.75gの10%FC430
(ミネソタ州、ミネアポリスの3M)を添加した。厚さ=3592Å、n=1.
563、k=0.067。
【0041】 実施例6 9−アントラセンメタノールを含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、10gの9−アントラセンメタノ
ール、0.6gの0.1M硝酸、および72gの脱イオン水を混合した。フラス
コを4時間還流した。その溶液に57gのブタノール、88gの2−プロパノー
ル、44gのアセトン、59gのエタノール、9.5gの脱イオン水、および3
.75gの10%FC430(ミネソタ州、ミネアポリスの3M)を添加した。
厚さ=3401Å、n=1.433、k=0.106。
【0042】 実施例7 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトンおよびロゾール酸を含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、20gの2−ヒドロキシ−4(3
−トリエトキシシリルプロポキシ)−ジフェニルケトン、25gの9−アントラ
センメタノール、5gのロゾール酸、0.6gの0.1M硝酸、および72gの
脱イオン水を混合した。フラスコを4時間還流した。その溶液に57gのブタノ
ール、88gの2−プロパノール、44gのアセトン、59gのエタノール、9
.5gの脱イオン水、および3.75gの10%FC430(ミネソタ州、ミネ
アポリスの3M)を添加した。厚さ=3503Å、n=1.475、k=0.1
93。
【0043】 実施例8 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトンおよびロゾール酸を含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、5gの2−ヒドロキシ−4(3−
トリエトキシシリルプロポキシ)−ジフェニルケトン、25gの9−アントラセ
ンメタノール、5gのロゾール酸、0.6gの0.1M硝酸、および72gの脱
イオン水を混合した。フラスコを4時間還流した。その溶液に57gのブタノー
ル、88gの2−プロパノール、44gのアセトン、59gのエタノール、9.
5gの脱イオン水、および3.75gの10%FC430(ミネソタ州、ミネア
ポリスの3M)を添加した。厚さ=3119Å、n=1.454、k=0.17
5。
【0044】 実施例9 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトン、ロゾール酸、キニザリンおよびアリザリンを
含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、20gの2−ヒドロキシ−4(3
−トリエトキシシリルプロポキシ)−ジフェニルケトン、25gの9−アントラ
センメタノール、5gのロゾール酸、2gのキニザリン、2gのアリザリン、0
.6gの0.1M硝酸、および72gの脱イオン水を混合した。フラスコを4時
間還流した。その溶液に57gのブタノール、88gの2−プロパノール、44
gのアセトン、59gのエタノール、9.5gの脱イオン水、および3.7gの
10%FC430(ミネソタ州、ミネアポリスの3M)を添加した。厚さ=35
54Å、n=1.489、k=0.193。
【0045】 実施例10 9−アントラセンメタノール、2−ヒドロキシ−4(3−トリエトキシシリル
プロポキシ)−ジフェニルケトン、ロゾール酸、およびアリザリンを含有する吸
収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、51.5gのMTEOS、5gの2−ヒドロキシ−4(
3−トリエトキシシリルプロポキシ)−ジフェニルケトン、25gの9−アント
ラセンメタノール、5gのロゾール酸、および2gのアリザリン、0.5599
gの0.1M硝酸、ならびに71.90gの脱イオン水を混合した。フラスコを
4時間還流した。その溶液に56.68gのブタノール、87.99gの2−プ
ロパノール、44.10gのアセトン、59.31gのエタノール、9.55g
の脱イオン水、および3.75gの10%FC430(ミネソタ州、ミネアポリ
スの3M)を添加した。厚さ=3109Å、n=1.454、k=0.193。
【0046】 実施例11 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、30gの9−アントラセンカルボ
キシ−メチルトリエトキシシラン、0.6gの0.1M硝酸、ならびに72gの
脱イオン水を混合した。フラスコを4時間還流した。その溶液に57gのブタノ
ール、88gの2−プロパノール、44gのアセトン、59gのエタノール、9
.5gの脱イオン水、および3.7gの10%FC430(ミネソタ州、ミネア
ポリスの3M)を添加した。厚さ=3010Å、n=1.377、k=0.16
3。
【0047】 実施例12 9−アントラセンメタノールを含有する吸収SOGの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、123gのTEOS、77gのMTEOS、10gの9−アントラセンメタノ
ールを混合した。その溶液を6時間還流した。0.6gの0.1M硝酸と72g
の脱イオン水の混合物をフラスコに添加した。フラスコを4時間還流した。その
溶液に57gのブタノール、88gの2−プロパノール、44gのアセトン、5
9gのエタノール、9.5gの脱イオン水、および3.75gの10%FC43
0(ミネソタ州、ミネアポリスの3M)を添加した。
【0048】 実施例13 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 1リットルフラスコ中で、297gの2−プロパノール、148gのアセトン
、90gのTMOS、59gのMTMOS、60gの9−アントラセンカルボキ
シ−メチルトリエトキシシラン、0.6gの0.1M硝酸、ならびに72gの脱
イオン水を混合した。フラスコを4時間還流した。その溶液に115gのブタノ
ール、488gの2−プロパノール、245gのアセトン、329gのエタノー
ル、53gの脱イオン水、および3.8gの10%FC430(ミネソタ州、ミ
ネアポリスの3M)を添加した。
【0049】 実施例14 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 2リットルフラスコ中で、90.0gの9−アントラセンカルボン酸、86.
0mLのクロロメチルトリエトキシシラン、66mLのトリエチルアミン、およ
び4Åのモレキュラーシーブを用いて乾燥した1.25Lのメチルイソブチルケ
トン(MIBK)を撹拌し、還流するまでゆっくりと加熱して、8.5時間還流
した。その溶液を2Lのテフロン(登録商標)瓶に移して、一晩放置した。大量 の固体沈殿が形成された。そのMIBK溶液をデカントし、回転蒸発させて約2 00gにした。同量のヘキサンを添加して、混合した。沈殿が形成した。20% エチルアセテート/80%ヘキサンでスラリー化したシリカゲルの直径1.75 インチ x 高さ2インチのカラムを準備した。圧力をかけてMIBK/ヘキサ ン溶液をこのカラムに通し、カラムを800mLの20%エチルアセテート/8 0%ヘキサンで洗浄した。溶液を0.2μmに濾過して、回転蒸発させた。溶媒 の発生が止まったら、温度を35℃に60分間上昇させた。濃琥珀色の油状液体 生成物を得た(85g)。
【0050】 実施例15 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、123g(0.593mol)の
TEOS、77g(0.432mol)のMTEOS、45g(0.102mo
l)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6gの0
.1M硝酸、ならびに72g(3.716mol)の脱イオン水を混合した。フ
ラスコを4時間還流した。その溶液に43g(0.590mol)のブタノール
、1260g(8.344mol)のエチルラクテートを添加した。厚さ=11
56Å、n=1.502、k=0.446。
【0051】 実施例16 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、123g(0.593mol)の
TEOS、77g(0.432mol)のMTEOS、30g(0.102mo
l)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6gの0
.1M硝酸、ならびに72g(3.716mol)の脱イオン水を混合した。フ
ラスコを4時間還流した。その溶液に57g(0.769mol)のブタノール
、88g(1.422mol)の2−プロパノール、44g(0.758mol
)のアセトン、59g(1.227mol)のエタノール、9.5g(0.52
8mol)の脱イオン水、および3.7gの10%FC430を添加した。厚さ
=1385Å、n=1.324、k=0.533。
【0052】 実施例17 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、123g(0.593mol)の
TEOS、77g(0.432mol)のMTEOS、45g(0.102mo
l)の9−アントラセンカルボキシ−メチルトリエトキシシラン、0.6gの0
.1M硝酸、ならびに72g(3.716mol)の脱イオン水を混合した。フ
ラスコを4時間還流した。その溶液に43g(0.590mol)のブタノール
、981g(8.301mol)のプロパゾールPを添加した。厚さ=1407
Å、n=1.334、k=0.551。
【0053】 実施例18 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 窒素導入口、ドライアイスコンデンサおよび機械撹拌器を備えた6Lの二重反
応器に5000mLのヘキサン、720mLのエタノール、65mLの水および
120gの10重量%塩化テトラブチルアンモニウム水和物水溶液を充填する。
この混合物を25℃で撹拌しながら0.5時間平衡させる。トリクロロシラン(
377.4g、2.78mol)、メチルトリクロロシラン(277.7g、1
.86mol)、および9−アントラセンカルボキシ−メチルトリエトキシシラ
ン(203.8g、0.46mol)の混合物を、蠕動ポンプ用いて70分間か
けて反応器に添加する。シランおよび吸収化合物の添加が完了し次第、ヘキサン
を10分間ラインを通してポンプ輸送する。反応混合物を2.3時間撹拌して、
エタノール/HO層を除去し、その後、残りのヘキサン溶液を3マイクロメー
トル(μm)フィルターを通して濾過し、続いて、1μmフィルターを通して濾
過する。その溶液にヘキサン(3957g、45.92mol)を添加する。
【0054】 実施例19 9−アントラセンカルボキシ−メチルトリエトキシシランを含有する吸収SO
Gの合成 5Lのフラスコ中で、508.8g(3.10mol)のトリエトキシシラン
(HTEOS)、135.8g(0.31mol)の9−アントラセンカルボキ
シ−メチルトリエトキシシラン、および508.8g(8.77mol)のアセ
トンを電磁撹拌によって混合し、20℃未満に冷却する。508.8g(8.7
7mol)のアセトン、46.69g(2.59molのHO、0.0009
molのHNO)の0.02規定硝酸、および37.03g(2.06mol
)の脱イオン水の混合物を、20℃未満に温度を維持しながら、45分間かけて
5Lのフラスコ中の混合物に滴下漏斗を通してゆっくりと添加する。その溶液を
8時間還流する。その溶液に4631g(30.67mol)のエチルラクテー
トを添加する。
【0055】 実施例20 フェニルトリエトキシシランを含有する吸収SOGの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、123g(0.593mol)の
TEOS、104g(0.432mol)のフェニルトリエトキシシラン、0.
6gの0.1M硝酸、および72g(3.716mol)の脱イオン水を混合し
た。フラスコを4時間還流した。その溶液に57g(0.769mol)のブタ
ノール、88g(1.422mol)の2−プロパノール、44g(0.758
mol)のアセトン、59g(1.227mol)のエタノール、9.5g(0
.528mol)の脱イオン水を添加した。厚さ=1727Å、n=1.957
、k=0.384。
【0056】 実施例21 フェニルトリエトキシシランを含有する吸収SOGの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、93g(0.448mol)のT
EOS、37g(0.209mol)のMTEOS、100g(0.418mo
l)のフェニルトリエトキシシラン、0.6gの0.1M硝酸、および72g(
3.716mol)の脱イオン水を混合した。フラスコを4時間還流した。その
溶液に57g(0.769mol)のブタノール、88g(1.422mol)
の2−プロパノール、44g(0.758mol)のアセトン、59g(1.2
27mol)のエタノール、9.5g(0.528mol)の脱イオン水を添加
した。厚さ=1325Å、n=1.923、k=0.364。
【0057】 実施例22 フェニルトリエトキシシランを含有する吸収SOGの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、119g(0.537mol)の
TEOS、27g(0.153mol)のMTEOS、74g(0.306mo
l)のフェニルトリエトキシシラン、0.6gの0.1M硝酸、および72g(
3.716mol)の脱イオン水を混合した。フラスコを4時間還流した。その
溶液に57g(0.769mol)のブタノール、88g(1.422mol)
の2−プロパノール、44g(0.758mol)のアセトン、59g(1.2
27mol)のエタノール、9.5g(0.528mol)の脱イオン水を添加
した。厚さ=1286Å、n=1.889、k=0.286。
【0058】 実施例23 フェニルトリエトキシシランを含有する吸収SOGの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、73g(0.351mol)のT
EOS、45g(0.251mol)のMTEOS、121g(0.503mo
l)のフェニルトリエトキシシラン、0.6gの0.1M硝酸、および72g(
3.716mol)の脱イオン水を混合した。フラスコを4時間還流した。その
溶液に57g(0.769mol)のブタノール、88g(1.422mol)
の2−プロパノール、44g(0.758mol)のアセトン、59g(1.2
27mol)のエタノール、9.5g(0.528mol)の脱イオン水を添加
した。厚さ=1047Å、n=1.993、k=0.378。
【0059】 実施例24 フェニルトリエトキシシランおよび2−ヒドロキシ−4(3−トリエトキシシ
リルプロポキシ)−ジフェニルケトンを含有する吸収SOGの合成 1リットルフラスコ中で、297g(4.798mol)の2−プロパノール
、148g(2.558mol)のアセトン、73g(0.351mol)のT
EOS、45g(0.251mol)のMTEOS、103g(0.428mo
l)のフェニルトリエトキシシラン、12g(0.0298mol)の2−ヒド
ロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、0.6
gの0.1M硝酸、および72g(3.716mol)の脱イオン水を混合した
。フラスコを4時間還流した。その溶液に57g(0.769mol)のブタノ
ール、88g(1.422mol)の2−プロパノール、44g(0.758m
ol)のアセトン、59g(1.227mol)のエタノール、9.5g(0.
528mol)の脱イオン水を添加した。厚さ=1514Å、n=1.969、
k=0.325。
【0060】 特定の実施例を参照して本発明を説明したが、この説明は本発明の適用の例に
すぎず、限定としてとらえるべきものではない。開示した実施例の特徴の多様な
適合および組合わせは、以下の請求項によって規定される本発明の範囲内にある
【図面の簡単な説明】
【図1a】 本発明の実施形態に従って、スピンオンガラス組成物に配合される吸収化合物
の化学式を示す。
【図1b】 本発明の実施形態に従って、スピンオンガラス組成物に配合される吸収化合物
の化学式を示す。
【図2a】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2b】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2c】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2d】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2e】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2f】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2g】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【図2h】 フォトリソグラフィ法において反射防止コーティング層として本発明の実施形
態に従う吸収スピンオンガラス組成物の使用を示す。
【手続補正書】
【提出日】平成14年8月7日(2002.8.7)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G03F 7/11 503 G03F 7/11 503 H01L 21/027 H01L 21/30 574 (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C U,CZ,DE,DK,EE,ES,FI,GB,GE ,GH,GM,HU,ID,IL,IN,IS,JP, KE,KG,KP,KR,KZ,LC,LK,LR,L S,LT,LU,LV,MD,MG,MK,MN,MW ,MX,NO,NZ,PL,PT,RO,RU,SD, SE,SG,SI,SK,SL,TJ,TM,TR,T T,UA,UG,UZ,VN,YU,ZW (72)発明者 ハツカー,ナイジエル・ピー アメリカ合衆国、カリフオルニア・94201、 パロウ・アルト、リンカーン・アベニユ ー・991 (72)発明者 ボールドウイン,テリーザ アメリカ合衆国、カリフオルニア・94539、 フレモント、ヤンプー・ウエイ・10 (72)発明者 ケネデイ,ジヨージフ アメリカ合衆国、カリフオルニア・95112、 サン・ノゼ、フオース・ストリート・717 Fターム(参考) 2H025 AA02 AB16 CB33 CC02 CC20 DA34 4H049 VN01 VP01 VQ08 VQ30 VR21 VR43 VS12 VT48 VU21 VU24 VW02 VW04 4J038 DL021 DL031 GA02 GA03 GA06 GA09 GA12 GA15 JA06 JA17 JA32 JA34 JA35 JB16 JC30 KA03 5F046 PA07 PA09

Claims (40)

    【特許請求の範囲】
  1. 【請求項1】 シロキサンポリマーと、約375nmより短い波長における
    少なくもおよそ10nm幅の波長範囲にわたって光を強く吸収する配合可能な有
    機吸収化合物とを含んでなる吸収スピンオンガラス組成物。
  2. 【請求項2】 範囲が約260nmより短い波長にある、請求項1に記載の
    組成物。
  3. 【請求項3】 有機吸収化合物が、1から3個のベンゼン環と、ヒドロキシ
    ル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子から
    成る群から選択される少なくとも一つの置換基に結合したケイ素を有する置換シ
    リル基から成る群から選択される反応性基とを含んでなる、請求項1に記載の組
    成物。
  4. 【請求項4】 有機吸収化合物が、シリコンエトキシ、シリコンジエトキシ
    、シリコントリエトキシ、シリコンメトキシ、シリコンジメトキシ、シリコント
    リメトキシ、クロロシリル、ジクロロシリル、およびトリクロロシリル基から成
    る群から選択される反応性基を含んでなる、請求項3に記載の組成物。
  5. 【請求項5】 有機吸収化合物が、シリコントリエトキシ反応性基を含んで
    なる、請求項3に記載の組成物。
  6. 【請求項6】 反応性基がベンゼン環に直接結合している、請求項3に記載
    の組成物。
  7. 【請求項7】 反応性基が炭化水素ブリッジを介してベンゼン環に結合して
    いる、請求項3に記載の組成物。
  8. 【請求項8】 有機吸収化合物がアゾ基をさらに含んでなる、請求項3に記
    載の組成物。
  9. 【請求項9】 有機吸収化合物が、アントラフラビン酸、9−アントラセン
    カルボン酸、9−アントラセンメタノール、アリザリン、キニザリン、プリムリ
    ン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケ
    トン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタルイミド、9
    −アントラセンカルボキシ−メチルトリエトキシシラン、フェニルトリエトキシ
    シラン、4−フェニルアゾフェノール、およびそれらの混合物から成る群から選
    択される吸収化合物を含んでなる、請求項8に記載の組成物。
  10. 【請求項10】 有機吸収化合物が、9−アントラセンメタノール、アリザ
    リン、キニザリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)
    −ジフェニルケトン、ロゾール酸、9−アントラセンカルボキシ−メチルトリエ
    トキシシラン、フェニルトリエトキシシラン、およびそれらの混合物から成る群
    から選択される吸収化合物を含んでなる、請求項3に記載の組成物。
  11. 【請求項11】 有機吸収化合物が9−アントラセンカルボキシ−メチルト
    リエトキシシランを含んでなる、請求項10に記載の組成物。
  12. 【請求項12】 有機吸収化合物がフェニルトリエトキシシランを含んでな
    る、請求項10に記載の組成物。
  13. 【請求項13】 シロキサンポリマーが、メチルシロキサン、メチルシルセ
    スキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェ
    ニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマ
    ーから成る群から選択されるポリマーである、請求項1に記載の組成物。
  14. 【請求項14】 シロキサンポリマーが、メチルシロキサン、メチルシルセ
    スキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェ
    ニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマ
    ーから成る群から選択されるポリマーである、請求項11に記載の組成物。
  15. 【請求項15】 シロキサンポリマーが、ハイドロジェンシロキサン、ハイ
    ドロジェンシルセスキオキサン、オルガノヒドリドシロキサン、およびオルガノ
    ヒドリドシルセスキオキサンポリマーから成る群から選択されるポリマー;およ
    びハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサンまたはヒ
    ドロキシヒドリドシロキサンとのコポリマーである、請求項1に記載の組成物。
  16. 【請求項16】 シロキサンポリマーが、(H0〜1.0SiO1.5〜2 .0(式中、xは、約8より大きい)、および(H0〜1.0SiO1.5 〜2.0(R0〜1.0SiO1.5〜2.0(式中、mは、0より大
    きく、nとmの合計は、約8から約5000であり、Rは、C〜C20アルキ
    ル基またはC〜C12アリール基である)から成る群から選択される一般式の
    ポリマーである、請求項15に記載の組成物。
  17. 【請求項17】 請求項1に記載のスピンオンガラス組成物と、溶媒または
    溶媒混合物とを含んでなるコーティング溶液。
  18. 【請求項18】 溶液が、約0.5重量%と約20重量%の間の吸収スピン
    オンガラス組成物である、請求項17に記載のコーティング溶液。
  19. 【請求項19】 溶媒が、エチルラクテートおよびプロピレングリコールプ
    ロピルエーテルから成る群から選択される、請求項18に記載のコーティング溶
    液。
  20. 【請求項20】 組成物が、シロキサンポリマーと、約375nmより短い
    波長における少なくともおよそ10nm幅の波長範囲にわたって光を強く吸収す
    る配合可能な有機吸収化合物とを含んでなる、吸収スピンオンガラス組成物を含
    んでなるフィルム。
  21. 【請求項21】 範囲が約260nmより短い波長にある、請求項20に記
    載のフィルム。
  22. 【請求項22】 有機吸収化合物が、1から3個のベンゼン環と、ヒドロキ
    シル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子か
    ら成る群から選択される少なくとも一つの置換基に結合したケイ素を有する置換
    シリル基から成る群から選択される反応性基とを含んでなる、請求項20に記載
    のフィルム。
  23. 【請求項23】 シロキサンポリマーと、約375nmより短い波長におけ
    る少なくともおよそ10nm幅の波長範囲にわたって光を強く吸収する配合可能
    な有機吸収化合物とを含んでなる、吸収スピンオンガラス組成物を含んで成る集
    積回路装置。
  24. 【請求項24】 範囲が約260nmより短い波長にある、請求項23に記
    載の装置。
  25. 【請求項25】 有機吸収化合物が、1から3個のベンゼン環と、ヒドロキ
    シル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子か
    ら成る群から選択される少なくとも一つの置換基に結合したケイ素を有する置換
    シリル基から成る群から選択される反応性基とを含んでなる、請求項23に記載
    の装置。
  26. 【請求項26】 アルコキシシランおよびハロシランから成る群から選択さ
    れる一つ以上のシラン反応体、一つ以上の配合可能な有機吸収化合物、酸/水混
    合物、および一つ以上の溶媒を混合して、反応混合物を作ること;および 反応混合物を還流して、吸収スピンオンガラス組成物を生成すること を含んでなる吸収スピンオンガラス組成物を製造する方法。
  27. 【請求項27】 一つ以上の有機吸収化合物が、1から3個のベンゼン環と
    、ヒドロキシル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロ
    ゲン原子から成る群から選択される少なくとも一つの置換基に結合したケイ素を
    有する置換シリル基から成る群から選択される反応性基とを含んでなる、請求項
    26に記載の方法。
  28. 【請求項28】 一つ以上の有機吸収化合物がアゾ基をさらに含んでなる、
    請求項27に記載の方法。
  29. 【請求項29】 一つ以上の有機吸収化合物が、アントラフラビン酸、9−
    アントラセンカルボン酸、9−アントラセンメタノール、アリザリン、キニザリ
    ン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−
    ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタ
    ルイミド、9−アントラセンカルボキシ−メチルトリエトキシシラン、フェニル
    トリエトキシシラン、4−フェニルアゾフェノール、およびそれらの混合物から
    成る群から選択される吸収化合物を含んでなる、請求項28に記載の方法。
  30. 【請求項30】 一つ以上のシラン反応体が、トリエトキシシラン、テトラ
    エトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テト
    ラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチル
    ジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン
    、ジフェニルジエトキシシラン、およびジフェニルジメトキシシラン、トリクロ
    ロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリク
    ロロシラン、テトラクロロシラン、クロロトリエトキシシラン、クロロトリメト
    キシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラ
    ン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、ク
    ロロエチルトリメトキシシラン、およびクロロフェニルトリメトキシシランから
    成る群から選択される、請求項26に記載の方法。
  31. 【請求項31】 一つ以上のシラン反応体がテトラエトキシシランおよびメ
    チルトリエトキシシランである、請求項30に記載の方法。
  32. 【請求項32】 酸/水混合物が硝酸/水混合物である、請求項26に記載
    の方法。
  33. 【請求項33】 一つ以上のアルコキシシラン、または一つ以上のハロシラ
    ン;一つ以上の配合可能な有機吸収化合物;および一つ以上の溶媒を混合して、
    第一反応混合物を作ること; 第一反応混合物を還流すること; 第一反応混合物に酸/水混合物を添加して、第二反応混合物を作ること; 第二反応混合物を還流して、吸収スピンオンガラス組成物を生成すること を含んでなる、吸収スピンオンガラス組成物を製造する方法。
  34. 【請求項34】 一つ以上のアルコキシシラン、または一つ以上のハロシラ
    ン;一つ以上の配合可能な有機吸収化合物;酸/水混合物;および一つ以上の溶
    媒を混合して、反応混合物を作ること; 反応混合物を還流して、吸収スピンオンガラスポリマーを生成すること を含んでなる、吸収スピンオンガラスポリマーを含有するコーティング溶液を製
    造する方法。
  35. 【請求項35】 一つ以上の希釈溶媒を吸収スピンオンガラス組成物に添加
    して、コーティング溶液を製造することを含んでなる、請求項34に記載の方法
  36. 【請求項36】 コーティング溶液が約0.5%と約20%の間の吸収スピ
    ンオンガラスポリマーである、請求項35に記載の方法。
  37. 【請求項37】 極性溶媒、非極性溶媒および相間移動触媒を混合して、第
    一反応混合物を作ること; オルガノトリハロシラン、ヒドリドハロシラン、および一つ以上の配合可能な
    有機吸収化合物を第一反応混合物に添加して、第二反応混合物を作ること;およ
    び 第二反応混合物を反応させて、吸収スピンオンガラス組成物を生成すること を含んでなる吸収スピンオンガラス組成物を製造する方法。
  38. 【請求項38】 化学組成物9−アントラセンカルボキシ−メチルトリエト
    キシシランを含んでなる有機吸収化合物。
  39. 【請求項39】 9−アントラセンカルボン酸、クロロメチルトリエトキシ
    シラン、トリエチルアミンおよび溶媒を混合して、反応混合物を作ること; 反応混合物を還流すること; 還流した反応混合物を冷却して、沈殿と残りの溶液にすること; 残りの溶液を濾過して、液体9−アントラセンカルボキシ−メチルトリエトキ
    シシランを生じること を含んでなる、9−アントラセンカルボキシ−メチルトリエトキシシランを製造
    する方法。
  40. 【請求項40】 残りの溶液を濾過することが、 残りの溶液を回転蒸発させること; 回転させた蒸発溶液をシリカゲルカラムに通すこと;および シリカゲルカラムを通した溶液を回転蒸発させること を含んでなる、請求項39に記載の方法。
JP2001503571A 1999-06-10 2000-06-08 フォトリソグラフィ用スピンオンガラス反射防止コーティング Pending JP2003502449A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/330,248 US6268457B1 (en) 1999-06-10 1999-06-10 Spin-on glass anti-reflective coatings for photolithography
US09/330,248 1999-06-10
US09/491,166 2000-01-26
US09/491,166 US6506497B1 (en) 1999-06-10 2000-01-26 Spin-on-glass anti-reflective coatings for photolithography
PCT/US2000/015772 WO2000077575A1 (en) 1999-06-10 2000-06-08 Spin-on-glass anti-reflective coatings for photolithography

Publications (2)

Publication Number Publication Date
JP2003502449A true JP2003502449A (ja) 2003-01-21
JP2003502449A5 JP2003502449A5 (ja) 2007-08-02

Family

ID=26987186

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001503571A Pending JP2003502449A (ja) 1999-06-10 2000-06-08 フォトリソグラフィ用スピンオンガラス反射防止コーティング

Country Status (7)

Country Link
US (3) US6956097B2 (ja)
EP (1) EP1190277B1 (ja)
JP (1) JP2003502449A (ja)
KR (1) KR100804873B1 (ja)
AU (1) AU5600200A (ja)
CA (1) CA2374944A1 (ja)
WO (1) WO2000077575A1 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005018054A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005015779A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005509914A (ja) * 2001-11-15 2005-04-14 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィ用のスピンオングラス反射防止コーティング
JP2005338380A (ja) * 2004-05-26 2005-12-08 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP2006049908A (ja) * 2004-08-03 2006-02-16 Samsung Electronics Co Ltd 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法
JP2006528999A (ja) * 2003-05-23 2006-12-28 ダウ コーニング コーポレイシヨン 高い湿式エッチング速度を持つシロキサン樹脂系反射防止被覆組成物
US7385021B2 (en) 2004-06-10 2008-06-10 Shin-Etsu Chemical Co., Ltd. Sacrificial film-forming composition, patterning process, sacrificial film and removal method
JP2008524651A (ja) * 2004-12-17 2008-07-10 ダウ・コーニング・コーポレイション 反射防止膜の形成方法
JP2008525824A (ja) * 2004-12-17 2008-07-17 ダウ・コーニング・コーポレイション 反射防止膜の形成方法
US7417104B2 (en) 2004-06-10 2008-08-26 Shin-Etsu Chemical Co., Ltd. Porous film-forming composition, patterning process, and porous sacrificial film
JP2008195908A (ja) * 2007-02-16 2008-08-28 Toray Fine Chemicals Co Ltd 縮合多環式炭化水素基を有するシリコーン共重合体、及び、その製造方法
JP2008203364A (ja) * 2007-02-16 2008-09-04 Tokyo Ohka Kogyo Co Ltd レジスト下層膜形成用組成物、及びこれを用いたレジスト下層膜
US7485690B2 (en) 2004-06-10 2009-02-03 Shin-Etsu Chemical Co., Ltd. Sacrificial film-forming composition, patterning process, sacrificial film and removal method
JP2009175747A (ja) * 2009-03-24 2009-08-06 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
JP2011221549A (ja) * 2011-06-09 2011-11-04 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
JP2015138895A (ja) * 2014-01-23 2015-07-30 旭化成イーマテリアルズ株式会社 各種フレキシブルデバイス製造過程における剥離方法
JP2020520562A (ja) * 2017-05-18 2020-07-09 エッレファウンドリ エッセ.エッレ.エッレ. 半導体ウェハのハイブリッド接合方法及び関連する3次元集積デバイス

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US20050003215A1 (en) * 2000-02-16 2005-01-06 Nigel Hacker Synthesis of siloxane resins
EP1197998A3 (en) 2000-10-10 2005-12-21 Shipley Company LLC Antireflective porogens
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
WO2003044077A1 (en) * 2001-11-16 2003-05-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
AU2002359387A1 (en) * 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
KR20040075866A (ko) * 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
EP1478648B1 (en) 2002-02-01 2014-04-30 ARIAD Pharmaceuticals, Inc. Phosphorus-containing compounds and uses thereof
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
AU2002329596A1 (en) * 2002-07-11 2004-02-02 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
FR2842533B1 (fr) * 2002-07-18 2006-11-24 Hynix Semiconductor Inc Composition de revetement organique antireflet, procede de formation de motifs de photoresist a l'aide de cette composition et dispositif a semi-conducteur fabrique grace a ce procede
AU2003302526A1 (en) * 2002-12-02 2004-06-23 Tokyo Ohka Kogyo Co., Ltd. Composition for forming antireflection coating
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
JP5348843B2 (ja) * 2003-10-07 2013-11-20 ハネウエル・インターナシヨナル・インコーポレーテツド 集積回路用途の被覆およびハードマスク組成物、これらの製造方法および使用
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
KR101191098B1 (ko) * 2004-12-17 2012-10-15 다우 코닝 코포레이션 실록산 수지 피복물
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
EP1762895B1 (en) 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
WO2007053396A2 (en) * 2005-10-28 2007-05-10 Dow Global Technologies Inc. Silsesquioxane-titania hybrid polymers
KR100713231B1 (ko) * 2005-12-26 2007-05-02 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
WO2007094848A2 (en) 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
WO2007094849A2 (en) * 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
US7550249B2 (en) 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
US9051491B2 (en) * 2006-06-13 2015-06-09 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
WO2007144452A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
WO2008038550A1 (fr) * 2006-09-25 2008-04-03 Hitachi Chemical Company, Ltd. Composition sensible au rayonnement, procédé de formation d'un film de protection à base de silice, film de protection à base de silice, appareil et élément comportant un film de protection à base de silice et agent photosensibilisant destiné à isoler un film
CA2669415A1 (en) 2006-11-14 2008-05-22 Ariad Pharmaceuticals, Inc. Solid dosage form comprising ap23573
US7759046B2 (en) 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8541532B2 (en) 2007-02-09 2013-09-24 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US7736837B2 (en) * 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP2010519362A (ja) * 2007-02-26 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション シロキサンポリマーの製造方法
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
TWI439494B (zh) * 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
JP5587791B2 (ja) 2008-01-08 2014-09-10 東レ・ダウコーニング株式会社 シルセスキオキサン樹脂
WO2009091440A1 (en) 2008-01-15 2009-07-23 Dow Corning Corporation Silsesquioxane resins
WO2009111122A2 (en) 2008-03-04 2009-09-11 Dow Corning Corporation Silsesquioxane resins
JP5581224B2 (ja) * 2008-03-05 2014-08-27 ダウ・コーニング・コーポレイション シルセスキオキサン樹脂
KR100917241B1 (ko) * 2008-09-19 2009-09-16 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
US8809482B2 (en) 2008-12-10 2014-08-19 Dow Corning Corporation Silsesquioxane resins
EP2376584B1 (en) * 2008-12-10 2014-07-16 Dow Corning Corporation Wet-etchable antireflective coatings
DE102009054630B4 (de) * 2008-12-15 2013-02-14 Qimonda Ag Verfahren zum Herstellen eines photovoltaisches Bauelements
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP2009280822A (ja) * 2009-07-03 2009-12-03 Honeywell Internatl Inc フォトリソグラフィ用のスピンオングラス反射防止性コーティング
US9330974B2 (en) * 2010-10-27 2016-05-03 Infineon Technologies Ag Through level vias and methods of formation thereof
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6163770B2 (ja) * 2012-03-07 2017-07-19 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
WO2015060190A1 (ja) * 2013-10-21 2015-04-30 学校法人東海大学 光導波路の製造方法
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
EP3083234B1 (en) 2013-12-19 2018-11-14 3M Innovative Properties Company Multilayer composite article
US9994676B2 (en) 2014-06-23 2018-06-12 3M Innovative Properties Company Silicon-containing polymer and method of making a silicon-containing polymer
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58213075A (ja) * 1982-04-30 1983-12-10 ゼネラル・エレクトリツク・カンパニイ 紫外線吸収剤、その製造方法、組成物及びそれを含む物品
JPS59109565A (ja) * 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS61111360A (ja) * 1984-07-27 1986-05-29 ゼネラル・エレクトリツク・カンパニイ シロキサンに結合したuv吸収剤を有する乳化重合シリコーンエマルジョンの製法
JPS63312643A (ja) * 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
JPH04180977A (ja) * 1990-11-15 1992-06-29 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液の製造方法、シリカ系被膜形成用塗布液,シリカ系被膜の製造方法,シリカ系被膜およびシリカ系被膜の形成された半導体デバイス
JPH0527444A (ja) * 1990-09-14 1993-02-05 Ncr Corp シリカ基材非反射性平面化層
JPH0656560A (ja) * 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
JPH0695385A (ja) * 1992-08-20 1994-04-08 Internatl Business Mach Corp <Ibm> 深−uv、i−線またはe−ビームリソグラフ用の新規シリコン含有ネガレジスト
JPH09183853A (ja) * 1995-09-29 1997-07-15 General Electric Co <Ge> 螢光又は光増感マーカー染料で官能化された光硬化性エポキシシリコーン
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
JPH10502461A (ja) * 1994-06-27 1998-03-03 アライドシグナル・インコーポレーテッド ステレオリソグラフィーにおけるカチオン系開始剤の有用範囲の拡大
JPH10161315A (ja) * 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
JPH10242140A (ja) * 1997-02-28 1998-09-11 Tokyo Ohka Kogyo Co Ltd 多層配線構造の形成方法
JPH1143594A (ja) * 1997-07-25 1999-02-16 Jsr Corp 反射防止膜形成用組成物
JPH11338129A (ja) * 1998-05-25 1999-12-10 Fujifilm Olin Co Ltd 無機物質含有感光性樹脂組成物、それを用いる感光材料および隔壁形成方法
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
JP2001019574A (ja) * 1999-07-07 2001-01-23 Nec Corp 複合基板、光導波路、クラッド用材料及び複合基板の製造方法

Family Cites Families (508)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2783263A (en) * 1954-11-22 1957-02-26 Dow Corning Halocarboxysilanes
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3615272A (en) * 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
US3884702A (en) * 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4053313A (en) * 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4016607A (en) * 1976-07-30 1977-04-12 Eino Pihlaja Artificial hand
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
JPS55165922A (en) * 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) * 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) * 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) * 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) * 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
EP0046695B1 (en) * 1980-08-26 1986-01-08 Japan Synthetic Rubber Co., Ltd. Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS5760330A (en) * 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4515828A (en) * 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) * 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) * 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) * 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) * 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
EP0076656B1 (en) 1981-10-03 1988-06-01 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) * 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US5741623A (en) 1982-07-30 1998-04-21 Tdk Corporation Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4434127A (en) * 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) * 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) * 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8401016D0 (en) * 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
EP0152377B1 (de) 1984-02-10 1987-12-09 Ciba-Geigy Ag Härtbare Zusammensetzung und deren Verwendung
JPS60177029A (ja) * 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4702990A (en) * 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
EP0163538B1 (en) * 1984-05-30 1989-11-23 Fujitsu Limited Pattern-forming material and its production and use
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) * 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4620986A (en) * 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4587138A (en) * 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
FR2579552B1 (fr) * 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) * 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
US4663414A (en) * 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US4676887A (en) * 1985-06-03 1987-06-30 Mobil Oil Corporation Production of high octane gasoline
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) * 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4816049A (en) * 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) * 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) * 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
KR910003169B1 (ko) 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 반도체 장치 제조 방법 및 장치
EP0225676B1 (en) 1985-12-09 1994-07-06 Nippon Paint Co., Ltd. Photosensitive resin base printing material
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) * 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
US4898907A (en) * 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4822697A (en) * 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US5008320A (en) * 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
JPH0819381B2 (ja) * 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) * 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
DE3810247A1 (de) * 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) * 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
AU611106B2 (en) 1987-10-24 1991-06-06 Ito Optical Industrial Co. Ltd. Optical antireflection treatment
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4849296A (en) * 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
JPH01185367A (ja) * 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) * 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
DE68908872T2 (de) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Verfahren zum Ziehen von Einkristallen.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US4885262A (en) * 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
DE69027799T2 (de) 1989-03-14 1997-01-23 Ibm Chemisch amplifizierter Photolack
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
SU1712374A1 (ru) * 1989-06-16 1992-02-15 Чебоксарское производственное объединение "Химпром" Полиметилсилоксановые бисантрахиноновые красители дл кремнийорганических полимерных материалов
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5045592A (en) * 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
DE3940401A1 (de) 1989-12-06 1991-07-25 Siemens Ag Verfahren und anordnung zum vermindern des iodgehalts in einer salpetersauren kernbrennstoffloesung
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) * 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
JPH03272131A (ja) 1990-03-22 1991-12-03 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) * 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5472488A (en) * 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5100503A (en) * 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
KR950002949B1 (ko) 1990-10-16 1995-03-28 미쓰이세끼유 가가꾸고오교오 가부시끼가이샤 고광선 투과성 방진막, 그 제조방법 및 방진체
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
US5063267A (en) * 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) * 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JP3272002B2 (ja) * 1991-09-02 2002-04-08 昭和電工株式会社 ポリオルガノシルセスキオキサンの製造方法
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
DE4132697A1 (de) * 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
WO1993016138A1 (en) 1992-02-05 1993-08-19 Toray Industries, Inc. Primer and double-layer coated article
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
JPH06333803A (ja) * 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
DE4394591T1 (de) 1992-09-24 1994-10-20 Kansai Paint Co Ltd Decküberzugszusammensetzung und Filmbildungsverfahren unter dessen Verwendung
CA2107715A1 (en) 1992-10-06 1994-04-07 Hiroyuki Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) * 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) * 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) * 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) * 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5516286A (en) 1994-05-16 1996-05-14 Kushner; Philip Dental isolation tray particularly suited for use when applying dental sealants and method for its use
JP2791397B2 (ja) 1994-05-19 1998-08-27 東亞合成株式会社 新規な有機ハロシラン化合物
JP3301215B2 (ja) 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) * 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) * 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
AU715653B2 (en) 1996-02-13 2000-02-10 Sola International Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6072018A (en) * 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
KR100276803B1 (ko) 1996-12-13 2001-01-15 이마이 기요스케 실리콘 에멀젼 코팅재 조성물과 그것의 제조방법
US6165684A (en) * 1996-12-24 2000-12-26 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method for forming resist pattern using the same
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) * 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
EP0989170B1 (en) 1997-05-13 2002-10-02 Kirin Beer Kabushiki Kaisha Coating material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) * 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6255671B1 (en) 1998-01-05 2001-07-03 International Business Machines Corporation Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
BR9815091A (pt) 1998-01-22 2000-10-17 Kettenback Fabrick Chemischer "rebase de próteses e processo para a fabricação da mesma"
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
EP1060022A1 (en) 1998-02-04 2000-12-20 Merck & Co., Inc. Virtual wells for use in high throughput screening assays
DE69914515T2 (de) * 1998-02-06 2004-12-16 Seiwa Kasei Co., Ltd., Higashi-Osaka Mikrokapsel mit spezifischer Wand und Verfahren zur Herstellung
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) * 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
KR20010042973A (ko) 1998-04-29 2001-05-25 테리 브레우어 셀룰로식 결합제로부터 유도된 급속 에칭, 열경화성 반사방지 코팅
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
EP1046958B1 (en) 1998-07-10 2006-04-12 AZ Electronic Materials USA Corp. Use of a composition for bottom reflection preventive film
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
ATE300558T1 (de) 1999-02-26 2005-08-15 Showa Denko Kk Photopolymerisationsinitiator für farbfilter, farbzusammensetzung und farbfilter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
JP4284020B2 (ja) 1999-07-30 2009-06-24 ピーピージー インダストリーズ オハイオ, インコーポレイテッド 改良ひっかき抵抗性を有する硬化コーティング、コート基材及びそれに関連する方法
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
EP1129470B1 (en) 1999-09-13 2005-12-28 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
CA2373609A1 (en) 2000-02-08 2001-08-16 Adsil, Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
AU2001238216A1 (en) 2000-02-14 2001-08-27 The Procter And Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
KR100610406B1 (ko) 2000-02-22 2006-08-09 브레우어 사이언스 인코포레이션 화학적 증착에 의해 증착된 유기 중합체 반사 방지 코팅
JP2003531924A (ja) 2000-02-28 2003-10-28 アドシル・エルシー シランが基になったコーティング組成物、これから得た被覆製品およびこれの使用方法
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP2003529654A (ja) 2000-03-30 2003-10-07 ゼネラル・エレクトリック・カンパニイ 透明な難燃性ポリ(アリーレンエーテル)ブレンド
JP3795333B2 (ja) * 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
ATE321105T1 (de) 2000-04-13 2006-04-15 Jsr Corp Überzugsmittel, verfahren zur herstellung, gehärtetes produkt und beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
US7115531B2 (en) 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US7177261B2 (en) 2001-06-05 2007-02-13 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
KR20040075866A (ko) 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
WO2003044077A1 (en) 2001-11-16 2003-05-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
AU2003295517A1 (en) 2002-11-12 2004-06-03 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP3152544U (ja) 2009-05-01 2009-08-06 文子 湯尾 Sosショルダー

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58213075A (ja) * 1982-04-30 1983-12-10 ゼネラル・エレクトリツク・カンパニイ 紫外線吸収剤、その製造方法、組成物及びそれを含む物品
JPS59109565A (ja) * 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS61111360A (ja) * 1984-07-27 1986-05-29 ゼネラル・エレクトリツク・カンパニイ シロキサンに結合したuv吸収剤を有する乳化重合シリコーンエマルジョンの製法
JPS63312643A (ja) * 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
JPH0527444A (ja) * 1990-09-14 1993-02-05 Ncr Corp シリカ基材非反射性平面化層
JPH04180977A (ja) * 1990-11-15 1992-06-29 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液の製造方法、シリカ系被膜形成用塗布液,シリカ系被膜の製造方法,シリカ系被膜およびシリカ系被膜の形成された半導体デバイス
JPH0656560A (ja) * 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
JPH0695385A (ja) * 1992-08-20 1994-04-08 Internatl Business Mach Corp <Ibm> 深−uv、i−線またはe−ビームリソグラフ用の新規シリコン含有ネガレジスト
JPH10502461A (ja) * 1994-06-27 1998-03-03 アライドシグナル・インコーポレーテッド ステレオリソグラフィーにおけるカチオン系開始剤の有用範囲の拡大
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
JPH09183853A (ja) * 1995-09-29 1997-07-15 General Electric Co <Ge> 螢光又は光増感マーカー染料で官能化された光硬化性エポキシシリコーン
JPH10161315A (ja) * 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
JPH10242140A (ja) * 1997-02-28 1998-09-11 Tokyo Ohka Kogyo Co Ltd 多層配線構造の形成方法
JPH1143594A (ja) * 1997-07-25 1999-02-16 Jsr Corp 反射防止膜形成用組成物
JPH11338129A (ja) * 1998-05-25 1999-12-10 Fujifilm Olin Co Ltd 無機物質含有感光性樹脂組成物、それを用いる感光材料および隔壁形成方法
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
JP2001019574A (ja) * 1999-07-07 2001-01-23 Nec Corp 複合基板、光導波路、クラッド用材料及び複合基板の製造方法

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009282524A (ja) * 2001-11-15 2009-12-03 Honeywell Internatl Inc フォトリソグラフィ用のスピンオングラス反射防止コーティング
JP2005509914A (ja) * 2001-11-15 2005-04-14 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィ用のスピンオングラス反射防止コーティング
JP4703745B2 (ja) * 2001-11-15 2011-06-15 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィ用のスピンオングラス反射防止コーティング
KR101156200B1 (ko) * 2003-05-23 2012-06-18 다우 코닝 코포레이션 습식 에치율이 높은 실록산 수지계 반사 방지 피막 조성물
JP2006528999A (ja) * 2003-05-23 2006-12-28 ダウ コーニング コーポレイシヨン 高い湿式エッチング速度を持つシロキサン樹脂系反射防止被覆組成物
JP4796498B2 (ja) * 2003-05-23 2011-10-19 ダウ コーニング コーポレーション 高い湿式エッチング速度を持つシロキサン樹脂系反射防止被覆組成物
JP2005015779A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005018054A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005338380A (ja) * 2004-05-26 2005-12-08 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
US7385021B2 (en) 2004-06-10 2008-06-10 Shin-Etsu Chemical Co., Ltd. Sacrificial film-forming composition, patterning process, sacrificial film and removal method
US7417104B2 (en) 2004-06-10 2008-08-26 Shin-Etsu Chemical Co., Ltd. Porous film-forming composition, patterning process, and porous sacrificial film
US7485690B2 (en) 2004-06-10 2009-02-03 Shin-Etsu Chemical Co., Ltd. Sacrificial film-forming composition, patterning process, sacrificial film and removal method
JP2006049908A (ja) * 2004-08-03 2006-02-16 Samsung Electronics Co Ltd 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法
JP4825811B2 (ja) * 2004-12-17 2011-11-30 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法及び電子デバイスの製造方法
JP4688882B2 (ja) * 2004-12-17 2011-05-25 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法及び電子デバイスの製造方法
JP2008525824A (ja) * 2004-12-17 2008-07-17 ダウ・コーニング・コーポレイション 反射防止膜の形成方法
JP2008524651A (ja) * 2004-12-17 2008-07-10 ダウ・コーニング・コーポレイション 反射防止膜の形成方法
KR101247546B1 (ko) 2004-12-17 2013-03-26 다우 코닝 코포레이션 반사 방지 막의 형성방법
JP2008195908A (ja) * 2007-02-16 2008-08-28 Toray Fine Chemicals Co Ltd 縮合多環式炭化水素基を有するシリコーン共重合体、及び、その製造方法
JP2008203364A (ja) * 2007-02-16 2008-09-04 Tokyo Ohka Kogyo Co Ltd レジスト下層膜形成用組成物、及びこれを用いたレジスト下層膜
JP2009175747A (ja) * 2009-03-24 2009-08-06 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
JP2011221549A (ja) * 2011-06-09 2011-11-04 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
JP2015138895A (ja) * 2014-01-23 2015-07-30 旭化成イーマテリアルズ株式会社 各種フレキシブルデバイス製造過程における剥離方法
JP2020520562A (ja) * 2017-05-18 2020-07-09 エッレファウンドリ エッセ.エッレ.エッレ. 半導体ウェハのハイブリッド接合方法及び関連する3次元集積デバイス
JP7309692B2 (ja) 2017-05-18 2023-07-18 エッレファウンドリ エッセ.エッレ.エッレ. 半導体ウェハのハイブリッド接合方法及び関連する3次元集積デバイス

Also Published As

Publication number Publication date
US20050245717A1 (en) 2005-11-03
CA2374944A1 (en) 2000-12-21
KR100804873B1 (ko) 2008-02-20
EP1190277B1 (en) 2009-10-07
US20020128388A1 (en) 2002-09-12
US6969753B2 (en) 2005-11-29
EP1190277A1 (en) 2002-03-27
WO2000077575A1 (en) 2000-12-21
KR20060097744A (ko) 2006-09-14
US6956097B2 (en) 2005-10-18
AU5600200A (en) 2001-01-02
US7678462B2 (en) 2010-03-16
US20030120018A1 (en) 2003-06-26

Similar Documents

Publication Publication Date Title
US6969753B2 (en) Spin-on-glass anti-reflective coatings for photolithography
JP4703745B2 (ja) フォトリソグラフィ用のスピンオングラス反射防止コーティング
US6506497B1 (en) Spin-on-glass anti-reflective coatings for photolithography
US8344088B2 (en) Spin-on anti-reflective coatings for photolithography
KR100804870B1 (ko) 포토리소그래피에 사용되는 스핀-온 유리 반사방지 코팅용흡수성 화합물
JP2005512309A6 (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2005509710A (ja) フォトリソグラフィ用のスピンオングラス反射防止性コーティング
JP2011221549A (ja) フォトリソグラフィー用スピンオン反射防止膜
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅
JP2009175747A (ja) フォトリソグラフィー用スピンオン反射防止膜
JP2009280822A (ja) フォトリソグラフィ用のスピンオングラス反射防止性コーティング

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070604

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070604

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120619