JP4558206B2 - Cvdナノ多孔性シリカの低誘電率膜 - Google Patents

Cvdナノ多孔性シリカの低誘電率膜 Download PDF

Info

Publication number
JP4558206B2
JP4558206B2 JP2000577707A JP2000577707A JP4558206B2 JP 4558206 B2 JP4558206 B2 JP 4558206B2 JP 2000577707 A JP2000577707 A JP 2000577707A JP 2000577707 A JP2000577707 A JP 2000577707A JP 4558206 B2 JP4558206 B2 JP 4558206B2
Authority
JP
Japan
Prior art keywords
substrate
silicon oxide
silicon
bis
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000577707A
Other languages
English (en)
Other versions
JP2002528893A (ja
Inventor
ロバート, ピー. マンダル,
デイヴィッド チェウン,
ワイ−ファン ヤウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002528893A publication Critical patent/JP2002528893A/ja
Application granted granted Critical
Publication of JP4558206B2 publication Critical patent/JP4558206B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Description

【0001】
【発明の属する技術分野】
本発明は、集積回路の製造に関する。より詳細には、本発明は、基板上に誘電体層を堆積するプロセスおよび装置に関する。
【0002】
【発明の背景】
現代の半導体デバイスの製造における主要なステップのひとつは、ガスの化学反応による基板上への金属および誘電体の膜の形成である。そのような堆積プロセスは、化学的気相堆積法つまりCVDと称せられる。従来の熱CVDプロセスは、基板表面へ反応性ガスを供給し、そこで、熱誘導による化学反応が起こり所望の膜を作出する。幾つかの熱CVDプロセスが動作する高温度は、基板上に既に形成された層を有するデバイス構造を損傷する可能性がある。比較的低温度で金属および誘電体の膜を堆積する好ましい方法は、プラズマ強化CVD(PECVD)技術であり、例えば、米国特許第5,362,526号、発明の名称「酸化ケイ素を堆積するためにTEOSを使用するプラズマ強化CVDプロセス」に記述され、それは本明細書に引用して組込まれる。プラズマ強化CVD技術は、基板表面近くの反応区域への高周波(RF)エネルギーの印加によって反応性ガスの励起および/または解離を促進し、それによって高度に反応性の核種のプラズマを創出する。解離された核種の高い反応性は、生起する化学反応のために必要とされるエネルギーを低減し、従って、そのようなPECVDプロセスのために必要な温度を低下させる。
【0003】
半導体デバイスの形状寸法は、そのようなデバイスが最初に導入された数十年前以来、劇的にサイズを縮小してきた。以来、集積回路は一般的に2年/半分サイズの法則(ムーアの法則と呼ばれることが多い)に従い、それは、チップ上に実装されるデバイスの数が2年毎に2倍になることを意味する。現在の製造設備は、定常的に0.35μmおよび0.25μmのフィーチャサイズさえ有するデバイスを生産しており、将来の設備は間もなく更に小さい形状寸法を持つデバイスを生産しているであろう。
【0004】
集積回路上のデバイスのサイズを更に縮小するために、隣接する金属配線間の静電容量結合を低減するよう、低固有抵抗を有する導電性材料および低k(誘電率<4.0)を有する絶縁材を使用することが必要になっている。裏打/バリア層が、国際公開WO94/01885に記載されるように導電性材料上に対する水分等の副生成物の拡散を阻止するよう導電性材料および絶縁材間に使用された。例えば、低k誘電体の形成中に生成され得る水分は、導電性金属の表面へ容易に拡散し、導電性金属表面の固有抵抗を増大させる。従来の酸化ケイ素または窒化ケイ素材料から形成されるバリア/ライナー層は、副生成物の拡散を阻止できる。しかし、バリア/ライナー層は、普通には4.0より著しく大きい誘電率を有し、この高い誘電率が、誘電率を著しく低減できない複合の絶縁体を結果として生じる。
【0005】
図1Aは、国際公開特許WO94/01885に記載されるようなバリア/ライナー層を堆積するためのPECVDプロセスを示す。PECVDプロセスは、多数構成要素の誘電体層を堆積し、ここで、二酸化ケイ素(SiO2)ライナー層2が、最初に、基板4上に形成された金属配線3を有するパターン化された金属層上に堆積される。ライナー層2は、300℃でシラン(SiH4)および亜酸化窒素(N2O)のプラズマ強化反応によって堆積される。自己平坦化低k誘電体層5が、次に、200℃より下の温度でシラン化合物および過酸化物化合物の熱反応によってライナー層2上に堆積される。自己平坦化層5は水分を保有し、それはアニールによって除去される。ライナー層2は酸化されたシラン膜であり、少なくとも4.5の誘電率を提供する様式で堆積される場合、効果的なバリア特性を有する。酸化されたシラン膜の誘電率は、膜の水分バリア特性を減少する様式にプロセス条件を改変することによって約4.1へ減少することができる。SiNのような従来のライナー層は、更に大きい誘電率を有し、高kの誘電体ライナー層と低k誘電体層の複合は、総合的な積重ね誘電率および静電容量結合で殆どまたは全く改善を提供しない。
【0006】
図1Bに示すように、WO94/01885は、更にオプションのSiO2キャップ層6を記述し、それはシランとN2Oとの反応によって低k誘電体層5上に堆積される。キャップ層6も酸化されたシラン膜であり、約4.5の誘電率を提供する様式で堆積される場合、良好なバリア特性を有する。ライナー層2およびキャップ層6の両方は4.5より大きい誘電率を有し、高誘電率層は、低k誘電体層5の利益を実質的に減ずる。
【0007】
デバイスが小さくなるのに従い、高誘電率を有するライナー層およびキャップ層は、多数構成要素誘電体層の総合的誘電率へより多く寄与する。その上に、既知の低k誘電体材料は、一般的に、酸化物含有量が少なく、それはこの材料をバイアおよび/または相互接続配線のエッチング中のエッチストップ層として不適切にする。窒化ケイ素が、低k誘電体材料内に相互接続配線を作成するための選り抜きのエッチストップ材料であった。しかし、窒化ケイ素は、周囲の低k誘電体層と比べて比較的高い誘電率(約7の誘電率)を有する。窒化ケイ素は、それ以外では低k誘電体材料が主体絶縁体として使用される場合でさえ、相互接続配線間の静電容量結合を著しく増大させ得ることも発見された。これは、デバイスの総合的性能を劣化させるクロストークおよび/または抵抗−容量(RC)遅延を引起こする可能性がある。従って、窒化ケイ素エッチストップ層は、普通には、下にある誘電体層のエッチングの後に除去される。
【0008】
理想的には、ライナー層としての使用のための良好なバリア特性およびエッチストップとしての使用のための十分な酸化物含有量の両方を有する低k誘電体層が識別されて、既存の低k誘電体材料と同じチャンバで堆積され得よう。そのようなバリア層なら誘電体層の総合的誘電率を増大させないだろうし、そのようなエッチストップ層なら下地層をエッチングした後に除去されなくてもよいであろう。
【0009】
米国特許第5,554,570号は、熱CVD酸化ケイ素との使用のためのバリア層を記述し、そこでは、C−H基あるいは原子団 (group) を有する有機シランが、シランの代りに酸化され、堆積された膜の密度を高め、層間密着性を改善する。例えば、テトラエトキシシラン(TEOS)およびオゾンから作出される熱CVD層が、有機シランおよびN2OまたはO2から作出されるPECVD酸化ケイ素膜間に堆積できる。
【0010】
'570特許に記述されたバリア層は、好ましくは、炭素含有量の低い高密度の酸化ケイ素層である。高密度層は、低周波数RF電力が膜応力を改善すると主張されるとは言え、高周波数RF電力の400Wを使用して堆積される。バリア層は、好ましくは、アルコキシシランまたは塩素化アルキルシランおよびN2Oから作出され、層の炭素含有量を低減し、密度を高める。
【0011】
'570特許は、低誘電率を有するバリア層を作成するための、または、酸化物含有量の多いエッチストップ層を作成するためのプロセス条件を認定しない。また、'570特許は、上記層の、低k誘電体層に隣接するバリア層としての、またはエッチストップとしての使用を示唆しない。
【0012】
サブミクロンデバイスにおけるバリア層またはエッチストップ層として使用するための、低誘電率と、良好なバリア特性と、高酸化物含有量とを有する誘電体層に対するニーズが依然としてある。
【0013】
【課題を解決するための手段】
本発明は、低誘電率を有するナノの多孔性酸化ケイ素層を堆積するための方法および装置を提供する。ナノ多孔性酸化ケイ素層は、ケイ素/酸素含有材料で更に熱的に不安定な有機基あるいは原子団 (group) を含有する可能性がある。材料を堆積することによって、および、酸化ケイ素層に均一に分散される顕微鏡的なガスポケットを形成するよう堆積されたケイ素/酸素含有材料の制御されたアニールによって作出される。顕微鏡的なガスポケットの酸化ケイ素層に対する相対的容積は、低誘電率をもたらす閉じた気泡構造を維持するよう制御される。ケイ素/酸素材料は、基板の表面上へ過酸化物化合物を凝縮することによって、および、堆積された過酸化物化合物を水素化されたケイ素を含有する反応性化合物または混合物と接触させることによって化学的気相堆積される。不安定な有機原子団が反応性化合物または混合物内にある場合、不安定な有機原子団は、堆積された酸化ケイ素層がアニールされる際に、ガス状生成物へ変換するのに十分な酸素を含有する。
【0014】
制御されたアニール下でナノ多孔性酸化ケイ素基体の層を形成する水素化されたケイ素を含有する反応性化合物または混合物は、シラン、メチルシラン、ジメチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,3,5−トリシラノシクロヘキサン、シクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレン、1,3−ビス(シラノメチレン)シロキサン、および、1,2−ジシラノテトラフルオロエタン、および、その組合せを含む。1,3,5−トリシラノシクロヘキサンおよびシクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレンを使用するボイドの形成は、その非平面の環状構造のために強化される。
【0015】
ケイ素および熱的に不安定な有機原子団を含む、反応性化合物または混合物は、ビス(ホルミルオキシシラノ)メタン、ビス(グリオキシリルシラノ)メタン、ビス(ホルミルカルボニルジオキシシラノ)メタン、2,2−ビス(ホルミルオキシシラノ)プロパン、1,2−ビス(ホルミルオキシシラノ)エタン、1,2−ビス(グリオキシリルシラノ)エタン、および、その混合物を含む。そのような化合物は、過酸化水素と反応して不安定な有機原子団の多くを保有するゲル状のケイ素/酸素含有材料を形成する。不安定な有機原子団の量は、無水マレイン酸メチル、3−ホルミルオキシ−2,5−フランジオン、グリシドアルデヒド、オキシラニルグリオキサレート、炭酸ジオキシラニル、ジオキシラニルメソクサレート、および無水グリシド酸、等のひとつ以上の不安定な有機原子団を含むケイ素非含有成分と反応性化合物を混合することによって増大され得る。代替として、ケイ素非含有成分は、シラン、メチルシラン、ジメチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,3,5−トリシラノシクロヘキサン、シクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレン、1,3−ビス(シラノメチレン)シロキサン、および、1,2−ジシラノテトラフルオロエタン等の、不安定な有機原子団を含有しない反応性ケイ素含有材料と混合され得る。
【0016】
堆積されたケイ素/酸素含有材料は、好ましくは、次第に増大する温度プロファイルでアニールされることにより、不安定な有機原子団を閉じた気泡構造に起因する低誘電率を有するナノ多孔性酸化ケイ素層内に分散されたガスポケットへ変換する。アニールは、好ましくは、堆積された材料の温度を約400℃以上へ増大する。
【0017】
好ましい隙間充填の実施の形態では、本発明のナノ多孔性酸化ケイ素層は、好ましくは低レベルの一定またはパルス化RF電力を使用して、ひとつ以上の反応性ケイ素含有化合物と亜酸化窒素とのプラズマ援助反応によってパターン化された金属層上に堆積された酸化ケイ素バリア層上に堆積される。次に、ナノ多孔性酸化ケイ素層は、同じチャンバ内でRF電力無しで堆積される。上記で説明したアニールの後に、ナノ多孔性酸化ケイ素層は、オプションで同じチャンバ内で低レベルの一定またはパルス化RF電力を使用して有機シランおよび/または有機シロキサン化合物と亜酸化窒素との更なる反応によってキャップされる。ライナー層およびキャップ層は、ナノ多孔性酸化ケイ素層を保護するバリアとして働く。
【0018】
本発明は、更に、酸化ケイ素または窒化ケイ素等の従来のエッチストップ上に堆積されるナノ多孔性酸化ケイ素層を含む金属間誘電体材料(IMD)を提供する。酸化ケイ素も薄い接着層として堆積できる。
【0019】
【発明の実施の形態】
上述した本発明の特徴、利点、および目的が達成される様式が詳細に理解され得るように、上記で簡単に概要された、本発明のより詳細な説明が、付属する図面に図解されるその実施の形態を参照して得られる。
【0020】
しかし、本発明は他の同等に効果的な実施の形態を許容する可能性があるので、付属図面は、本発明の典型的な実施の形態だけを図解し、それ故にその範囲を限定するとは考えられないことに注目されたい。本発明の更に理解するために、詳細な説明を参照されたい。
【0021】
本発明は、低誘電率を有するナノ多孔性酸化ケイ素層を堆積するための方法および装置を提供する。ナノ多孔性酸化ケイ素層は、オプションで熱的に不安定な有機原子団を含有するケイ素/酸素含有材料を堆積することによって、および、酸化ケイ素層内に均一に分散される顕微鏡的なガスポケットを形成するよう堆積されたケイ素/酸素含有材料の制御されたアニールによって作出される。顕微鏡的なガスポケットの酸化ケイ素に対する相対的容積は、アニール後に低誘電率および低透過性を提供する閉じた気泡構造を維持するよう制御される。ナノ多孔性酸化ケイ素層は、約3.0より小さい誘電率を有するであろう。
【0022】
有機シランおよび有機シロキサン化合物は、一般的に、次の構造を含む:
【0023】
【式1】
Figure 0004558206
【0024】
ここで、各Siは少なくとも2つの水素原子へ結合され、ひとつまたは2つの炭素原子へ結合され得る、そして、Cは、有機原子団、好ましくは、−CH3、−CH2−CH3、−CH2−、または、−CH2−CH2−、または、そのフッ素化された炭素誘導体、等のアルキル基またはアルケニル基に含まれる。有機シランまたは有機シロキサン化合物は2つ以上のSi原子を含み、各Siが別のSiと−O−、−C−、または−C−C−によって分離される場合、各架橋するCは、有機原子団、好ましくは、−CH2−、−CH2−CH2−、−CH(CH3)−、−C(CH32−、または、そのフッ素化された炭素誘導体などのアルキル基またはアルケニル基に含まれる。好ましい有機シランおよび有機シロキサン化合物は、室温近くで気体または液体であり、約10Torrより上で気化され得る。好ましい有機シランおよび有機シロキサンは以下を含む:
【0025】
【式2】
Figure 0004558206
【0026】
および、1,2−ジシラノテトラフルオロエタン、等のそのフッ素化炭素誘導体。有機シランおよび有機シロキサンでの炭化水素原子団は、部分的または完全にフッ素化されてC−H結合をC−F結合へ変換する可能性がある。好ましい有機シランおよび有機シロキサン化合物の多くは、市販で入手可能である。有機シランまたは有機シロキサンの2つ以上の組合せは、誘電率、酸化物含有量、疎水性、膜応力、および、プラズマエッチング特性、等の所望の性質の混和を提供するよう採用され得る。
【0027】
ケイ素/酸素材料は、基板の表面上へ過酸化水素等の過酸化物化合物を凝縮することによって、および、堆積された過酸化物化合物を水素化ケイ素原子団およびオプションで熱的に不安定な有機原子団含む反応性化合物または混合物と接触させることによって化学的気相堆積される。1,3,5−トリシラノシクロヘキサンおよびシクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレン等の幾つかの化合物を使用するボイドの形成は、その非平面の環状構造のおかげで不安定な原子団を追加することなくアニール中に達成される。熱的に不安定な有機原子団は、酸化ケイ素層がアニールされる際に、ガス状生成物を形成することに十分な酸素を含有する。好ましい不安定な原子団は、ホルミルオキシ(CH(O)−O−)、グリオキシリル(CH(O)−CO−O−)、および、ホルミルカルボニルジオキシ(CH(O)−O−CO−O−)を含む。
【0028】
水素化ケイ素および熱的に不安定な有機原子団を含む反応性化合物は以下を含む:
【0029】
【式3】
Figure 0004558206
【0030】
そのような化合物は、過酸化水素と反応して、約40℃より下の温度で不安定な有機原子団の多くを保有するゲル状のケイ素/酸素含有材料を形成する。堆積されたケイ素/酸素含有材料内に保有される不安定な有機原子団の量は、ひとつ以上の不安定な有機原子団を含むケイ素非含有成分と反応性化合物を混合することによって増大され得る。不安定な有機原子団は、ケイ素含有反応性化合物に対して記述したホルミルオキシ(CH(O)−O−)、グリオキシリル(CH(O)−CO−O−)、およびホルミルカルボニルジオキシ(CH(O)−O−CO−O−)基、および、他の酸素含有有機原子団を含む。好ましいケイ素非含有化合物は、以下を含む:
【0031】
【式4】
Figure 0004558206
【0032】
代替として、ケイ素非含有成分が、以下のような不安定な有機原子団を含有しない反応性ケイ素含有材料と混合され得る:
【0033】
【式5】
Figure 0004558206
【0034】
および、そのフッ素化炭素誘導体。
【0035】
堆積されたケイ素/酸素含有材料は、好ましくは、次第に高まる温度でアニールされることにより、不安定な有機原子団を閉じた気泡構造に起因する低誘電率を有するナノ多孔性酸化ケイ素層に分散されたガスポケットへ変換する。
【0036】
好ましい隙間充填の実施の形態では、本発明のナノ多孔性酸化ケイ素層は、好ましくは低レベルの一定またはパルス化RF電力を使用して、ひとつ以上の反応性ケイ素含有化合物と亜酸化窒素とのプラズマ援助反応によって、パターン化された金属層上に堆積された酸化ケイ素バリア層上に堆積される。反応性ケイ素化合物は、好ましくは、シランおよび上記でシランと共に一覧表にした他の化合物である。次に、ナノ多孔性酸化ケイ素層は、同じ多数チャンバのクラスタされたCVDシステム内でRF電力無しで堆積され、増大する温度プロファイルを使用して、オプションで約400℃まで加熱される。ナノ多孔性酸化ケイ素層は、オプションで、バリア層を堆積することに使用された同じチャンバ内で低レベルの一定またはパルス化RF電力を使用して反応性ケイ素化合物と亜酸化窒素との更なる反応によってキャップされる。ライナー層およびキャップ層は、ナノ多孔性酸化ケイ素層を保護するバリアとして働く。
【0037】
ライナー層およびキャップ層は、反応性ケイ素含有化合物のプラズマ援助酸化によって堆積され得る。好ましい反応性ケイ素含有化合物はジメチルシランであり、それは約10から約200Wまでの一定RF電力、または、約20から約200Wまでのパルス化RF電力を使用して堆積される。パルス化RF電力は、より高いピーク電力レベルで動作でき、低電力レベルで非パルス化RF電力と同じ合計電力入力を提供できる。ライナー層およびキャップ層に残留する炭素は、低誘電率およびバリア特性に寄与する。残留する炭素は、好ましくは、良好な水分バリアである疎水性の層を提供することに十分なC−HまたはC−F結合を含む。
【0038】
反応性ケイ素含有化合物は、プラズマ支援反応によるライナー層およびキャップ層の堆積中に、亜酸化窒素(N2O)等の酸素含有化合物の分解によって堆積プロセス中に形成される酸素で酸化される。亜酸化窒素は、プラズマの援助なしでは反応せず、酸素−窒素結合は反応性ケイ素含有化合物での結合より低いエネルギーで容易に切断される。酸化された化合物は半導体基板のパターン化された層等の接触表面へ密着して堆積された膜を形成する。堆積膜は、減圧および約200から約450℃まで、好ましくは約400℃を超える温度でキュアおよびアニールされて膜のバリア特性を安定化する。堆積膜は、バリア特性を提供することに十分な炭素含有量を有する。炭素含有量は、好ましくは、C−HまたはC−F結合を含むことにより、卓越した水分バリアである疎水性の膜を提供する。
【0039】
本発明は、更に、基板処理システムを提供し、それは、反応区域を含むプラズマ反応装置、基板を反応区域に位置決めするための基板ホルダ、および真空システムを有する。処理システムは、更に、真空チャンバの反応区域を反応剤ガスおよび不活性ガスの供給源へ接続するガス/液体分配システム、および、反応区域にプラズマを生成するためにガス分配システムへ結合されたRF発生器を備える。処理システムは、更に、プラズマ反応装置、ガス分配システム、およびRF発生器を制御するためのコンピュータを備えるコントローラ、および、コントローラへ結合されたメモリを備え、メモリは、有機シランまたは有機シロキサン化合物および酸化ガスのプラズマで低誘電率膜を堆積するプロセスステップを選択するためのコンピュータの読出可能なプログラムコードを含むコンピュータの使用可能な媒体を備える。
【0040】
処理システムは、更に、ひとつの実施の形態で、酸化された有機シラン化合物のライナー層を堆積するステップ、異なる誘電体層を堆積するステップ、および、オプションで酸化された有機シラン化合物のキャップ層を堆積するステップのプロセスを選択するためのコンピュータの読出可能なプログラムコードを含むことができる。
【0041】
本発明の更なる説明は、本発明のナノ多孔性酸化ケイ素層を堆積するための特定の装置へおよび好ましい隙間充填膜へ向けられる。
【0042】
典型的なCVDプラズマ反応装置
本発明の方法を実行できる適切なひとつのCVDプラズマ反応装置を図2に示し、それは、高真空区域15を有する平行プレート化学的気相堆積反応装置10の縦断面図である。反応装置10は、ガス分配マニホールド11を含有し、それは、昇降モータ14で上昇または降下される基板支持プレートつまりサセプタ12上に載置する基板つまりウェーハ(図示せず)へマニホールドにある貫通した孔を通しプロセスガスを分散するためである。普通にはTEOSの液体噴射のために使用されるような、液体噴射システム(図示せず)も、液体反応剤を噴射するために用意され得る。好ましい液体噴射システムは、AMAT Gas Precision Liquid Injection System(GPLIS)および AMAT Extended Precision Liquid Injection System(EPLIS)、両者共 Applied Materials, Inc. から入手可能である、を含む。
【0043】
反応装置10は、抵抗加熱コイル(図示せず)または外部ランプ(図示せず)によってのように、プロセスガスおよび基板の加熱を含む。図2を参照すると、サセプタ12が、支持ステム13上に搭載され、それにより、サセプタ12(および、サセプタ12の上方表面上に支持されるウェーハ)は、下方のローディング/アンローディング位置とマニホールド11に接近して隣接する上方の処理位置との間で制御可能に移動され得る。
【0044】
サセプタ12およびウェーハが処理位置14にある場合、それらは、絶縁体17およびマニホールドへのプロセスガス排気孔24で取囲まれる。プロセス中、マニホールド11へ注入されるガスは、ウェーハの表面にわたり半径方向へ均一に分配される。スロットル弁を有する真空ポンプ32が、チャンバからのガスの排気レートを制御する。
【0045】
マニホールド11に達する前に、堆積およびキャリアガスは、混合システム19内へガス配管18を通し入力され、ここで混合され、次にマニホールド11へ送られる。一般的に、プロセスガスの各々に対するプロセスガス供給管18は、(i)チャンバ内へのプロセスガスの流入を自動的または手動で遮断することに使用され得る安全遮断弁(図示せず)、および(ii)ガス供給管を通るガスの流量を測定する質量流量コントローラ(これも図示せず)を含む。有毒ガスがプロセスで使用される場合、幾つかの安全遮断弁が従来の構成で各ガス供給管に配置される。
【0046】
反応装置10で遂行される堆積プロセスは、冷却された基板ペデスタル上での非プラズマプロセスまたはプラズマ強化プロセスのいずれかであり得る。プラズマプロセスでは、制御されたプラズマが、普通には、RF電源25から分配マニホールド11へ(サセプタ12を接地して)印加されるRFエネルギーによってウェーハに隣接して形成される。代替として、RF電力はサセプタ12へ供給でき、または、RF電力は異なる構成要素へ異なる周波数で供給できる。RF電源25は、単一周波数または混合周波数RF電力を供給でき、高真空区域15内へ導入される反応性核種の分解を高める。混合周波数RF電源は、普通には、13.56MHzの高RF周波数(RF1)で分配マニホールド11へ、および、360kHzの低RF周波数(RF2)でサセプタ12へ電力を供給する。本発明の酸化ケイ素層は、最も好ましくは、低レベルまたはパルス化レベルの高周波数RF電力を使用して作出される。パルス化RF電力は、13.56MHzRF電力を約20から約200Wで約10から約30%のデューティサイクル期間で供給する。非パルス化RF電力は、好ましくは、後に更に詳細に説明するように13.56MHzRF電力を約10から約150Wで供給する。低電力堆積は、好ましくは、約−20から約40℃の範囲の温度で起こる。好ましい温度範囲で、堆積された膜は、堆積中に部分的に重合され、重合は後の膜のキュア中に完了する。
【0047】
普通には、チャンバライニング、ガス取入口マニホールド表面プレート、支持ステム13、および種々の他の反応装置金属部品のいずれかまたは全ては、アルミニウムまたは陽極酸化アルミニウム等の材料で作成される。そのようなCVD反応装置の適例は、Wang 他へ発行され、本発明の譲受人 Applied Materials, Inc. へ譲渡された米国特許第5,000,113号で、発明の名称「二酸化ケイ素の熱化学的気相堆積のための熱CVD/PECVD反応装置および使用法およびインシトゥーの多段階平坦化プロセス」に記述されている。
【0048】
昇降モータ14は、サセプタ12を処理位置と下方のウェーハローディング位置との間に上昇させ降下させる。モータ、ガス混合システム19、およびRF電源25は、システムコントローラ34によって制御配線36を介して制御される。反応装置は、質量流量コントローラ(MFCs)および標準またはパルス化RF発生器等のアナログ組立体を含み、それらは、好ましい実施の形態ではハードディスクドライブであるメモリ38内に格納されたシステム制御ソフトウエアを実行するシステムコントローラ34によって制御される。モータおよび光センサが、真空ポンプ32のスロットル弁およびサセプタ12を位置決めするためのモータ等の可動機械的組立体の位置を移動し決定することに使用される。
【0049】
システムコントローラ34は、CVD反応装置の全ての作動を制御し、コントローラ34の好ましい実施の形態は、ハードディスクドライブ、フロッピディスクドライブ、およびカードラックを含む。カードラックは、単一ボードコンピュータ(SBC)、アナログおよびデジタル入力/出力ボード、インタフェースボード、およびステッパモータコントローラボードを含有する。システムコントローラは、Versa Modular Europeans(VME)標準に準拠し、それは、ボード、カードケージ、およびコネクタの寸法および型式を規定する。VME標準は、16ビットデータバスおよび24ビットアドレスバスを有するバス構造も規定する。
【0050】
システムコントローラ34は、ハードディスクドライブ38上に格納されたコンピュータプログラムの制御の下で動作する。コンピュータプログラムは、特定のプロセスのタイミング、ガスの混合、RF電力レベル、サセプタ位置、および、他のパラメータを指図する。ユーザとシステムコントローラとの間のインタフェースは、図3に図示するCRTモニタ40および光ペン44経由である。好ましい実施の形態では、第2モニタ42が使用され、第1モニタ40は操作者用にクリーンルームの壁に、もう一方のモニタ42はサービス技術者用に壁の裏に取付けられる。両モニタ40、42は、同時に同じ情報を表示するが、ひとつの光ペン44だけが有効にされる。光ペン44は、CRTディスプレイによって放射される光をペンの先端にある光センサで検出する。特定の画面または機能を選択するために、操作者は、ディスプレイ画面の指定領域に触れ、ペン44上のボタンを押す。触れられた領域はその強調色を変更し、または、新規のメニュまたは画面が表示され、光ペンとディスプレイ画面との間の通信を確認する。
【0051】
図4を参照すると、プロセスは、例えば、システムコントローラ34上で実動するコンピュータプログラム製品410を使用して実施され得る。コンピュータプログラムコードは、例えば、68000アセンブリ言語、C、C++、またはPascal等の従来のコンピュータの読出可能なプログラミング言語のいずれかで書かれ得る。適切なプログラムコードは、従来のテキストエディタを使用して単一ファイルまたは多数ファイルに入力され、コンピュータのメモリシステム等のコンピュータの使用可能な媒体に格納または内蔵される。入力されたコードテキストが高水準言語による場合、コードはコンパイルされ、結果のコンパイラコードは、次にコンパイル前のウインドウライブラリルーチンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザは、オブジェクトコードを発動し、コンピュータシステムにコードをメモリ内へロードさせ、そこからCPUはコードを読出し実行し、プログラムに認定されたタスクを遂行する。
【0052】
図4は、コンピュータプログラム410の階層的制御構造の例示のブロック図を示す。ユーザは、CRTモニタ40上に表示されるメニューまたは画面に応答して光ペン44インタフェースを使用することによって、プロセスセット番号およびプロセスチャンバ番号をプロセスセレクタサブルーチン420内へ入力する。プロセスセットは、特定のプロセスを執行することに必要な所定のセットのプロセスパラメータであり、所定のセット番号で認定される。プロセスセレクタサブルーチン420は、(i)Centura(登録商標)プラットフォーム(Applied Materials, Inc. から入手可能)等のクラスタツール上の所望のプロセスチャンバを選択する、および(ii)所望のプロセスを遂行するためにプロセスチャンバを操作することに必要とされる所望のセットのプロセスパラメータを選択する。特定のプロセスを遂行するためのプロセスパラメータは、例えば、プロセスガスの組成と流量レート、温度、圧力、RFバイアス電力レベルと磁界電力レベル等のプラズマ条件、冷却ガス圧力、およびチャンバ壁温度、等のプロセス条件に関係し、レシピの形式でユーザへ提供される。レシピで特定されるパラメータは、光ペン/CRTモニタインタフェースを利用して入力される。
【0053】
プロセスを監視するための信号は、システムコントローラのアナログ入力およびデジタル入力ボードで供給され、プロセスを制御するための信号は、システムコントローラ34のアナログ出力およびデジタル出力ボード上に出力される。
【0054】
プロセスシーケンササブルーチン430は、プロセスセレクタサブルーチン420からの認定されたプロセスチャンバおよびセットのプロセスパラメータを受容するための、および、種々のプロセスチャンバの動作を制御するためのプログラムコードを含む。多数のユーザがプロセスセット番号およびプロセスチャンバ番号を入力でき、または、一人のユーザが多数のプロセスチャンバ番号を入力でき、それで、シーケンササブルーチン430は、選択されたプロセスを所望の順序で計画するよう動作する。好ましくは、シーケンササブルーチン430は、(i)チャンバが使用されているかを決定するようプロセスチャンバの動作を監視するステップ、(ii)使用されているチャンバでどんなプロセスが執行されているかを決定するステップ、および(iii)プロセスチャンバの利用可能性および執行されるプロセスの種類に基づき所望のプロセスを実行するステップを遂行するためのコンピュータの読出可能なプログラムコードを含む。ポーリングのような、従来のプロセスチャンバを監視する方法が使用され得る。どのプロセスが実行されるべきかを計画する場合、シーケンササブルーチン430は、選択されたプロセスに対する所望のプロセス条件と比較して使用されているプロセスチャンバの現在の条件、または要求を入力した各特定のユーザの「年令」、またはシステムプログラマが計画優先度を決定するために含めることを希望するいずれか他の関連した要因を考慮に入れるよう設計され得る。
【0055】
どのプロセスチャンバおよびプロセスセットの組合せが次に実行されることになるかをシーケンササブルーチン430が決定した後に、シーケンササブルーチン430は、シーケンササブルーチン430によって決定されたプロセスセットに従いプロセスチャンバ10での多数のプロセスタスクを制御するチャンバマネージャサブルーチン440へ特定のプロセスセットパラメータを回送することによってプロセスセットを実行させる。例えば、チャンバマネージャサブルーチン440は、プロセスチャンバ10でのCVDプロセス操作を制御するためのプログラムコードを含む。チャンバマネージャサブルーチン440は、選択されたプロセスセットを執行することに必要なチャンバ構成要素の動作を制御する種々のチャンバ構成要素サブルーチンの実行も制御する。チャンバ構成要素サブルーチンの実施例は、サセプタ制御サブルーチン450、プロセスガス制御サブルーチン460、圧力制御サブルーチン470、加熱器制御サブルーチン480、およびプラズマ制御サブルーチン490である。この技術で通常の習熟を有する者は、他のチャンバ制御サブルーチンが反応装置10で遂行される所望のプロセスに依存して含まれ得ることを容易に認識するであろう。
【0056】
動作中、チャンバマネージャサブルーチン440は、実行される特定のプロセスセットに従いプロセス構成要素サブルーチンを選択的に計画するつまり呼出す。チャンバマネージャサブルーチン440は、シーケンササブルーチン430が次に実行されるプロセスチャンバ10およびプロセスセットを計画するやり方と同様にプロセス構成要素サブルーチンを計画する。普通には、チャンバマネージャサブルーチン440は、種々のチャンバ構成要素を監視するステップ、実行されるプロセスセットに対するプロセスパラメータに基づき動作される必要のある構成要素を決定するステップ、および、監視するおよび決定するステップに応答してチャンバ構成要素サブルーチンを実行させるステップを含む。
【0057】
図4を参照して以下に特定のチャンバ構成要素サブルーチンの動作を説明する。サセプタ制御位置決めサブルーチン450は、サセプタ12上へ基板をローディングすること、およびオプションで基板とガス分配マニホールド11との間の間隔を制御するよう基板を反応装置10内の所望の高さへ持上げることに使用されるチャンバ構成要素を制御するためのプログラムコードを含む。基板が反応装置10内へローディングされる際に、サセプタ12は降下されて基板を受取り、その後、サセプタ12はチャンバ内で所望の高さへ上昇され、CVDプロセス中にガス分配マニホールド11から第1の距離つまり間隔に基板を維持する。動作中、サセプタ制御サブルーチン450は、チャンバマネージャサブルーチン440から転送されるプロセスセットパラメータに応答してサセプタ12の移動を制御する。
【0058】
プロセスガス制御サブルーチン460は、プロセスガスの組成および流量レートを制御するためのプログラムコードを有する。プロセスガス制御サブルーチン460は、安全遮断弁の開/閉位置、および、所望のガス流量レートを達成するよう質量流量コントローラの立上げ/立下げも制御する。プロセスガス制御サブルーチン460は、全てのチャンバ構成要素サブルーチンであるように、チャンバマネージャサブルーチン440によって発動され、所望のガス流量レートに関するプロセスパラメータをチャンバマネージャサブルーチンから受取る。普通には、プロセスガス制御サブルーチン460は、ガス供給管を開くこと、および、繰返して(i)必要な質量流量コントローラを読むこと、(ii)読みをチャンバマネージャサブルーチン440から受取った所望の流量レートと比較すること、および(iii)必要に応じガス供給管の流量レートを調節すること、によって動作する。その上、プロセスガス制御サブルーチン460は、ガス流量レートを危険なレートに対して監視するステップ、および危険な条件が検知される場合安全遮断弁を作動するステップを含む。
【0059】
幾つかのプロセスでは、ヘリウムまたはアルゴン等の不活性ガスが、反応装置10内へ流入されて反応性プロセスガスがチャンバ内へ導入される前にチャンバ内の圧力を安定化する。このプロセスに対して、プロセスガス制御サブルーチン460は、チャンバ内の圧力を安定化することに必要な時間の間チャンバ10内への不活性ガスを流入するステップを含むようプログラムされ、それから、上記で説明したステップが実行されるであろう。加えて、プロセスガスが液体の前駆体、例えば、1,3,5−トリシラノシクロヘキサンから気化される場合、プロセスガス制御サブルーチン460は、ヘリウム等の配送ガスをバブラ組立体内の液体前駆体を通し泡立てるステップを含むよう書かれるであろう。この種類のプロセスに対して、プロセスガス制御サブルーチン460は、所望のプロセスガス流量レートを達成するために、配送ガスの流量、バブラ内の圧力、およびバブラ温度を調整する。上記で検討したように、所望のプロセスガス流量レートは、プロセスパラメータとしてプロセスガス制御サブルーチン460へ転送される。その上、プロセスガス制御サブルーチン460は、所定のプロセスガス流量レートに対する必要な値を含有する格納された表にアクセスすることによって、所望のプロセスガス流量レートに対する必要な配送ガス流量レート、バブラ圧力、およびバブラ温度を取得するためのステップを含む。必要な値が取得された後に、配送ガス流量レート、バブラ圧力、およびバブラ温度は、監視され、必要な値と比較され、それに応じて調節される。
【0060】
圧力制御サブルーチン470は、反応装置10内の圧力を排気ポンプ32でのスロットル弁の開度のサイズを調整することによって制御するためのプログラムコードを含む。スロットル弁の開度のサイズは、合計プロセスガス流量、プロセスチャンバのサイズ、および排気ポンプ32に対するポンプ設定点圧力に関する所望のレベルへチャンバ圧力を制御するよう設定される。圧力制御サブルーチン470が発動される場合、所望の、つまり目標の圧力レベルが、パラメータとしてチャンバマネージャサブルーチン440から受取られる。圧力制御サブルーチン470は、反応装置10内の圧力をチャンバへ接続されたひとつ以上の従来の圧力計を読むことによって測定し、測定値を目標圧力と比較し、目標圧力に対応するPID(比例、積分、および微分)値を格納された圧力表から取得し、圧力表から取得されたPID値に従いスロットル弁を調節するよう動作する。代替として、圧力制御サブルーチン470は、反応装置10を所望の圧力へ調整するためにスロットル弁を特定の開度サイズへ開くまたは閉めるように書かれ得る。
【0061】
加熱器制御サブルーチン480は、サセプタ12を加熱することに使用される加熱モジュールまたは放射加熱の温度を制御するためのプログラムコードを含む。加熱器制御サブルーチン480も、チャンバマネージャサブルーチン440によって発動され、目標、つまり設定点温度パラメータを受取る。加熱器制御サブルーチン480は、サセプタ12内に配置された熱電対の電圧出力を測定することによって温度を測定し、測定温度を設定点温度と比較し、設定点温度を達成するよう加熱モジュールへ印加される電流を増大または減少させる。温度は、測定された電圧から、格納された変換表における対応する温度を検索することによって、および、4次の多項式を使用して温度を計算することによって取得される。加熱器制御サブルーチン480は、加熱モジュールへ印加される電流の立上げ/立下げを緩やかに制御する。緩やかな立上げ/立下げは、加熱モジュールの寿命および信頼性を増加させる。加えて、組込みのフェールセーフモードがプロセスの安全性準拠を検知するよう含まれることができ、反応装置10が適正に設定されない場合、加熱モジュールの動作を停止できる。
【0062】
プラズマ制御サブルーチン490は、反応装置10内のプロセス電極へ印加されるRFバイアス電圧の電力レベルを設定するための、および、オプションで反応装置内に生成される磁界のレベルを設定するためのプログラムコードを含む。先に説明したチャンバ構成要素サブルーチンと同様に、プラズマ制御サブルーチン490は、チャンバマネージャサブルーチン440によって発動される。
【0063】
上記のCVDシステム説明は、主として解説の目的のためであり、他のプラズマCVD装置、例えば、電子サイクロトロン共鳴(ECR)プラズマCVD装置、誘導結合RF高密度プラズマCVD装置、等々が、採用され得る。加えて、上記で説明したシステムの変形、例えば、サセプタ設計、加熱器設計、RF電力接続の配置、およびその他、の変形が可能である。例えば、ウェーハは、抵抗で加熱されるサセプタによって支持され加熱され得よう。本発明の前処理層を形成するための前処理および方法は、いずれか特定の装置、またはいずれか特定のプラズマ励起方法に限定されない。
【0064】
3層隙間充填プロセスにおけるナノ多孔性酸化ケイ素層の堆積
本発明のナノ多孔性酸化ケイ素層は、図2のPECVDチャンバを使用して図5に示すような3層隙間充填プロセスに使用され得る。図5を参照すると、ウェーハが反応装置10内に位置決めされ(200)、酸化ケイ素基体の層が、PECVDプロセスによってジメチルシラン等の反応性ケイ素含有化合物を含むプラズマから堆積される(205)。堆積ステップ205は、この技術で既知である方法に従いプロセスチャンバ15での静電容量結合プラズマまたは誘導および静電容量両方の結合のプラズマを含み得る。ヘリウム等の不活性ガスが、通例、プラズマ生成を援助するようPECVD堆積に使用される。本発明のナノ多孔性隙間充填層が、次に、ライナー層上に、更に不安定な有機原子団を含有するケイ素/酸素含有材料を堆積することによって、および、隙間充填層に均一に分散される顕微鏡的なガスポケットを形成するよう堆積されたケイ素/酸素含有材料の制御されたアニールによって堆積される(210)。隙間充填層は、好ましくは、表面上へ過酸化水素を凝縮すること、および不安定な有機原子団を含むケイ素含有化合物または混合物と過酸化水素を反応させることによって自己平坦化する。キャップ層が、次に、隙間充填層上に、好ましくは、ライナー層を堆積したのと同じプロセスを使用して堆積される(215)。ウェーハは、次に、反応装置10から除去される(220)。
【0065】
図6A−6Eを参照すると、3層隙間充填プロセスは、酸化された反応性ケイ素含有化合物のPECVDライナー層300を提供する。ライナー層300は、その後のナノ多孔性隙間充填層302と下にある基板表面304および基板表面上に形成された金属配線306、308、310との間の隔離層として働く。ナノ多孔性隙間充填層302は、酸化された反応性ケイ素含有化合物のPECVDキャップ層312によってキャップされる。このプロセスは、CVD反応装置10のためのコンピュータコントローラ34のメモリ38に格納されたコンピュータプログラムを使用して実施され制御される。
【0066】
図6Aを参照すると、PECVDライナー層300は、反応装置10内でジメチルシラン((CH32SiH2)等の反応性ケイ素含有化合物、N2O等の酸化ガス、およびヘリウム等のキャリアガスを導入することによって堆積される。基板は、PECVDライナー層の堆積の間中、約−20から約400℃の温度、好ましくは、ほぼ15から20℃の温度に維持される。PECVDライナー層300は、約5sccmから約500sccmの流量レートでの反応性ケイ素含有化合物および約5sccmから約2000sccmの流量レートでの酸化ガスの混合を含むプロセスガスで堆積される。プロセスガスは、普通には膜内へ組込まれない、約0.2から約20 lpmの流量レートでの、He、Ar、Ne等の不活性ガス、または窒素等の比較的不活性なガスによって搬送される。プロセスガスは、約0.2から約20Torr、好ましくは10Torr未満の圧力で反応し、基板表面304および金属配線306、308、310上にコンフォーマル酸化ケイ素層を形成する。反応は、0.05W/cm2から1000W/cm2の範囲に及ぶ電力密度、好ましくは約1W/cm2未満の電力密度、最も好ましくは、約0.1から約0.3W/cm2の範囲に及ぶ電力密度でプラズマ強化される。
【0067】
8”の単一ウェーハチャンバに対して、ほぼ13.56MHzの高周波数RFソースが、好ましくはガス分配システムへ接続され、約10から約200Wで駆動される一方で、350kHzからMHzの低周波数RFソースがオプションでサセプタへ接続され約0から約100Wで駆動される。好ましい実施の形態では、高周波数RFソースは約20−200Wのパルス化RF電力で駆動され、低周波数RFソースは約0−50Wのパルス化RF電力で駆動される。高周波数RF電力がパルス化されない場合、電力レベルは、好ましくは約10Wから約150Wの範囲に及ぶ。
【0068】
酸化されたライナー層は、次に、堆積圧力より低い圧力および約200から約450℃までの温度でアニールされる。オプションで、アニールは、追加の誘電体層の堆積の後に行われ得よう。
【0069】
上記のプロセス条件は、図6Bに示す隙間充填層302のその後の堆積のための、PECVDライナー層300(約2000Å毎分で)の堆積の結果となる。ジメチルシランから取得されたライナー層は、疎水性であることに十分なC−H結合を有し、卓越した水分バリアである。
【0070】
ナノ多孔性隙間充填層302のためのプロセスガスは、不安定な有機原子団を有するケイ素含有化合物、不安定な有機原子団を有するケイ素非含有成分、および反応性ケイ素含有成分のひとつ以上、および、気化されヘリウム等の不活性キャリアガスと混合された過酸化水素(H22)を含む。
【0071】
プロセスガス流量は、ケイ素含有化合物に対して20−1000sccm、50%H22に対して0.1から3g/分、およびHeに対して0−2000sccmの範囲に及ぶ。好ましいガス流量は、不安定な有機原子団を有するケイ素含有化合物に対して50−500sccm、50%H22に対して0.3から2g/分、およびHeに対して100−500sccmの範囲に及ぶ。これらの流量は、ほぼ5.5から6.5リットルの容積を有するチャンバに対して与えられる。好ましくは、反応装置10は、隙間充填層302の堆積中約0.2から約5torrの圧力に維持される。隙間充填層302は、図6Cに示すように部分的にキュアされることにより、図6Dに示すようなキャップ層312の堆積の前に水などの揮発性構成物質を除去する可能性がある。キュアは、反応装置10内で不活性ガス雰囲気下で10Torr以下にポンプする一方でウェーハを漸進的に更に高い温度へ加熱することによってなされる。
【0072】
隙間充填層は、好ましくは、次第に増大する温度でアニールされることにより、ガス状生成物を分散された顕微鏡的な気泡として保有し、および/または、オプションの不安定な有機原子団をキュアされた酸化ケイ素膜内に閉じた気泡構造でのボイドとして保有される分散された顕微鏡的なガス気泡へ変換する。好ましいアニールプロセスは、約10分の加熱時間期間を含み、約400℃以上の最終温度まで約50℃/分で温度を次第に上昇することを含む。ガス気泡の分散は、温度/時間のプロファイルを変更することによって、および、堆積された膜内の不安定な有機原子団の濃度を制御することによって制御され得る。
【0073】
図6Dを参照すると、隙間充填層302の堆積の後に、反応装置10は、オプションでキャップ層312の堆積のために反応性ケイ素含有成分の堆積を再開する。図6Eを参照すると、キャップ層の堆積の後に、堆積層は、更に、加熱炉または別のチャンバ内で約200から450℃までの温度でアニールされ水などの残留する揮発性生成物を追い出す。勿論、プロセス条件は、堆積された膜の所望の特性に従い変化するであろう。
【0074】
デュアルダマシン構造の堆積
ナノ多孔性金属間誘電体層を含むデュアルダマシン構造を図7に示す。好ましくは、本発明のナノ多孔性酸化ケイ素層から成る第1誘電体層510が、基板512上に堆積され、次に、従来の酸化ケイ素、窒化ケイ素、または水素化炭化ケイ素のエッチストップ514が、第1誘電体層上に堆積される。エッチストップは、次にパターン化されてコンタクト/バイア516の開口部を画成する。第2ナノ多孔性誘電体層518が、次にパターン化エッチストップの上に堆積され、次にパターン化されて相互接続配線520を画成する。次に、単一のエッチングプロセスが遂行されることにより、エッチストップに達するまで相互接続配線を画成し、パターン化エッチストップにより露出された保護されていない誘電体をエッチングしてコンタクト/バイアを画成する。
【0075】
本発明に従い製造される好ましいデュアルダマシン構造は、図8Hに示すようにライナー層を含み、その構造を作成する方法は、図8A−8Hに順次概略的に示され、それは基板上に形成される本発明のステップを有する基板の断面図である。
【0076】
図8Aに示すように、最初の第1ナノ多孔性誘電体層510が、基板512上に、製造される構造のサイズに依存して、約5,000から約10,000Åの厚さへ堆積され、次にアニールされる。図8Bに示すように、低kエッチストップ514が、それは3層隙間充填に対して上記で説明したように酸化されたジメチルシラン層であるが、次に、第1ナノ多孔性誘電体層上に低レベルのRF電力を使用して約200から約1000Åの厚さへ堆積される。低kエッチストップ514は、次に、図8Cに示すように、パターン化エッチングされることにより、コンタクト/バイア開口部516を画成し、コンタクト/バイアが形成される領域で第1ナノ多孔性誘電体層510を露出する。好ましくは、低kエッチストップ514は、従来のフォトリソグラフィと、フッ素、炭素、および酸素イオンを使用するエッチングプロセスとを使用してパターン化エッチングされる。低kエッチストップ514がエッチングされてコンタクト/バイアをパターン化し、ホトレジストが除去された後に、図8Dに示すように、第2ナノ多孔性誘電体層518が、エッチストップ514の上に約5,000から約10,000Åの厚さへ堆積され、次にアニールされる。第2ナノ多孔性誘電体層518は、次に、図8Eに示すように、好ましくは、従来のフォトリソグラフィプロセスを使用してホトレジスト層522によりパターン化されて相互接続配線520を画成する。相互接続配線およびコンタクト/バイアは、次に、図8Fに示すように、反応性イオンエッチングまたは他の異方性エッチング技術を使用してエッチングされて金属化構造(すなわち、相互接続配線およびコンタクト/バイア)を画成する。エッチストップ514または第2誘電体層518をパターン化することに使用されたいずれのホトレジストまたは他の材料は、酸素剥離または他の適切なプロセスを使用して除去される。
【0077】
金属化構造が、次に、アルミニウム、銅、タングステン、またはその組合せ等の導電性材料で形成される。現在では、銅の低い固有抵抗(1.7μΩ−cm、アルミニウムの3.1μΩ−cmと比較して)の故に、より小さなフィーチャを形成することに銅を使用する傾向である。好ましくは、図8Gに示すように、窒化タンタル等の適切なバリア層524が、初めに金属化パターンに従形(conformal)して堆積されて周囲のケイ素および/または誘電体材料内への銅の移行を阻止する。その後に、銅526が、化学的気相堆積法、物理的気相堆積法、電気メッキ、またはその組合せを使用して堆積されて導電性構造を形成する。構造が、銅または他の金属で充填された後に、図8Hに示すように、表面はケミカルメカニカルポリッシングを使用して平坦化される。
【0078】
接着層の堆積
デュアルダマシン構造を図9に示し、それは、酸化されたジメチルシラン層をプリメタル誘電体層と金属間ナノ多孔性誘電体層との間の接着層として含む。酸化されたジメチルシラン層612は、従来のPSGまたはBPSG層等のプリメタル誘電体層610上に堆積され、次に、アニールされる。本明細書に説明するようなナノ多孔性金属間誘電体層614が、次に、接着層612の上に堆積される。従来の酸化ケイ素または窒化ケイ素のエッチストップ616が、堆積され、次に従来の方法でパターン化されてバイア620を画成する。第2ナノ多孔性金属間誘電体層622が、次にパターン化されたエッチストップの上に堆積され、次にパターン化されて相互接続配線を画成する。次に、単一のエッチングプロセスが遂行されることにより、金属化の前に、エッチストップに達するまで相互接続配線を画成し、パターン化エッチストップにより露出された保護されていない誘電体をエッチングしてコンタクト/バイアを画成する。
【0079】
本発明に従うナノ多孔性誘電体層を備える好ましいデュアルダマシン構造を図10Hに示し、その構造を作成する方法は、図10A−10Hに順次概略的に示され、それは基板上に形成される本発明のステップを有する基板の断面図である。
【0080】
図10Aに示すように、第1ナノ多孔性金属間誘電体層710が、基板712上に、製造される構造のサイズに依存して、約5,000から約10,000Åの厚さへ堆積される。図10Bに示すように、低k接着層714が、それは好ましくは酸化されたジメチルシラン層であるが、次に、第1ナノ多孔性金属間誘電体層710上に約50から約200Åの厚さへ堆積される。従来の酸化ケイ素または窒化ケイ素のエッチストップ716が、接着層714上に約50から約200Åの厚さへ堆積される。第2低k接着層718が、それは好ましくは酸化されたジメチルシラン層であるが、次に、エッチストップ716上に約50から約200Åの厚さへ堆積される。エッチストップ716および接着層714、718は、次に、図10Cに示すように、パターン化エッチングされることにより、コンタクト/バイア開口部720を画成し、コンタクト/バイアが形成される領域で第1ナノ多孔性金属間誘電体層710を露出する。好ましくは、エッチストップ716は、従来のフォトリソグラフィと、フッ素、炭素、および酸素イオンを使用するエッチングプロセスとを使用してパターン化エッチングされる。エッチストップ716および接着層714、718がエッチングされてコンタクト/バイアをパターン化し、ホトレジストが除去された後に、図10Dに示すように、第2ナノ多孔性金属間誘電体層722が、第2接着層718の上に約5,000から約10,000Åの厚さへ堆積される。第2ナノ多孔性金属間誘電体層722は、次に、図10Eに示すように、好ましくは、従来のフォトリソグラフィプロセスを使用してホトレジスト層726によりパターン化されて相互接続配線724を画成する。相互接続配線およびコンタクト/バイアは、次に、図10Fに示すように、反応性イオンエッチングまたは他の異方性エッチング技術を使用してエッチングされて金属化構造(すなわち、相互接続配線およびコンタクト/バイア)を画成する。エッチストップ716または第2ナノ多孔性金属間誘電体層722をパターン化することに使用されたいずれのホトレジストまたは他の材料は、酸素剥離または他の適切なプロセスを使用して除去される。
【0081】
金属化構造が、次に、アルミニウム、銅、タングステン、またはその組合せ等の導電性材料で形成される。現在では、銅の低い固有抵抗(1.7μΩ−cm、アルミニウムの3.1μΩ−cmと比較して)の故に、より小さなフィーチャを形成することに銅を使用する傾向である。好ましくは、図10Gに示すように、窒化タンタル等の適切なバリア層728が、初めに金属化パターンに従形して堆積されて周囲のケイ素および/または誘電体材料内への銅の移行を阻止する。その後に、銅が、化学的気相堆積法、物理的気相堆積法、電気メッキ、またはその組合せを使用して堆積されて導電性構造を形成する。構造が、銅または他の金属で充填された後に、図10Hに示すように、表面はケミカルメカニカルポリッシングを使用して平坦化される。
【0082】
本発明を、更に、堆積されたナノ多孔性酸化ケイ素基体の膜の以下の実施例によって説明する。
【0083】
実施例
以下の実施例は、分散された顕微鏡的なガスボイドを有するナノ多孔性酸化ケイ素基体の膜の堆積を実証する。この実施例は、化学的気相堆積チャンバ、詳細には、California 州 Santa Clara の Applied Materials, Inc., で製造され販売される CENTURA "DLK" システムを使用して実施する。
【0084】
水素化ケイ素原子団を有する反応性ケイ素化合物(仮説的)
ナノ多孔性酸化ケイ素基体の膜を、1.0Torrのチャンバ圧力および0?℃の温度で、気化し次のように反応装置に流入する反応性ガスから堆積した:
1,3,5−トリシラノシクロヘキサン 125sccmで
過酸化水素(50%) 1000sccmで
ヘリウム、He 200sccmで
基板をガス分配シャワーヘッドから600ミルに位置決めし、反応性ガスを2分間導入した。次に基板を、10分間にわたり、基板の温度を50℃/分で400℃の温度へ上昇して、加熱することにより、ナノ多孔性酸化ケイ素基体の膜をキュアしアニールした。
【0085】
熱的に不安定な有機原子団を有する反応性ケイ素化合物(仮説的)
ナノ多孔性酸化ケイ素基体の膜を、1.0Torrのチャンバ圧力および0℃の温度で、気化し次のように反応装置に流入する反応性ガスから堆積した:
ビス(ホルミルオキシシラノ)メタン 150sccmで
過酸化水素(50%) 1000sccmで
ヘリウム、He 200sccmで
基板をガス分配シャワーヘッドから600ミルに位置決めし、反応性ガスを2分間導入した。次に基板を、10分間にわたり、基板の温度を50℃/分で400℃の温度へ上昇して、加熱することにより、ナノ多孔性酸化ケイ素基体の膜をキュアしアニールした。
【0086】
熱的に不安定な有機原子団を有する反応性ケイ素化合物(仮説的)
ナノ多孔性酸化ケイ素基体の膜を、1.0Torrのチャンバ圧力および0℃の温度で、気化し次のように反応装置に流入する反応性ガスから堆積した:
ビス(グリオキシリルシラノ)メタン 150sccmで
過酸化水素(50%) 1000sccmで
ヘリウム、He 200sccmで
基板をガス分配シャワーヘッドから600ミルに位置決めし、反応性ガスを2分間導入した。次に基板を、10分間にわたり、基板の温度を50℃/分で400℃の温度へ上昇して、加熱することにより、ナノ多孔性酸化ケイ素基体の膜をキュアしアニールした。
【0087】
反応性ケイ素含有成分および添加の熱的に不安定な有機原子団(仮説的)
ナノ多孔性酸化ケイ素基体の膜を、1.0Torrのチャンバ圧力および0℃の温度で、気化し次のように反応装置に流入する反応性ガスから堆積した:
ビス(メチルシラノ)メタン 100sccmで
グリシドアルデヒト 50sccmで
過酸化水素(50%) 1000sccmで
ヘリウム、He 200sccmで
基板をガス分配シャワーヘッドから600ミルに位置決めし、反応性ガスを2分間導入した。次に基板を、10分間にわたり、基板の温度を50℃/分で400℃の温度へ上昇して、加熱することにより、ナノ多孔性酸化ケイ素基体の膜をキュアしアニールした。
【0088】
反応性ケイ素含有成分および添加の熱的に不安定な有機原子団(仮説的)
ナノ多孔性酸化ケイ素基体の膜を、1.0Torrのチャンバ圧力および0℃の温度で、気化し次のように反応装置に流入する反応性ガスから堆積した:
1,3,5−トリシラノシクロヘキサン 100sccmで
無水マレイン酸メチル 50sccmで
過酸化水素(50%) 1000sccmで
ヘリウム、He 200sccmで
基板をガス分配シャワーヘッドから600ミルに位置決めし、反応性ガスを2分間導入した。次に基板を、10分間にわたり、基板の温度を50℃/分で400℃の温度へ上昇して、加熱することにより、ナノ多孔性酸化ケイ素基体の膜をキュアしアニールした。
【0089】
前記は、本発明の好ましい実施の形態へ向けられるが、本発明の他のおよび更なる実施の形態が、その基本的範囲から逸脱することなく案出することができ、その範囲は、先に記載の特許請求の範囲によって決定される。
【図面の簡単な説明】
【図1A】 当該技術で既知のプロセスにより基板上に堆積された誘電体層の概略図である。
【図1B】 当該技術で既知のプロセスにより基板上に堆積された誘電体層の概略図である。
【図2】 本発明に従う使用のために構成された典型的なCVD反応装置の断面図である。
【図3】 図2のCVD反応装置におけるシステムモニタを示す図である。
【図4】 図2の適例のCVD反応装置と関連して使用されるプロセス制御コンピュータプログラム製品のフローチャートである。
【図5】 本発明の一実施形態による隙間充填プロセスでライナー層およびキャップ層を堆積するために実施されるステップを示すフローチャートである。
【図6A】 図5のプロセスによって基板上に堆積される層の概略図である。
【図6B】 図5のプロセスによって基板上に堆積される層の概略図である。
【図6C】 図5のプロセスによって基板上に堆積される層の概略図である。
【図6D】 図5のプロセスによって基板上に堆積される層の概略図である。
【図6E】 図5のプロセスによって基板上に堆積される層の概略図である。
【図7】 本発明の酸化ケイ素層を備えるデュアルダマシン構造を示す断面図である。
【図8A】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8B】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8C】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8D】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8E】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8F】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8G】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図8H】 本発明のデュアルダマシン堆積シーケンスにおける一実施形態を示す断面図である。
【図9】 プリメタル誘電体層と金属間誘電体層との間に本発明の酸化ケイ素層を備える接着層を示す断面図である。
【図10A】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10B】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10C】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10D】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10E】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10F】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10G】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【図10H】 本発明の酸化ケイ素が金属間誘電体膜を従来のエッチストップへ接着することに使用されるデュアルダマシン堆積シーケンスを示す断面図である。
【符号の説明】
2…二酸化ケイ素(SiO2)ライナー層、3…金属配線、4、512、712…基板、5…自己平坦化層、5…自己平坦化低k誘電体層、6…SiO2キャップ層、10…CVD反応装置(プロセスチャンバ)、11…ガス分配マニホールド、12…サセプタ、13…支持ステム、14…処理位置、15…高真空区域、17…絶縁体、18…プロセスガス供給管、19…ガス混合システム、24…プロセスガス排気孔、25…RF電源、32…真空ポンプ、34…コントローラ、36…制御配線、38…メモリ、40…第1モニタ、42…第2モニタ、44…光ペン、300…ライナー層、302…隙間充填層、304…基板表面、306、308、310…金属配線、312…キャップ層、312…反応性ケイ素含有化合物のPECVDキャップ層、410…コンピュータプログラム、420…プロセスセレクタサブルーチン、430…プロセスシーケンササブルーチン、440…チャンバマネージャサブルーチン、450…サセプタ制御サブルーチン、460…プロセスガス制御サブルーチン、470…圧力制御サブルーチン、480…加熱器制御サブルーチン、490…プラズマ制御サブルーチン、510…第1誘電体層、514…エッチストップ、516、720…コンタクト/バイア開口部、518…第2誘電体層、520、724…相互接続配線、522、726…ホトレジスト層、524、728…バリア層、526…銅、610…プリメタル誘電体層、612、714、718…接着層、614、622、710、722…金属間誘電体層、616…エッチストップ、620…バイア、714…接着層、716…エッチストップ、716…エッチストップ、718…第2接着層、718…第2低k接着層。

Claims (20)

  1. 低誘電率の膜を堆積する方法であって、
    基板の表面上に過酸化物化合物を堆積するステップと、
    前記堆積された過酸化物化合物を不安定な有機原子団及びケイ素含有化合物または混合物と反応させるステップと、
    酸化ケイ素基体の膜を形成するように前記基板をアニールするステップと
    を含み、それによって分散されたボイドが前記酸化ケイ素基体の膜内に形成される、方法。
  2. 前記不安定な有機原子団含有化合物または混合物は、ホルミルオキシ(CH(O)−O−)、グリオキシリル(CH(O)−CO−O−)、または、ホルミルカルボニルジオキシ
    (CH(O)−O−CO−O−)基を含む、請求項1に記載の方法。
  3. 前記不安定な有機原子団含有化合物または混合物は、ビス(ホルミルオキシシラノ)メタン、ビス(グリオキシリルシラノ)メタン、ビス(ホルミルカルボニルジオキシシラノ)メタン、2,2−ビス(ホルミルオキシシラノ)プロパン、1,2−ビス(ホルミルオキシシラノ)エタン、1,2−ビス(グリオキシリルシラノ)エタン、そのフッ素化炭素架橋誘導体、および、その組合せの群から選択される化合物を含む、請求項2に記載の方法。
  4. 前記不安定な有機原子団含有化合物または混合物は、更に、無水マレイン酸メチル、3−ホルミルオキシ−2,5−フランジオン、グリシドアルデヒド、オキシラニルグリオキサレート、炭酸ジオキシラニル、ジオキシラニルメソクサレート、および、無水グリシド酸から成る群から選択される非ケイ素成分を含む、請求項3に記載の方法。
  5. 前記分散されたボイドは、少なくとも400℃の最終温度までの漸次の上昇を含む温度プロファイルで前記基板をアニールすることによって形成される、請求項1に記載の方法。
  6. 前記不安定な有機原子団含有化合物または混合物は、非平面の環状構造を有する、請求項1に記載の方法。
  7. 低誘電率の膜を基板上のパターン化された金属層上に堆積する方法であって、
    コンフォーマルなライナー層を前記パターン化金属層上にひとつ以上の反応性ケイ素含有化合物を含むプロセスガスから堆積するステップと、
    過酸化物化合物を前記コンフォーマルライナー層上に堆積するステップと、
    前記堆積された過酸化物化合物を酸素を含むひとつ以上の不安定な有機原子団及びケイ素含有化合物または混合物と反応させるステップと、
    酸化ケイ素基体の膜を形成するよう前記基板をアニールするステップと
    を含み、それによって分散されたボイドが前記酸化ケイ素基体の膜内に形成される、方法。
  8. 前記酸素を含むひとつ以上の不安定な有機原子団含有化合物または混合物は、ビス(ホルミルオキシシラノ)メタン、ビス(グリオキシリルシラノ)メタン、ビス(ホルミルカルボニルジオキシシラノ)メタン、2,2−ビス(ホルミルオキシシラノ)プロパン、1,2−ビス(ホルミルオキシシラノ)エタン、1,2−ビス(グリオキシリルシラノ)エタン、そのフッ素化炭素架橋誘導体、および、その組合せの群から選択される化合物を含む、請求項7に記載の方法。
  9. 前記酸素を含むひとつ以上の不安定な有機原子団含有化合物または混合物は、更に、無水マレイン酸メチル、3−ホルミルオキシ−2,5−フランジオン、グリシドアルデヒド、オキシラニルグリオキサレート、炭酸ジオキシラニル、ジオキシラニルメソクサレート、および、無水グリシド酸の群から選択される非ケイ素成分を含む、請求項7に記載の方法。
  10. キャップ層を前記酸化ケイ素基体の膜上に前記ひとつ以上の反応性ケイ素含有化合物を含むプロセスガスから堆積するステップを更に含む、請求項7に記載の方法。
  11. 前記分散されたボイドは、少なくとも400℃の最終温度まで次第に上昇する温度プロファイルを使用して前記基板をアニールすることによって形成される、請求項7に記載の方法。
  12. 前記酸素を含むひとつ以上の不安定な有機原子団含有化合物または混合物は、非平面の環状構造を有する、請求項7に記載の方法。
  13. デュアルダマシン構造を形成する方法であって、
    基板上に過酸化物化合物を堆積するステップと、
    前記堆積された過酸化物化合物を不安定な有機原子団及びケイ素含有化合物または混合物と反応させるステップと、
    第1酸化ケイ素基体の膜を形成するように前記基板をアニールし、該アニールによって分散されたボイドを前記第1酸化ケイ素基体の膜内に形成するステップと、
    前記第1酸化ケイ素基体の膜上に低kエッチストップを堆積するステップと、
    前記第1酸化ケイ素基体の膜を露出させる垂直な相互接続開口部を画成するよう前記低kエッチストップをエッチングするステップと、
    前記低kエッチストップおよび前記露出された第1酸化ケイ素基体の膜の上に前記過酸化物化合物を堆積するステップと、
    前記堆積された過酸化物化合物を前記不安定な有機原子団含有化合物または混合物と反応させるステップと、
    第2酸化ケイ素基体の膜を形成するように前記基板をアニールし、該アニールによって分散されたボイドを前記第2酸化ケイ素基体の膜内に形成するステップと、
    前記低kエッチストップ内の前記垂直な相互接続開口部を露出させる水平の相互接続を画成するよう前記第2酸化ケイ素基体の膜をエッチングするステップと、
    垂直な相互接続を画成するよう前記垂直な相互接続開口部を通し前記第1酸化ケイ素基体の膜をエッチングするステップと
    を含む、方法。
  14. 前記第1および前記第2酸化ケイ素基体の膜は、少なくとも400℃の最終温度まで次第に上昇する温度プロファイルを使用して前記基板をアニールすることによって形成される分散された顕微鏡的なボイドを備える、請求項13に記載の方法。
  15. 前記不安定な有機原子団含有化合物または混合物は、ひとつ以上のケイ素−水素ボンドを備える、請求項1に記載の方法。
  16. 前記基板の表面上に前記過酸化物化合物を堆積するステップ前に、前記基板上にコンフォーマルなライナー層を堆積するステップを更に備える、請求項1に記載の方法。
  17. 前記酸素を含むひとつ以上の不安定な有機原子団含有化合物または混合物は、ひとつ以上のケイ素−水素ボンドを更に備える、請求項7に記載の方法。
  18. 低誘電率の膜を堆積する方法であって、
    基板の表面上に過酸化物化合物を堆積するステップと、
    前記堆積された過酸化物化合物を水素化ケイ素含有化合物または混合物と反応させるステップと、
    酸化ケイ素基体の膜を形成するように前記基板をアニールするステップと
    を含み、それによって分散されたボイドが前記酸化ケイ素基体の膜内に形成され、
    前記水素化ケイ素含有化合物または混合物が、ホルミルオキシ(CH(O)−O−)、グリオキシリル(CH(O)−CO−O−)、または、ホルミルカルボニルジオキシ(CH(O)−O−CO−O−)基を含む、方法。
  19. 低誘電率の膜を堆積する方法であって、
    基板の表面上に過酸化物化合物を堆積するステップと、
    前記堆積された過酸化物化合物を水素化ケイ素含有化合物または混合物と反応させるステップと、
    酸化ケイ素基体の膜を形成するように前記基板をアニールするステップと
    を含み、それによって分散されたボイドが前記酸化ケイ素基体の膜内に形成され、
    前記水素化ケイ素含有化合物または混合物が、
    シラン、メチルシラン、ジメチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,2−ジシラノエタン、1,2−ビス(メチルシラノ)エタン、2,2−ジシラノプロパン、1,3,5−トリシラノシクロヘキサン、シクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレン、1,3−ジメチル−ジシロキサン、1,3−ビス(シラノメチレン)ジシロキサン、ビス(1−メチルジシロキサニル)メタン、および、2,2−ビス(1−メチルジシロキサニル)プロパン、および、そのフッ素化炭素誘導体から成る群から選択されるケイ素化合物と、
    無水マレイン酸メチル、3−ホルミルオキシ−2,5−フランジオン、グリシドアルデヒド、オキシラニルグリオキサレート、炭酸ジオキシラニル、ジオキシラニルメソクサレート、および、無水グリシド酸から成る群から選択される非ケイ素成分と、
    を含む、方法。
  20. 低誘電率の膜を堆積する方法であって、
    基板の表面上に過酸化物化合物を堆積するステップと、
    前記堆積された過酸化物化合物を水素化ケイ素含有化合物または混合物と反応させるステップと、
    酸化ケイ素基体の膜を形成するように前記基板をアニールするステップと
    を含み、それによって分散されたボイドが前記酸化ケイ素基体の膜内に形成され、
    前記水素化ケイ素含有化合物または混合物は、1,3,5−トリシラノシクロヘキサン、シクロ−1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレン、ビス(ホルミルオキシシラノ)メタン、またはビス(グリオキシリルシラノ)メタン、または、そのフッ素化炭素架橋誘導体を含む、方法。
JP2000577707A 1998-10-22 1999-10-21 Cvdナノ多孔性シリカの低誘電率膜 Expired - Fee Related JP4558206B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/177,044 1998-10-22
US09/177,044 US6171945B1 (en) 1998-10-22 1998-10-22 CVD nanoporous silica low dielectric constant films
PCT/US1999/024918 WO2000024050A1 (en) 1998-10-22 1999-10-21 Cvd nanoporous silica low dielectric constant films

Publications (2)

Publication Number Publication Date
JP2002528893A JP2002528893A (ja) 2002-09-03
JP4558206B2 true JP4558206B2 (ja) 2010-10-06

Family

ID=22646954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000577707A Expired - Fee Related JP4558206B2 (ja) 1998-10-22 1999-10-21 Cvdナノ多孔性シリカの低誘電率膜

Country Status (6)

Country Link
US (1) US6171945B1 (ja)
EP (1) EP1131846A1 (ja)
JP (1) JP4558206B2 (ja)
KR (1) KR100696035B1 (ja)
TW (1) TW525249B (ja)
WO (1) WO2000024050A1 (ja)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7123216B1 (en) * 1994-05-05 2006-10-17 Idc, Llc Photonic MEMS and structures
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films
WO1999035684A1 (fr) * 1998-01-10 1999-07-15 Tokyo Electron Limited Dispositif a semi-conducteurs presentant une couche isolante constituee d'un film de carbone fluore et procede de production dudit dispositif
JP2921759B1 (ja) * 1998-03-31 1999-07-19 株式会社半導体理工学研究センター 半導体装置の製造方法
WO1999052006A2 (en) * 1998-04-08 1999-10-14 Etalon, Inc. Interferometric modulation of radiation
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP2000164716A (ja) * 1998-11-26 2000-06-16 Seiko Epson Corp 半導体装置及びその製造方法
DE19854803A1 (de) * 1998-11-27 2000-05-31 Bosch Gmbh Robert Verfahren zur Herstellung einer lokal verstärkten metallischen Mikrostruktur
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
KR100283485B1 (ko) * 1998-12-29 2001-11-02 한신혁 반도체 소자의 평탄화 방법
JP2000269204A (ja) * 1999-01-13 2000-09-29 Hitachi Chem Co Ltd 半導体装置
US6319737B1 (en) * 1999-08-10 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for characterizing a semiconductor device
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
WO2003007049A1 (en) 1999-10-05 2003-01-23 Iridigm Display Corporation Photonic mems and structures
US6890640B2 (en) * 1999-12-03 2005-05-10 Caterpillar Inc Patterned hydrophilic-oleophilic metal oxide coating and method of forming
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6458218B1 (en) * 2001-01-16 2002-10-01 Linamar Corporation Deposition and thermal diffusion of borides and carbides of refractory metals
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
DE10146146B4 (de) 2001-09-19 2004-02-05 Infineon Technologies Ag Verfahren zur elektrischen Isolation nebeneinander liegender metallischer Leiterbahnen und Halbleiterbauelement mit voneinander isolierten metallischen Leiterbahnen
US6933586B2 (en) 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
EP1529310A4 (en) * 2001-12-13 2009-06-10 Ibm POROUS INTERCONNECT STRUCTURES WITH LOW DIELECTRIC CONSTANT
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6574033B1 (en) 2002-02-27 2003-06-03 Iridigm Display Corporation Microelectromechanical systems device and method for fabricating same
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US7361313B2 (en) * 2003-02-18 2008-04-22 Intel Corporation Methods for uniform metal impregnation into a nanoporous material
US6989897B2 (en) * 2002-06-12 2006-01-24 Intel Corporation Metal coated nanocrystalline silicon as an active surface enhanced Raman spectroscopy (SERS) substrate
US6970239B2 (en) * 2002-06-12 2005-11-29 Intel Corporation Metal coated nanocrystalline silicon as an active surface enhanced Raman spectroscopy (SERS) substrate
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
US6806185B2 (en) 2002-09-19 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
US7781850B2 (en) * 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US6818966B2 (en) * 2002-09-20 2004-11-16 Texas Instruments Incorporated Method and structure for controlling surface properties of dielectric layers in a thin film component for improved trimming
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film
US7138158B2 (en) * 2003-02-28 2006-11-21 Intel Corporation Forming a dielectric layer using a hydrocarbon-containing precursor
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US6806542B1 (en) * 2003-06-30 2004-10-19 Motorola, Inc. Electronic device having a filled dielectric medium
KR100510915B1 (ko) * 2003-07-18 2005-08-26 매그나칩 반도체 유한회사 반도체 소자의 절연막 형성 방법
TW200506479A (en) * 2003-08-15 2005-02-16 Prime View Int Co Ltd Color changeable pixel for an interference display
US7196016B2 (en) * 2003-09-29 2007-03-27 Hitachi Global Storage Technologies Netherlands, B.V. Fabrication process for preparing recording head sliders made from silicon substrates with SiO2 overcoats
EP1683188A4 (en) * 2003-11-06 2008-08-06 Clear Shape Technologies Inc DELTA INFORMATION DESIGN CLOSURE IN THE MANUFACTURE OF INTEGRATED CIRCUITS
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7476327B2 (en) * 2004-05-04 2009-01-13 Idc, Llc Method of manufacture for microelectromechanical devices
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
TWI233916B (en) * 2004-07-09 2005-06-11 Prime View Int Co Ltd A structure of a micro electro mechanical system
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
KR101354520B1 (ko) * 2004-07-29 2014-01-21 퀄컴 엠이엠에스 테크놀로지스, 인크. 간섭 변조기의 미소기전 동작을 위한 시스템 및 방법
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7373026B2 (en) * 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7944599B2 (en) 2004-09-27 2011-05-17 Qualcomm Mems Technologies, Inc. Electromechanical device with optical function separated from mechanical and electrical function
US7893919B2 (en) * 2004-09-27 2011-02-22 Qualcomm Mems Technologies, Inc. Display region architectures
US7630119B2 (en) * 2004-09-27 2009-12-08 Qualcomm Mems Technologies, Inc. Apparatus and method for reducing slippage between structures in an interferometric modulator
US7372613B2 (en) * 2004-09-27 2008-05-13 Idc, Llc Method and device for multistate interferometric light modulation
US7321456B2 (en) * 2004-09-27 2008-01-22 Idc, Llc Method and device for corner interferometric modulation
US7420725B2 (en) 2004-09-27 2008-09-02 Idc, Llc Device having a conductive light absorbing mask and method for fabricating same
US7527995B2 (en) * 2004-09-27 2009-05-05 Qualcomm Mems Technologies, Inc. Method of making prestructure for MEMS systems
US7554714B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Device and method for manipulation of thermal response in a modulator
US7936497B2 (en) * 2004-09-27 2011-05-03 Qualcomm Mems Technologies, Inc. MEMS device having deformable membrane characterized by mechanical persistence
US7564612B2 (en) * 2004-09-27 2009-07-21 Idc, Llc Photonic MEMS and structures
US7327510B2 (en) * 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US7369296B2 (en) * 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US7719500B2 (en) * 2004-09-27 2010-05-18 Qualcomm Mems Technologies, Inc. Reflective display pixels arranged in non-rectangular arrays
US7289259B2 (en) * 2004-09-27 2007-10-30 Idc, Llc Conductive bus structure for interferometric modulator array
US7304784B2 (en) * 2004-09-27 2007-12-04 Idc, Llc Reflective display device having viewable display on both sides
US8008736B2 (en) * 2004-09-27 2011-08-30 Qualcomm Mems Technologies, Inc. Analog interferometric modulator device
US7302157B2 (en) * 2004-09-27 2007-11-27 Idc, Llc System and method for multi-level brightness in interferometric modulation
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20070299176A1 (en) * 2005-01-28 2007-12-27 Markley Thomas J Photodefinable low dielectric constant material and method for making and using same
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
EP2495212A3 (en) * 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
JP2009509786A (ja) 2005-09-30 2009-03-12 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems装置及びmems装置における相互接続
US7916980B2 (en) 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7652814B2 (en) 2006-01-27 2010-01-26 Qualcomm Mems Technologies, Inc. MEMS device with integrated optical element
US7550810B2 (en) * 2006-02-23 2009-06-23 Qualcomm Mems Technologies, Inc. MEMS device having a layer movable at asymmetric rates
US7643203B2 (en) * 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7369292B2 (en) * 2006-05-03 2008-05-06 Qualcomm Mems Technologies, Inc. Electrode and interconnect materials for MEMS devices
US7649671B2 (en) * 2006-06-01 2010-01-19 Qualcomm Mems Technologies, Inc. Analog interferometric modulator device with electrostatic actuation and release
US7471442B2 (en) * 2006-06-15 2008-12-30 Qualcomm Mems Technologies, Inc. Method and apparatus for low range bit depth enhancements for MEMS display architectures
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7835061B2 (en) * 2006-06-28 2010-11-16 Qualcomm Mems Technologies, Inc. Support structures for free-standing electromechanical devices
US7385744B2 (en) * 2006-06-28 2008-06-10 Qualcomm Mems Technologies, Inc. Support structure for free-standing MEMS device and methods for forming the same
US7527998B2 (en) * 2006-06-30 2009-05-05 Qualcomm Mems Technologies, Inc. Method of manufacturing MEMS devices providing air gap control
US20080012074A1 (en) * 2006-07-14 2008-01-17 Air Products And Chemicals, Inc. Low Temperature Sol-Gel Silicates As Dielectrics or Planarization Layers For Thin Film Transistors
US20080043315A1 (en) * 2006-08-15 2008-02-21 Cummings William J High profile contacts for microelectromechanical systems
JP4799332B2 (ja) * 2006-09-12 2011-10-26 株式会社東芝 エッチング液、エッチング方法および電子部品の製造方法
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7733552B2 (en) * 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080264672A1 (en) * 2007-04-26 2008-10-30 Air Products And Chemicals, Inc. Photoimprintable Low Dielectric Constant Material and Method for Making and Using Same
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
CN101070403B (zh) * 2007-06-07 2010-05-19 聊城华塑工业有限公司 一种吹塑薄膜界面分子膜及其生产工艺
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
KR100855277B1 (ko) * 2007-07-27 2008-09-01 주식회사 하이닉스반도체 저유전막 형성 방법
US7570415B2 (en) * 2007-08-07 2009-08-04 Qualcomm Mems Technologies, Inc. MEMS device and interconnects for same
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US7944604B2 (en) 2008-03-07 2011-05-17 Qualcomm Mems Technologies, Inc. Interferometric modulator in transmission mode
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
JP2013524287A (ja) 2010-04-09 2013-06-17 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド 電気機械デバイスの機械層及びその形成方法
US9134527B2 (en) 2011-04-04 2015-09-15 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US8963159B2 (en) 2011-04-04 2015-02-24 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
CN102350825B (zh) * 2011-05-30 2014-03-26 周涛 水热法制备含氟高聚物高频线路板材料的工艺方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9130019B2 (en) * 2014-01-08 2015-09-08 Globalfoundries Inc. Formation of carbon-rich contact liner material
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9922818B2 (en) 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US11011384B2 (en) * 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
SG11202001492TA (en) 2017-08-30 2020-03-30 Versum Materials Us Llc Alkoxysilacyclic or acyloxysilacyclic compounds and methods for depositing films using same
US20190134663A1 (en) 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
JP2022548021A (ja) 2019-09-13 2022-11-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー モノアルコキシシラン、及びそれから作られる高密度の有機シリカ膜

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
JPH0795548B2 (ja) * 1992-09-10 1995-10-11 アプライド マテリアルズ インコーポレイテッド 二酸化珪素膜の気相成長法
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5834845A (en) 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH09246375A (ja) * 1996-03-07 1997-09-19 Hitachi Ltd 配線形成方法および半導体装置の製造方法
US5849644A (en) * 1996-08-13 1998-12-15 Micron Technology, Inc. Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
JPH1092808A (ja) * 1996-09-11 1998-04-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JPH10116904A (ja) * 1996-10-11 1998-05-06 Sony Corp 半導体装置の製造方法
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5985770A (en) * 1997-08-21 1999-11-16 Micron Technology, Inc. Method of depositing silicon oxides
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6001747A (en) * 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits

Also Published As

Publication number Publication date
JP2002528893A (ja) 2002-09-03
TW525249B (en) 2003-03-21
US6171945B1 (en) 2001-01-09
KR100696035B1 (ko) 2007-03-15
WO2000024050A1 (en) 2000-04-27
KR20010080287A (ko) 2001-08-22
EP1131846A1 (en) 2001-09-12

Similar Documents

Publication Publication Date Title
JP4558206B2 (ja) Cvdナノ多孔性シリカの低誘電率膜
US6287990B1 (en) CVD plasma assisted low dielectric constant films
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
JP5090430B2 (ja) 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造
US6800571B2 (en) CVD plasma assisted low dielectric constant films
US6596655B1 (en) Plasma processes for depositing low dielectric constant films
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
EP1148539A2 (en) Method of depositing low K films using an oxidizing plasma
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061005

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091007

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20091007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100721

R150 Certificate of patent or registration of utility model

Ref document number: 4558206

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130730

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130730

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees