KR20010080287A - Cvd 나노포러스 실리카 저유전상수 막 - Google Patents

Cvd 나노포러스 실리카 저유전상수 막 Download PDF

Info

Publication number
KR20010080287A
KR20010080287A KR1020017005022A KR20017005022A KR20010080287A KR 20010080287 A KR20010080287 A KR 20010080287A KR 1020017005022 A KR1020017005022 A KR 1020017005022A KR 20017005022 A KR20017005022 A KR 20017005022A KR 20010080287 A KR20010080287 A KR 20010080287A
Authority
KR
South Korea
Prior art keywords
bis
silicon oxide
silicon
substrate
layer
Prior art date
Application number
KR1020017005022A
Other languages
English (en)
Other versions
KR100696035B1 (ko
Inventor
로버트 피. 만달
데이비드 청
와이-판 야우
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010080287A publication Critical patent/KR20010080287A/ko
Application granted granted Critical
Publication of KR100696035B1 publication Critical patent/KR100696035B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 선택적으로 열적으로 불안정한 유기 그룹들을 가지는 실리콘 수소화물 함유 화합물 또는 혼합물과 과산화 화합물의 반응에 의해 나노-포러스 낮은 유전상수막들을 기판상에 증착시키기 위한 방법 및 장치에 관한 것이다. 증착된 실리콘 산화물계 막은 거품 구조를 가지는 나노-포러스 실리콘 산화물계 막에 남는 분산된 미세 보이드(void)들을 형성하기 위해 어닐링(annealing)된다. 나노-포러스 실리콘 산화물계 막들은 금속 라인들 사이의 갭들을 라이너(liner) 또는 캡(cap)층들로 또는 이들 없이, 채우는데 유용하다. 나노-포러스 실리콘 산화물계 막들은 이중 다마신 구조들을 제작하기 위한 금속간(intermetal) 절연층으로서도 역시 사용될 수 있다. 바람직한 나노-포러스 실리콘 산화물계 막들은1,3,5-트리실라나시클로헥산, 비스(포르밀옥시실라노)메탄 또는 비스(글리옥시릴실라노)메탄 및 과산화 수소의 반응에 의해 생산되고 온도의 점진적인 증가를 포함하는 경화(cure)/어닐링이 뒤따른다.

Description

CVD 나노포러스 실리카 저유전상수 막{CVD NANOPOROUS SILICA LOW DIELECTRIC CONSTANT FILMS}
최신 반도체 디바이스들의 제조공정에서 한가지 주요 단계는 가스들의 화학 반응에 의해 기판상에 금속 및 절연막들을 형성하는 것이다. 그러한 증착 프로세스들은 화학 기상 증착 또는 CVD라고 언급된다. 종래의 열 CVD 프로세스는 반응성 가스들을, 바람직한 막을 생산하기 위해 열-유도 화학 반응들이 일어나는, 기판 표면으로 공급한다. 일부 열 CVD 프로세스가 작동하는 고온은 기판상에 이전에 형성된 층들을 가진 디바이스 구조들을 손상시킬 수 있다. 금속 및 절연막들을 비교적 낮은 온도들에서 증착시키는 바람직한 방법은, 참조로서 이 글에 포함되고 "실리콘 산화물을 증착시키기 위해 TEOS를 사용하는 플라즈마 강화 CVD 프로세스"로 명명된 미국 특허 제5,362,526호에서 설명된 것과 같은, 플라즈마 강화 CVD(PECVD) 기술들이다. 플라즈마 강화 CVD 기술들은 기판 표면 근처의 반응 영역으로 고주파(RF) 에너지를 인가함으로써 반응 가스들의 여기 및/또는 해리를 촉진시키고, 그에 의해높은 반응성 종들의 플라즈마를 만든다. 해리된 종들의 높은 반응성은 화학 반응이 일어나기 위해 필요한 에너지를 감소시키고, 그리하여 그러한 PECVD 프로세스를 위해 필요한 온도를 낮춘다.
반도체 디바이스 구조는 몇십년전 처음으로 소개되었을 때보다 그 크기에 있어 극도로 작아졌다. 그때 이후, 집적 회로들은 일반적으로, 2년당 절반 크기 규칙을 따라왔는데(무어의 법칙으로 종종 불림), 하나의 칩상에 설치될 디바이스들의 숫자가 매 2년마다 두배로 된다는 것을 의미한다. 요즘의 제조 공장들은 일상적으로 0.35㎛ 및 심지어 0.25㎛의 최소 선폭을 가지는 디바이스들을 생산하고, 앞으로의 공장들은 곧 훨씬 더 작은 구조들을 가지는 디바이스들을 만들 것이다.
집적 회로들상에서 디바이스들의 크기를 더 감소시키기 위해, 낮은 저항율을 가지는 전도성 물질들을 사용하고 인접하는 금속 라인들 사이의 용량성 결합을 감소시키기 위해 로우(low)k(유전 상수<4.0)를 가지는 절연체를 사용하는 것이 필요해지게 되었다. 국제 공보 번호 WO 94/01885에서 설명된 바와 같이, 전도성 물질상으로 습기와 같은 부산물들의 확산을 막기 위해, 전도성 물질들과 절연체들 사이에 라이너(liner)/장벽(barrier)층들이 사용되었다. 예를 들어, 로우k 절연체가 형성될 동안 생성될 수 있는 습기는 쉽게 전도성 금속의 표면으로 확산하고 전도성 금속 표면의 저항을 증가시킨다. 종래의 실리콘 산화물 또는 질화 실리콘 물질들로부터 형성된 장벽층/라이너층은 부산물들의 확산을 막을 수 있다. 그러나, 장벽층/라이너층은 전형적으로 4.0보다 상당히 더 큰 유전 상수들을 가지고, 높은 유전 상수들은 유전 상수를 상당히 감소시키지 못할 수 있는 결합된 절연체를 야기한다.
도 1a는 국제 공보 번호 WO 94/01885에서 설명된 바와 같이 장벽/라이너 층을 증착하기 위한 PECVD 프로세스를 예시한다. PECVD 프로세스는 다성분 절연층을 증착하는데, 이실리콘 산화물(SiO2) 라이너층(2)은 기판(4)에 형성된 금속 라인들(3)을 가지는 패턴된 금속층상에 처음으로 증착된다. 라이너층(2)은 300℃에서 실란(SiH4) 및 산화 질소(N2O)의 플라즈마 강화 반응에 의해 증착된다. 그리고나서, 자기 평탄화(self-planarizing) 로우k 절연층(5)은 200℃ 아래의 온도에서 실란 화합물 및 과산화 화합물의 열반응에 의해 라이너층(2)상에 증착된다. 자기 평탄화층(5)은 어닐링(annealing)에 의해 제거되는 습기를 간직한다. 라이너층(2)은 적어도 4.5의 유전 상수를 제공하는 방식으로 증착될 때, 효과적인 장벽 특성들을 가지는 산화된 실란 막이다. 막의 습기 장벽 특성들을 감소시키는 방식으로 프로세스 조건들을 바꿈으로써, 산화된 실란막의 유전 상수는 약 4.1까지 감소될 수 있다. SiN과 같은 종래의 라이너층들은 한층 더 높은 유전 상수들을 가지고, 로우k 유전층들과 하이(high)k 유전 라이너층들의 결합은 전체 스택(stack) 유전 상수 및 용량성 결합을 거의 또는 전혀 개선시키지 못 한다.
도 1b에서 나타나듯이, WO 94/01885는, 실란 및 N2O의 반응에 의해 로우k 절연층(5)상에 증착된 선택적 SiO2캡(cap)층(6)을 또한 설명한다. 캡(cap)층(6)도 역시, 약 4.5의 유전 상수를 제공하는 방식으로 증착될 때, 좋은 장벽 특성들을 가지는 산화된 실란막이다. 라이너층(2)과 캡층(6) 모두는 4.5보다 더 큰 유전 상수를 가지고 높은 유전 상수층들은 실질적으로 하이k 유전층(5)의 이점을 반감시킨다.
디바이스들이 더 작아질수록, 높은 유전 상수들을 가지는 라이너층들과 캡층들은 다성분 유전층의 전체 유전 상수에 더 기여한다. 더욱이, 알려진 로우k 유전 물질들은 일반적으로, 비아들 및/또는 인터커넥트들을 에칭하는 동안 에치 스탑(stop)층으로서 부적절하게 만드는 낮은 산화 내용물을 가진다. 질화 실리콘은 로우k 유전 물질들에서 인터커넥트 라인들을 만들기 위한 에치 스탑 물질로 선택되어져 왔다. 그러나, 질화 실리콘은 주위의 로우k 절연층들과 비교할 때, 비교적 높은 유전 상수(약 7의 유전 상수)를 가진다. 그렇지 않으면 로우k 유전 물질이 주요 유전체로 사용될 때에도, 질화 실리콘이 인터커넥트 라인들 사이의 용량성 결합을 상당히 증가시킬 수도 있다는 것이 역시 발견되었다. 이것은 디바이스의 전체 성능을 저하시키는 저항 커패시턴스(RC) 지연 및/또는 누화(crosstalk)를 야기할 수 있다. 그러므로, 질화 실리콘 에치 스탑층들은 일반적으로 아래에 놓이는 절연층들의 에칭 후에 제거된다.
이상적으로, 라이너층으로 사용하기에 좋은 장벽 특성들과 에치 스탑으로 사용되기에 충분한 산화물 함량의 양쪽을 가지는 로우k 절연층은 현재의 로우k 유전 물질들로서 동일한 챔버들에서 확인되고 증착될 수 있을 것이다. 그러한 장벽층들은 절연층들의 전체 유전 상수를 증가시키지 않을 것이고, 그러한 에치 스탑층은 아래에 놓이는 층들의 에칭후 제거될 필요가 없을 것이다.
미국 특허 제5,554,570호는, 열 CVD 실리콘 산화물들과 함께 사용되기 위한 장벽층들을 설명하는데, 증착된 막들의 밀도를 증가시키고 층들간의 부착(adhesion)을 개선하기 위하여 실란 대신 C-H 그룹을 가지는,오르가노실란(organosilane)이 그곳에서 산화된다. 예를 들어, 테트라에톡시실란(tetraethoxysilane;TEOS) 및 오존으로부터 생산된 열 CVD 층은 오르가노실란 생산된 PECVD 실리콘 산화물 막들과 N2O 또는 O2사이에 증착될 수도 있다.
'570 특허에서 설명된 장벽층들은 바람직하게는 낮은 탄소 함량을 가지는 밀한 실리콘 산화물 층들이다. 저주파 RF 전력이 막 스트레스를 개선시키기 위해 주장되지만, 밀한 층들은 400W의 고주파 RF 전력을 사용하여 증착된다. 장벽층들은 바람직하게는 탄소 내용물을 감소시키고 층들의 밀도를 증가시키기 위하여, 알콕시실란들 또는 염소화 알킬실란들 및 N2O로부터 생산된다.
'570 특허는 낮은 유전 상수들을 가지는 장벽층들을 만들거나 높은 산화 내용물들을 가지는 에치 스탑 층들을 만들기 위한 프로세스 조건들을 확인하지 않는다. '570 특허는 또한 설명된 층들의 사용을 로우k 절연층에 인접하는 장벽층 또는 에치 스탑층으로 암시하지 않는다.
서브-미크론 디바이스들에서 장벽층들이나 에치 스탑층들로 사용되기 위해 낮은 유전 상수들, 좋은 장벽 특성들 및 높은 산화 내용물을 가지는 절연층들에 대한 필요가 남아있다.
본 발명은 집적 회로의 제조공정(fabrication)에 관한 것이다. 보다 상세하게는, 본 발명은 기판상에 절연층을 증착시키기 위한 장치 및 프로세스에 관한 것이다.
위에서 열거된 특성들, 본 발명의 이점들 및 목적들이 얻어지고 상세히 이해될 수 있기 위해, 위에서 간단히 요약된 본 발명의 보다 상세한 설명은 첨부된 도면들에서 예시된 그것의 실시예들을 참조함으로써 얻어질 수 있을 것이다.
발명이 다른 동등한 효과의 실시예들을 인정할 수 있도록, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 예시하고, 그러므로 그 범위를 제한해서 고려되지 않는다는 것을 알아야 한다.
도 1A-1B(종래 기술)는 업계에서 알려진 프로세스들에 의해 기판상에 증착된 절연층들의 간략도들이다.
도 2는 본 발명에 따라 사용하기 위해 구성된 예시 CVD 반응기의 단면도이다.
도 3은 도 2의 CVD 반응기의 시스템 모니터의 도면이다.
도 4는 도 2의 예시 CVD 반응기와 함께 사용되는 프로세스 제어 컴퓨터 프로그램 산물의 흐름도이다.
도 5는 본 발명의 한 실시예에 따라 갭 충전 프로세스에서 라이너 및 캡층들을 증착시키는데 수행되는 단계들을 예시하는 흐름도이다.
도 6A-6E는 도 5의 프로세스에 의해 기판상에 증착된 층들의 간략도이다.
도 7은 본 발명의 실리콘 산화물층들을 포함하는 이중 다마신(damascene) 구조를 나타내는 단면도이다.
도 8A-8H는 본 발명의 이중 다마신 증착 시퀀스의 한 실시예를 나타내는 단면도들이다.
도 9는 금속전 유전층 및 금속간 유전층 사이에 본 발명의 실리콘 산화물층을 포함하는 부착층을 나타내는 단면도이다.
도 10A-10H는, 본 발명의 실리콘 산화물이 금속간 절연막을 종래의 에치 스탑층에 부착시키기 위해 사용되는, 이중 다마신 증착 시퀀스를 나타내는 단면도들이다.
본 발명의 한층 더한 이해를 위해서, 상세한 설명을 보증하기 위한 참조가 될 것이다.
본 발명은 낮은 유전 상수를 가지는 나노포러스 실리콘 산화물층을 증착하기 위한 방법 및 장치를 제공한다. 나노포러스 실리콘 산화물층은, 실리콘 산화물층에균일하게 분산된 미세한 가스 주머니들을 형성하기 위해, 열적으로 불안정한 유기 그룹들을 또한 함유할 수 있는 실리콘/산소 함유 물질을 증착하고 증착된 실리콘/산소 함유 물질의 어닐링(annealing)을 제어함으로써 생산된다. 실리콘 산화물층에 대한 미세 가스 주머니들의 상대적 부피는 낮은 유전 상수들을 제공하는 닫힌 셀 거품 구조(closed cell foam structure)를 유지하기 위하여 제어된다. 실리콘/산소 물질은, 기판 표면상에 과산화 화합물을 농축하고 증착된 과산화 화합물을 반응성 화합물 또는 수소화된 실리콘을 포함하는 혼합물과 접촉시킴으로써, 화학 기상 증착된다. 불안정한 유기 그룹들이 반응성 화합물 또는 혼합물내에 있을 때, 불안정한 유기 그룹들은 증착된 실리콘 산화물층이 어닐링될 때 기체 생산물로 전환하기에 충분한 산소를 포함한다.
제어된 어닐링하에서 나노포러스 실리콘 산화물계 층들을 형성하는 수소화된 실리콘을 포함하는 반응성 화합물들 또는 혼합물들은 실란, 메틸실란, 디메틸실란, 디실라노메탄, 비스(메틸실라노)메탄, 1,3,5-트리실라나시클로헥산, 시클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 1,3-비스(실라노메틸렌)실록산, 및 1,2-디실라노테트라플루오로에탄, 및 그것들의 결합들을 포함한다. 1,3,5-트리실라나시클로헥산 및 시클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌을 사용하는 보이드들(voids)의 형성은 그들의 비평면 링 구조에 의하여 강화된다.
실리콘 및 열적으로 불안정한 유기 그룹들을 포함하는 반응성 화합물들 또는 혼합물들은 비스(포르밀옥시실라노)메탄, 비스(글리옥시릴실라노)메탄, 비스(포르밀-카르보닐디옥시실라노)메탄, 2,2-비스(포르밀옥시실라노)프로판, 1,2-비스(포르밀옥시실라노)에탄, 1,2-비스(글리옥시릴실라노)에탄, 및 그것들의 혼합물들을 포함한다. 그러한 화합물들은, 많은 불안정한 유기 그룹들을 간직하는 물질을 포함하는 겔같은 실리콘/산소를 형성하기 위하여, 과산화 수소와 반응한다. 불안정한 유기 그룹들의 양은, 메틸 말레익 무수물, 3-포르밀옥시-2,5-푸란디온, 글리시달데하이드, 옥실라닐글리옥살레이트, 디옥실라닐 탄산염, 디옥실라닐 메스옥살레이트, 및 글리시딕 무수물과 같은 하나 이상의 불안정한 유기 그룹들을 포함하는 비실리콘 함유 성분들과 반응성 화합물들을 혼합함으로써 증가될 수 있다. 비실리콘 함유 성분들은, 대안으로, 메틸실란, 디메틸실란, 디실라노메탄, 비스(메틸실라노)메탄, 1,3,5-트리실라나시클로헥산 및1,2-디실라노테트라플루오로에탄과 같은 불안정한 유기 그룹들을 포함하지 않는 물질들을 포함하는 반응성 실리콘과 혼합될 수 있다.
증착된 실리콘/산소 함유 물질은, 바람직하게는, 불안정한 유기 그룹들을, 닫혀진 셀 거품 구조에 부여된 낮은 유전 상수를 가지는 나노포러스 실리콘 산화물층내의, 분산된 가스 주머니들로 전환시키기 위해 점진적으로 증가하는 온도 프로파일로 어닐된다. 어닐링은 바람직하게는 증착된 물질의 온도를 약 400℃ 또는 그 이상으로 증가시킨다.
바람직한 갭 충전(gap filling) 실시예에서, 본 발명의 나노포러스 실리콘 산화물층은, 바람직하게는 낮은 레벨들의 상수 또는 펄스 RF 전력에 의해, 하나 이상의 반응성 실리콘 함유 화합물들 및 산화 질소(nitrous oxide)의 플라즈마 보조 반응(plasma assisted reaction)에 의해, 패턴된 금속층상에 증착된 실리콘 산화물 장벽층상에 증착된다. 나노포러스 실리콘 산화물층은 이때 RF 전력없이 동일한 챔버에 증착된다. 위에서 설명된 바와 같이 어닐링된 후, 나노포러스 실리콘 산화물층은 낮은 레벨들의 상수 또는 펄스 RF 전력을 사용하여, 산화 질소 및 오르가노실란 및/또는 오르가노실록산 화합물의 뒤따른 반응에 의해 동일 챔버내에서 선택적으로 상부가 덮어진다(capped). 라이너 및 캡층은 나노포러스 실리콘 산화물층을 보호하는 장벽들의 역할을 한다.
발명은 또한 실리콘 산화물 또는 질화 실리콘과 같은 종래의 에치 스탑상에 증착된 나노포러스 실리콘 산화물층을 포함하는 금속간 절연 물질(intermetal dielectric material;IMD)을 제공한다. 실리콘 산화물은 또한 부착 박막으로서 증착될 수 있다.
본 발명은 낮은 유전 상수를 가지는 나노포러스 실리콘 산화물층을 증착하기 위한 방법 및 장치를 제공한다. 나노포러스 실리콘 산화물층은, 실리콘 산화물층에균일하게 분산된 미세 가스 주머니들을 형성하기 위해, 선택적으로 열적으로 불안정한 유기 그룹들을 포함하는 실리콘/산소 함유 물질을 증착시킴으로써 그리고, 증착된 실리콘/산소 함유 물질의 제어된 어닐링에 의해 생산된다. 미세 가스 주머니들의 실리콘 산화물층에 대한 상대적 부피는 어닐링후에 낮은 유전 상수들 및 낮은 투자율을 제공하는 닫힌 셀 거품 구조를 유지하기 위하여 제어된다. 나노포러스 실리콘 산화물 층들은 약 3.0보다 작은 유전 상수들을 가질 것이다.
오르가노실란 및 오르가노실록산 화합물들은 일반적으로 다음 구조들을 포함하는데:
각 Si는 적어도 두 개의 수소원자들과 결합되고 하나 또는 두 개의 탄소 원자들과 결합하며, C는 오르가노 그룹, 바람직하게는 -CH3, -CH2-CH3, -CH2- 또는 -CH2-CH2- 또는 그들의 불화 탄소 유도체와 같은 알킬 또는 알케닐 그룹에 포함된다. 오르가노실란 또는 오르가노실록산 화합물이 둘 또는 그 이상의 Si 원자들을 포함할 때, 각 Si는 -O-, -C- 또는 -C-C-에 의해 다른 Si로부터 분리되는데, 각 연결 C는 오르가노 그룹, 바람직하게는 -CH2-, -CH2-CH2-, CH(CH3)-, -C(CH3)2- 또는 그들의 불화 탄소 유도체들과 같은 알킬 또는 알케닐 그룹들에 포함된다. 바람직한 오르가노 실란 및 오르가노실록산 화합물들은 실온 근처에서 가스들 또는 액체들이고, 약 10Torr 이상에서 휘발될 수 있다. 바람직한 오르가노실란들 및 오르가노실록산들은:
및 1,2-디실라노테트라플루오로에탄과 같은 그들의 불화 탄소 유도체들을 포함한다. 오르가노실란들 및 오르가노실록산들내의 탄화수소그룹들은 C-H 결합들을 C-F 결합들로 변환시키기 위하여 부분적 또는 전체적으로 불화된다. 많은 바람직한 오르가노실란 및 오르가노실록산 화합물들은 상업적으로 이용가능하다. 둘 또는 그 이상의 오르가노실란들 또는 오르가노실록산들의 결합은, 유전 상수, 산화 내용물, 소수성, 막 스트레스 및 플라즈마 에칭 특성들과 같은 바람직한 특성들의 혼합을 제공하기 위하여 사용된다.
실리콘/산소 물질은, 기판 표면상에 과산화 수소와 같은 과산화 화합물을 응축함으로써 그리고, 증착된 과산화 화합물을 반응성 화합물 또는 실리콘 수소화물 그룹들을 포함하는 혼합물 및 선택적 열적으로 불안정한 유기 그룹들과 접촉시킴으로써, 화학 기상 증착된다. 1,3,5-트리실라나시클로헥산 및 시클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌과 같은 일부 화합물들을 사용하는 보이드들의 형성은 어닐링의 동안 불안정한 물질들의 부가없이 비평면 구조에 의하여 얻어진다. 실리콘 산화물층이 어닐될 때, 열적으로 불안정한 유기 그룹들은 가스형의 산물들을 형성하기 위한 충분한 산소를 포함한다. 바람직한 불안정한 그룹들은 포르밀옥시(CH(O)-O-), 글리옥시릴(CH(O)-CO-O-) 및 포르밀카르보닐디옥시(CH(O)-O-CO-O-)를 포함한다.
실리콘 수소화물 및 열적으로 불안정한 유기 그룹들을 포함하는 반응성 화합물들은:
및 다음과 같은, 그들의 불화된 가교결합 탄소 유도체를 포함한다:
그러한 화합물들은 약 40℃ 이하에서 많은 불안정한 유기 그룹들을 보유하는 겔같은 실리콘/산소 함유 물질을 형성하기 위하여 과산화 수소와 반응한다. 증착된 실리콘/산소 함유 물질내에 보유된 불안정한 유기 그룹들의 양은 반응성 화합물들을 하나 이상의 불안정한 유기 그룹들을 포함하는 비실리콘 함유 성분들과 혼합함으로써 증가될 수 있다. 불안정한 유기 그룹들은, 실리콘 함유 반응성 화합물들 및 다른 산소 함유 유기 그룹들을 위해 설명된, 포르밀옥시(CH(O)-O-), 글리옥시릴(CH(O)-CO-O-) 및 포르밀카르보닐디옥시(CH(O)-O-CO-O-) 그룹들을 포함한다. 바람직한 비-실리콘 함유 성분들은 다음을 포함한다:
비-실리콘 함유성분들은 대안으로 다음과 같은 불안정한 유기 그룹들을 포함하지 않는 반응성 실리콘 함유 물질들 및 그들의 불화된 탄소 유도체들과 혼합될 수 있다:
증착된 실리콘/산소 함유 물질은, 바람직하게는 불안정한 유기 그룹들을 닫힌 셀 거품 구조에 부여된 낮은 유전 상수를 가지는 나노포러스 실리콘 산화물층내의 분산된 가스 주머니들로 전환시키기 위해, 점진적으로 증가하는 온도에서 어닐링된다.
바람직한 갭 충전 실시예에서, 본 발명의 나노포러스 실리콘 산화물층은, 낮은 레벨들의 상수 또는 펄스 RF 전력을 사용하여, 하나 이상의 반응성 실리콘 함유 화합물 및 산화 질소의 플라즈마 보조 반응에 의해 패턴된 금속층상에 증착된 실리콘 산화물 장벽층상에 증착된다. 반응성 실리콘 화합물들은 바람직하게는 실란 및 위에서 실란과 함께 열거된 다른 화합물이다. 나노포러스 실리콘 산화물층은 이때 RF 전력이 없이 동일한 다중챔버 클러스터화(clustered) CVD 시스템에서 증착되고 증가하는 온도 프로파일을 사용하여 선택적으로 약 400℃까지 가열된다. 나노포러스 실리콘 산화물층은 장벽층을 증착하기 위해 사용된 동일한 챔버내에서, 낮은 레벨들의 상수 또는 펄스 RF 전력을 사용하여, 반응성 실리콘 성분 및 산화 질소의 뒤따른 반응에 의해 선택적으로 상부가 덮여진다. 라이너층 및 캡층들은 나노포러스 실리콘 산화물층을 보호하는 장벽들로서의 역할을 한다.
라이너와 캡층들은 반응성 실리콘 함유 화합물들의 플라즈마 보조 산화에 의해 증착될 수 있다. 바람직한 반응성 실리콘 함유 화합물은 약 10에서 약 200W의 상수 RF 전력 또는 약 20에서 약 200W의 펄스 RF 전력을 사용하여 증착된 디메틸실란이다. 펄스 RF 전력은 더 높은 피크 전력 레벨들에서 작동할 수 있고 더 낮은 전력 레벨에서 비펄스 RF 전력과 동일한 전체 전력을 제공할 수 있다. 라이너 및 캡층들에 잔류하는 탄소는 낮은 유전 상수들 및 장벽 특성들에 기여한다. 잔류 탄소는 바람직하게는 좋은 습기 장벽인 소수층을 제공하기에 충분한 C-H 또는 C-F 결합들을 포함한다.
반응성 실리콘 함유 화합물들은 라이너 및 캡층들의 증착 동안, 플라즈마 보조 반응에 의하여, 산화 질소(N2O)와 같은 산소 함유 화합물의 분해에 의한 증착 프로세스 동안 형성된 산소로 산화된다. 산화 질소는 플라즈마 보조 없이는 반응하지 않고 산소-질소 결합들은 반응성 실리콘 함유 화합물들에서의 결합들보다 낮은 에너지들에서 쉽게 깨진다. 산화된 화합물들은 증착된 막을 형성하기 위해 반도체 기판의 패턴된 층과 같은 접촉된 표면들에 부착한다. 증착된 막들은 막들의 장벽 특성들을 안정시키기 위하여, 감소된 압력 및 약 200에서 약 450℃, 바람직하게는 약 400℃이상의 온도에서 경화(cure)되고 어닐링된다. 증착된 막은 장벽 특성들을 제공하기 위한 충분한 탄소 내용물을 가진다. 탄소 내용물은 우수한 습기 장벽인 소수성 막을 제공하기 위하여 바람직하게는 C-H 또는 C-F 결합을 포함한다.
본 발명은, 반응 영역, 반응 영역에서 기판을 위치시키기 위한 기판 홀더 및 진공 시스템을 포함하는 플라즈마 반응기를 가지는 기판 프로세싱 시스템을 또한 제공한다. 프로세싱 시스템은 또한 진공 챔버의 반응 영역을 반응 가스들 및 불활성 가스의 공급원들에 연결하는 가스/액체 분배 시스템, 반응 영역에서 플라즈마를 발생시키기 위한 가스 분배 시스템에 연결된 RF 발생기를 포함한다. 프로세싱 시스템은, 플라즈마 반응기를 제어하기 위한 컴퓨터, 가스 분배 시스템 및 RF 발생기를 포함하는 제어기 및 제어기에 연결된 메모리를 또한 포함하는데, 메모리는 낮은 유전 상수 막을 오르가노실란 또는 오르가노실록산 화합물 및 산화 가스로 증착시키는 프로세스 단계들을 선택하기 위한 컴퓨터 판독가능 프로그램 코드를 포함하는 컴퓨터 사용가능 매체를 포함한다.
프로세싱 시스템은 한 실시예에서, 산화된 오르가노실란 화합물의 라이너 및 다른 절연층을 증착시키고, 산화된 오르가노실란 화합물의 캡층을 선택적으로 증착시키는 프로세스 단계들을 선택하기 위한 컴퓨터 판독가능 프로그램 코드를 또한 포함할 수도 있다.
본 발명의 더한 설명은 본 발명의 나노포러스 실리콘 산화물층들을 증착시키기 위한 특정 장치와 바람직한 갭 충전 막들에 대하여 행해질 것이다.
예시적인 CVD 플라즈마 반응기
본 발명의 방법이 수행될 수 있는 한 적당한 CVD 플라즈마 반응기가 도 2에나타나는데, 그것은 높은 진공 영역(15)을 가지는 평행판 화학 기상 증착 반응기(10)의 수직 단면도이다. 반응기(10)는 프로세스 가스들을, 매니폴드에 있는 관통된 구멍들을 통하여, 승강 모터에 의해 승강되는 기판 지지판 또는 서셉터(12)상에 탑재된, 기판 또는 웨이퍼(미도시)로 분산시키기 위한 가스 분배 매니폴드(11)를 포함한다. TEOS의 액체 주입을 위해 전형적으로 사용되는 것과 같은 액체 주입 시스템(미도시)은 액체 반응물의 주입을 위해서도 역시 제공된다. 바람직한 액체 주입 시스템들은, 양쪽 모두 어플라이드 머티리얼즈로부터 이용 가능한 AMAT 가스 정밀 액체 주입 시스템(AMAT Gas Precision Liquid Injection System;GPLIS) 및 AMAT 연장된 정밀 액체 주입 시스템(AMAT Extended Precision Liquid Injection System;EPLIS)을 포함한다.
반응기(10)는 저항성 가열 코일들(미도시)이나 외부 램프들(미도시)에 의하는 것과 같은 프로세스 가스들 및 기판의 가열을 포함한다. 도 2를 참조하면, 하부의 로딩/오프로딩 위치와 매니폴드(11)에 가까이 인접하는 상부의 프로세싱 위치 사이에서 제어 가능하게 이동될 수 있도록 서셉터(12)(및 상기 서셉터(12)의 상면상에 지지되는 웨이퍼)는 지지대(13)상에 탑재된다.
서셉터(12) 및 웨이퍼가 프로세싱 위치(14)에 있을 때, 절연체(17)에 의해 둘러싸이고 프로세스 가스들은 매니폴드(24)로 배기된다. 프로세싱의 동안, 매니폴드로 들어온 가스들은 웨이퍼의 표면을 가로질러 방사형으로 균일하게 분배된다. 스로틀 밸브를 가지는 진공 펌프(32)는 챔버로부터의 가스 속도를 제어한다.
매니폴드(11)에 이르기 전에, 증착 및 반송 가스들은 가스 라인들(18)을 통하여 혼합 시스템(19)으로 들어가는데, 그곳에서 가스들은 결합되고 그 다음 매니폴드(11)로 보내진다. 일반적으로, 프로세스 가스들의 에치를 위한 프로세스 가스 공급 라인들(18)은 (i)자동 또는 수동으로 챔버로의 프로세스 가스의 흐름을 차단하기 위해 사용될 수 있는 안전 차단 밸브들(미도시) 및 (ii)가스 공급 라인들을 통한 가스의 흐름을 측정하는 대량 흐름 제어기들(미도시)을 포함한다. 프로세스에서 유독성 가스들이 사용될 때, 몇 개의 안전 차단 밸브들은 종래 구성들에서의 각 가스 공급 라인상에 위치된다.
반응기(10)에서 수행된 증착 프로세스는 냉각된 기판 받침대상의 비플라즈마 프로세스 또는 플라즈마 강화 프로세스 중 하나일 수 있다. 플라즈마 프로세스에서, 제어된 플라즈마는 전형적으로 (서셉터(12)가 접지된)RF 전원(25) 분배 매니폴드(11)에 인가된 RF 에너지에 의해 웨이퍼에 인접하여 형성된다. 대안으로, RF 전력은 서셉터(12)로 제공되거나 다른 주파수들에서 다른 구성 요소들에 제공될 수 있다. RF 전원(25)은 높은 진공 영역(15)에 유입된 반응성 종들의 분해를 강화하기 위하여 단일 또는 혼합 주파수 RF 전력을 공급할 수 있다. 혼합 주파수 RF 전원은 전형적으로 13.56MHz의 높은 RF 주파수(RF1)에서는 분배 매니폴드(11)로, 360KHz의 낮은 RF 주파수(RF2)에서는 서셉터(12)로 전력을 공급한다. 본 발명의 실리콘 산화물층들은 가장 바람직하게는 고주파 RF 전력의 낮은 단계들 또는 펄스 레벨들을 사용하여 생산된다. 펄스 RF 전력은 바람직하게는 약 10에서 약 30%의 듀티사이클동안 약 20에서 약 200W에서 13.56MHz RF 전력을 제공한다. 비펄스 RF 전력은 아래에서 더 상세히 설명되는 것처럼 바람직하게는 약 10에서 약 150w에서 13.56MHz RF전력을 제공한다. 낮은 전력 증착은 바람직하게는 약 20에서 약 40℃의 온도 범위에서 발생한다. 바람직한 온도 범위에서, 증착의 동안 증착된 막은 부분적으로 중합되고 후속의 막경화동안 중합은 완결된다.
전형적으로, 어느 또는 모든 챔버 라이닝, 가스 입구 매니폴드 면판, 지지대(13) 및 다양한 다른 반응기 하드웨어는 알루미늄 또는 양극처리된(anodized) 알루미늄과 같은 물질로 만들어진다. 그러한 CVD 반응기의 예는, "열 CVD /PECVD 반응기 및, 실리콘 산화물의 열 화학 기상 증착과 원위치(In-situ) 다단계 평탄화 프로세스를 위한 사용"으로 명명되고, 왕(Wang)등에게 부여되고 본 발명의 양수인인 어플라이드 머티리얼즈(Applied Materials,Inc.)에 양도된, 미국 특허 제5,000,113호에서 설명된다.
승강 모터(14)는 프로세싱 위치 및 하부 웨이퍼-로딩 위치 사이에서 서셉터(12)를 상승 또는 하강시킨다. 모터, 가스 혼합 시스템(19) 및 RF 전원(25)은 제어선들(36)을 통하여 시스템 제어기(34)에 의해 제어된다. 반응기는, 대량 흐름 제어기들(MFCs) 및 표준 또는 펄스 RF 발생기와 같은 아날로그 어셈블리들을 포함하는데, 그것들은 바람직한 실시예에서 하드디스크 드라이브인 메모리(38)에 저장된 시스템 제어 소프트웨어를 실행하는 시스템 제어기(34)에 의해 제어된다. 모터들 및 광센서들은 진공 펌프(32)의 스로틀 밸브 및 서셉터(12)를 위치시키기 위한 모터와 같은 이동 가능 기계 어셈블리들의 위치를 결정 또는 이동시키기 위해 사용된다. 시스템 제어기(34)는 CVD 반응기의 모든 활동들을 제어하고, 제어기(34)의 바람직한 실시예는 하드디스크 드라이브, 플로피 디스크 드라이브 및 카드랙(rack)을 포함한다. 카드 랙은 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입출력 보드들, 인터페이스 보드들 및 스텝 모터 제어 보드들을 포함한다. 시스템 제어기는, 보드, 카드 케이지 및 커넥터 크기들 및 타입들을 정의하는 베사 모듈러 유럽(Versa Modular Europeans) 규격을 따른다. VME 규격은 또한 16비트 데이터 버스 및 24비트 어드레스 버스를 가지는 버스 구조를 정의한다.
시스템 제어기(34)는 하드디스크 드라이브(38)상에 저장된 컴퓨터 프로그램의 제어하에 작동한다. 컴퓨터 프로그램은 타이밍, 가스들의 혼합, RF 전력 레벨들, 서셉터 위치 및 특정 프로세스의 다른 특성들을 지시한다. 사용자 및 시스템 제어기 사이의 인터페이스는 도 3에서 표시된 CRT 모니터(40) 및 광펜(light pen)(44)을 통한다. 바람직한 실시예에서, 제 2 모니터(42)가 사용되는데, 제 1 모니터(40)는 조작자를 위하여 청정실에 설치되고 다른 모니터(42)는 서비스 기술자들을 위해서 벽뒤에 설치된다. 양쪽 모니터(40,42)는 동시에 동일한 정보를 표시하지만 단지 하나의 광펜(44)만이 이용 가능하다. 광펜(44)은 CRT 디스플레이에 의해 방출되는 빛을 펜의 끝에 있는 광센서로 검출한다. 특정 스크린이나 기능을 선택하기 위해, 조작자는 디스플레이 스크린의 지정된 영역을 건드리고 펜(44)상의 버튼을 누른다. 접촉된 영역은, 광펜과 디스플레이 스크린 사이의 통신을 확인하면서, 가장 밝은 색으로 변하거나 새로운 메뉴 또는 스크린이 표시된다.
도 4를 참조하면, 프로세스는, 예를 들어, 시스템 제어기(34)에서 실행될 컴퓨터 프로그램 제품(410)을 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는, 68000 어셈블리 언어, C, C++ 또는 파스칼과 같은 어떤 종래의 컴퓨터 판독 가능한프로그래밍 언어로 쓰여질 수 있다. 적당한 프로그램 코드는, 종래의 텍스트 에디터를 사용하여 단일 파일 또는 복수의 파일들로 들어가고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 가능 매체에 저장 또는 내장된다. 입력된 코드 텍스트가 고급 언어이면 코드는 컴파일되고, 결과 컴파일러 코드는 이때 프리컴파일된 윈도우 라이브러리 루틴들의 목적 코드와 링크된다. 링크되고 컴파일된 목적 코드를 실행하기 위해서 시스템 사용자는 목적 코드를 불러서, 프로그램에서 확인된 과제들을 수행하도록 CPU가 코드를 읽고 실행하는 메모리로부터 컴퓨터 시스템이 코드를 로드하도록 한다.
도 4는 컴퓨터 프로그램의 계층 제어 구조의 예시적 블록도를 나타낸다. 광펜(44) 인터페이스를 사용하여 CRT 모니터(40)상에 표시된 스크린들 또는 메뉴들에 응답하여, 사용자는 프로세스 세트 번호와 프로세스 챔버 번호를 프로세스 선택자 서브루틴(420)에 입력한다. 프로세스 세트들은 특정 프로세스들을 수행하기 위하여 필요한 프로세스 파라미터들의 예정된 세트들이고 미리 정의된 세트 번호들에 의하여 확인된다. 프로세스 선택자 서브루틴(420)은 (i)CenturaTM플랫폼(어플라이드 머티리얼즈에서 이용 가능)과 같은 클러스터 툴상의 바람직한 프로세스 챔버를 선택하고 (ii)바람직한 프로세스를 실행하기 위해 프로세스 챔버를 작동하는데 필요한 프로세스 파라미터들의 바람직한 세트를 선택한다. 특정 프로세스를 수행하기 위한 프로세스 파라미터들은, 예를 들면, 프로세스 가스 구성 및 유속, 온도, 압력, RF 바이어스 전력 레벨들 및 자기 필드 전력 레벨들과 같은 플라즈마 조건들, 냉각 가스 압력 및 챔버 벽 온도와 같은 프로세스 조건들과 관련있고 사용자에게 비방의 형태로 제공된다. 비방에 의해 상술된 파라미터들은 광펜/CRT 모니터 인터페이스를 사용하여 입력된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 및 디지털 입력 보드들에 의해 제공되고, 프로세스를 제어하기 위한 신호들은 시스템 제어기(34)의 아날로그 출력 및 디지털 출력 보드들상의 출력이다.
프로세스 시퀀서 서브루틴(430)은 프로세스 선택자 서브루틴(420)으로부터 확인된 프로세스 챔버 및 프로세스 파라미터들의 세트를 받아들이기 위한 그리고 다양한 프로세스 챔버들의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다수의 사용자들이 프로세스 세트 번호들 및 프로세스 챔버 번호들을 또는 하나의 사용자가 다수의 프로세스 챔버 번호들을 입력할 수 있고 따라서, 시퀀서 서브루틴(430)은 바람직한 시퀀스에서 선택된 프로세스들을 계획하기 위하여 작동한다. 바람직하게는 시퀀스 서브루틴(430)은, (i)챔버들이 사용되면 결정할 프로세스 챔버들의 동작을 모니터링하는 단계, (ii)사용되는 챔버들에서 어떤 프로세스가 수행될 지를 결정하는 단계 및 (iii)프로세스 챔버의 성능 또는 수행될 프로세스의 타입에 기초한 바람직한 프로세스를 실행하는 단계의 단계들을 수행하기 위한 컴퓨터 판독 가능 프로그램 코드를 포함한다. 폴링(polling)과 같은 프로세스 챔버들을 모니터링하는 종래 방법들이 사용될 수 있다. 어느 프로세스가 실행될 지를 계획할 때, 시퀀서 서브루틴(430)은, 선택된 프로세스에 대한 바람직한 프로세스 조건들, 또는 각 특정 사용자가 입력한 요구의 "경과 시간", 또는 계획 특성들을 결정하기위해 시스템 프로그래머가 포함하기를 바라는 어떤 다른 적당한 요인과 비교하여 프로세스 챔버의 현재 조건을 고려하도록 디자인될 수 있다.
일단 시퀀서 서브루틴(430)이 어느 프로세스 챔버 및 프로세스 세트 조합이 다음에 실행될 것인지를 결정하면, 시퀀서 서브루틴(430)은 특정 프로세스 세트 파라미터들을 챔버 관리자 서브루틴(440)으로 전달함으로써 프로세스 세트가 실행되도록 하는데, 챔버 관리자 서브루틴은 시퀀서 서브루틴(430)에 의해 결정된 프로세스 세트들에 따라서 프로세스 챔버(10)에서의 멀티 프로세싱 작업을 제어한다. 예를 들어, 챔버 관리자 서브루틴(440)은 프로세스 챔버(10)내에서 CVD 프로세스 동작들을 제어하기 위한 프로그램 코드를 포함한다. 챔버 관리자 서브루틴(440)은 선택된 프로세스 세트들을 수행하는데 필요한 챔버 구성요소의 동작을 제어하는 다양한 챔버 구성요소 서브루틴들의 실행을 또한 제어한다. 챔버 구성요소 서브루틴들의 예들은, 서셉터 제어 서브루틴(450), 프로세스 가스 제어 서브루틴(460), 압력 제어 서브루틴(470), 히터 제어 서브루틴(480), 및 플라즈마 제어 서브루틴이다. 당업자는, 반응기(10)에서 어떤 프로세스들이 수행되기 바래지는지에 따라 다른 챔버 제어 서브루틴들이 포함될 수 있다는 것을 쉽게 알 수 있을 것이다.
작동중에, 챔버 관리자 서브루틴(440)은 실행될 특정 프로세스 세트에 따라 선택적으로 프로세스 구성요소 서브루틴들을 계획하거나 부른다. 챔버 관리자 서브루틴(440)은, 시퀀서 서브루틴(430)이 어느 프로세스 챔버(10) 및 프로세스 세트가 다음에 실행될 지를 계획한 방법과 유사하게 프로세스 구성 요소 서브루틴들을 계획한다. 전형적으로, 챔버 관리자 서브루틴(440)은 다양한 챔버 구성 요소들을 모니터링하는 단계, 실행될 프로세스 세트들을 위한 프로세스 세트들에 기초하여 어느 구성 요소들이 작동될 필요가 있는지를 결정하는 단계 및 모니터링과 결정 단계들에 응답하는 챔버 구성 요소 서브루틴을 실행되도록 하는 단계들을 포함한다.
도 4를 참조하여 특정 구성요소 서브루틴들의 동작이 설명될 것이다. 서셉터 제어 위치 서브루틴(450)은 챔버 구성요소들을 제어하기 위한 프로그램 코드를 포함하는데, 구성요소들은 기판을 서셉터상(12)에 탑재하고, 기판과 가스 분배 매니폴드(11) 사이의 간격을 제어하기 위해 선택적으로 기판을 반응기 내에서 바람직한 높이로 들어올리기 위해 사용된다. 기판이 반응기(10)에 로드될 때, 서셉터(12)는 기판을 탑재하기 위해 낮춰지고 그후, CVD 프로세스 동안 가스 분배 매니폴드(11)로부터 제 1 거리 또는 간격에 기판을 유지하기 위해 서셉터(12)는 챔버내에서 바람직한 높이로 올려진다. 작동중, 서셉터 제어 서브루틴(450)은 챔버 관리자 서브루틴(440)으로부터 전달된 프로세스 세트 파라미터들에 응답하여 서셉터(12)의 운동을 제어한다.
프로세스 가스 제어 서브루틴(460)은 프로세스 가스 구성 및 유속들을 제어하기 위한 프로그램 코드를 가진다. 프로세스 가스 제어 서브루틴(460)은 안전 차단 밸브들의 개폐 위치를 제어하고, 또한 대량 흐름 제어기들을 바람직한 가스 유속을 얻기 위해 위/아래로 램프(ramp)한다. 전형적으로, 프로세스 가스 제어 서브루틴(460)은, 가스 공급 라인들을 열고 반복적으로, (i)필요한 대량 흐름 제어기들을 읽고, (ii)읽은 것들을 챔버 관리자 서브루틴(440)으로부터 받은 바람직한 유속들과 비교하며, (iii)필요에 따라 가스 공급 라인들의 유속들을 조정함으로써, 작동한다. 또한, 프로세스 가스 제어 서브루틴(460)은, 불안전한 속도들을 위해 가스 유속들을 모니터링하고 불안전한 조건이 검출되면 안전 차단 밸브들을 활성화하는 단계들을 포함한다.
일부 프로세스들에서, 헬륨이나 아르곤과 같은 불활성 가스가 반응성 프로세스 가스들이 챔버내로 유입되기 전에 챔버내의 압력을 안정시키기 위해 반응기(10)로 흘러 들어온다. 이러한 프로세스들을 위해, 프로세스 가스 제어 서브루틴(460)은 챔버내의 압력을 안정시키기 위해 필요한 양의 시간동안 불활성 가스를 챔버(10)로 흘려보내기 위한 단계들 및 그 다음 위에서 설명된 단계들이 수행될 단계들을 포함하기 위해서 프로그램된다. 부가적으로, 프로세스 가스가 액체 프리커서(precursor), 예를 들어 1,3,5-트리실라나시클로헥산, 로부터 기화될 때, 거품기(bubbler) 어셈블리에서 액체 프리커서을 통하여 헬륨과 같은 전달 가스를 거품내기 위한 단계들을 포함하기 위해 프로세스 가스 제어 서브루틴(460)은 쓰여질 것이다. 이 타입의 프로세스들에서, 프로세스 가스 제어 서브루틴(460)은 바람직한 가스 유속들을 얻기 위해 전달 가스의 흐름, 거품기내의 압력, 및 거품기 온도를 조절한다. 위에서 설명된 바와 같이, 설명된 프로세스 가스 유속들은 프로세스 파라미터들로서 프로세스 가스 제어 서브루틴(460)으로 전달된다. 또한, 프로세스 가스 제어 서브루틴(460)은, 주어진 프로세스 가스 유속을 위해 필요한 값들을 포함하는 저장된 테이블에 접근함으로써, 바람직한 프로세스 가스유속을 위해 필요한 전달 가스 유속, 거품기 압력, 및 거품기 온도를 얻기 위한 단계들을 포함한다. 일단, 필요한 값들이 얻어지면, 전달 가스 유속, 거품기 압력 및 거품기 온도는 모니터되고, 필요한 값들과 비교되며, 그에 따라 조정된다.
압력 제어 서브루틴(470)은 배기 펌프(32)내의 스로틀 밸브의 구멍의 크기를 조절함으로써 반응기(10)내의 압력을 제어하는 프로그램 코드를 포함한다. 스로틀 밸브의 구멍의 크기는 챔버 압력을 전체 프로세스 가스 흐름, 프로세스 챔버의 크기, 및 배기 펌프를 위한 펌핑 세트 포인트 압력에 관련된 바람직한 레벨로 제어하도록 정해진다. 압력 제어 서브루틴(470)이 불려질 때, 바람직한 또는 타겟 압력 레벨은 챔버 관리자 서브루틴(440)으로부터 파라미터로서 받아진다. 압력 제어 서브루틴(470)은 챔버에 연결된 하나 이상의 종래의 압력계들을 읽음으로써 반응기(10)내의 압력을 측정하고, 측정값(들)을 타겟 압력과 비교하고, 타겟 압력에 상응하는 저장된 압력 테이블로부터 PID(proportional, integral 및 differential)값들을 얻으며, 압력 테이블로부터 얻은 PID값들에 따라 스로틀 밸브를 조정하기 위하여 작동한다. 대안으로, 압력 제어 서브루틴(470)은 반응기(10)를 바람직한 압력으로 조절하기 위한 특정 구멍 크기로 스로틀 밸브를 개폐하도록 쓰여질 수 있다.
히터 제어 서브루틴(480)은 서셉터(12)를 가열하기 위해 사용되는 방사된 열 또는 가열 모듈들의 온도를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(480)은 또한 챔버 관리자 서브루틴(440)에 의해 불려지고 타겟 또는 세트 포인트 온도 파라미터를 받는다. 히터 제어 서브루틴(480)은 서셉터(12)에 위치한 열전대의 전압 출력을 측정함으로써 온도를 측정하고, 측정된 온도를 세트 포인트 온도와 비교하며, 세트 포인트 온도를 얻기 위해 가열 모듈에 인가된 전류를 증가또는 감소시킨다. 온도는 저장된 변환 테이블에서 상응하는 온도를 찾음으로써, 또는 4차 다항식을 사용하여 온도를 계산함으로써, 측정된 전압으로부터 얻어진다. 히터 제어 서브루틴(480)은 가열 모듈에 인가된 전류의 위/아래로의 램프를 점진적으로 제어한다. 점진적 위/아래로의 램프는 가열 모듈의 수명과 신뢰도를 증가시킨다. 부가적으로, 내장-오류-안전 모드(built-in-fail-safe mode)는 프로세스 안전 컴플라이언스를 검출하기 위하여 포함될 수 있고, 반응기(10)가 적절히 구성되지 않으면 가열 모듈의 작동을 차단할 수 있다.
플라즈마 제어 서브루틴(490)은 반응기(10)에 있는 프로세스 전극들에 인가된 RF 바이어스 전압 전력 레벨을 정하기 위한, 그리고 선택적으로, 반응기에서 생성된 자계의 레벨을 정하기 위한 프로그램 코드를 포함한다. 이전에 설명된 챔버 구성요소 서브루틴들과 유사하게, 플라즈마 제어 서브루틴(490)은 챔버 관리자 서브루틴(440)에 의해 불려진다.
위의 CVD 시스템 설명은 주로 예시적 목적들을 위해서이고, 전극 사이클로트론 공진 플라즈마 CVD 디바이스들, 유도 결합된 RF 고밀도 플라즈마 CVD 디바이스들 등과 같은 다른 플라즈마 CVD 설비가 사용될 수도 있다. 부가적으로, 서셉터 디자인, 히터 디자인, RF 전력 연결들의 위치 등과 같은 위에서 설명된 시스템의 변화들이 가능하다. 예를 들어, 웨이퍼는 저항성으로 가열된 서셉터에 의해 가열되고 지지될 수 있다. 사전처리(pretreatment) 및 본 발명의 사전처리된 층을 형성하기 위한 방법은 어느 특정 장치 또는 어느 특정 플라즈마 여기 방법에 한정되지 않는다.
3층 갭 충전 프로세스에서의 나노포러스 실리콘 산화물층의 증착
본 발명의 나노포러스 실리콘 산화물층은 도 5에서 나타나듯이 도 2의 PECVD 챔버를 사용하여 3층 갭 충전 프로세스에서 사용될 수 있다. 도 5를 참조하면, 웨이퍼는 반응기(10)내에 위치되고(200), 실리콘 산화물 기저층은 디메틸 실란과 같은 반응성 실리콘 함유 화합물을 포함하는 플라즈마로부터 PECVD 프로세스에 의해 증착된다(205). 증착 단계(205)는 프로세스 챔버(15)에서 종래 기술에서 알려진 방법들에 따라 용량성 결합된 플라즈마 또는 유도성 및 용량성으로 결합된 플라즈마 양쪽을 포함할 수 있다. 헬륨과 같은 불활성 가스는 플라즈마 생성을 돕기 위해 PECVD 증착에서 통상적으로 사용된다. 본 발명의 나노포러스 갭 충전 층은 유기 그룹들을 또한 포함하는 실리콘/산소 함유 물질을 증착시킴으로써 그리고 갭충전층에 균일하게 분산된 미세한 가스 주머니들을 형성하기 위해 증착된 실리콘/산소 함유 물질의 제어된 어닐링에 의해 이때 라이너층상에 증착된다(210). 갭충전층은 바람직하게는 기판상에 과산화 수소를 응축함으로써 자기-평탄화(self-plannarizing)하고, 과산화수소를 불안정한 유기 그룹들을 포함하는 실리콘 함유 화합물 또는 혼합물과 반응시킨다. 캡층(cap layer)은 이때, 바람직하게는 라이닝(lining)층을 증착시키는 것과 동일한 프로세스를 사용하여 갭충전층상에 증착된다(215).
도 6A-6E를 참조하면, 3층 갭 충전 프로세스는 산화된 반응성 실리콘 함유 화합물의 PECVD 라이닝층(300)을 제공한다. 라이닝층(300)은 후속 나노포러스 갭 충전층(302)과 아래에 놓이는 기판 표면(304) 및 기판 표면상에 형성된 금속 라인들(306, 308, 310) 사이의 격리층으로서 동작한다. 나노포러스 갭충전층(302)은 산화된 반응성 실리콘 함유 화합물의 PECVD 캡층(312)에 의해 덮인다. 이 프로세스는 CVD 반응기(10)를 위한 컴퓨터 제어기(34)의 메모리(38)에 저장된 컴퓨터 프로그램을 사용하여 실행되고 제어된다.
도 6A를 참조하면, PECVD 라이닝층(300)은 디메틸실란((CH3)2SiH2)과 같은 반응성 실리콘 함유 화합물, N2O와 같은 산화가스 및 헬륨과 같은 반송가스를 유입함으로써 반응기(10)에 증착된다. 기판은 약 -20에서 약 400℃의 온도에서 유지되고, 바람직하게는 PECVD 라이닝층의 증착을 통해 약 15에서 20℃의 온도로 유지된다. PECVD 라이닝층(300)은 약 5sccm에서 약 500sccm의 유속에서의 반응성 실리콘 함유 화합물과 약 5sccm에서 약 2000sccm의 유속에서의 산화가스의 혼합물을 포함하는 프로세스 가스로 증착된다. 프로세스 가스들은 약 0.2에서 약 20lpm의 유속으로, 전형적으로 막에 포함되지 않는, He, Ar, Ne와 같은 불활성 가스 또는 질소와 같은 상대적 불활성 가스에 의해 운반된다. 프로세스 가스들은 기판 표면(304) 및 금속 라인들(306, 308, 310)상에 컨포멀(conformal) 실리콘 산화물층을 형성하기 위해 약 0.2에서 약 20Torr, 바람직하게는 10 Torr 이하의 압력에서 반응한다. 반응은 0.05W/cm2에서 1000W/cm2범위의 전력 밀도로, 바람직하게는 약 1W/cm2의 전력 밀도로, 가장 바람직하게는 약 0.1에서 약 0.3W/cm2범위의 전력밀도로 플라즈마 강화된다.
8" 단일 웨이퍼 챔버를 위해, 약 350KHz에서 MHz의 저주파 RF 소스가 선택적으로 서셉터에 연결되고 약 0에서 약 100W로 구동되는 반면, 약 13.56MHz의 고주파 RF 소스가 바람직하게 가스 분배 시스템에 연결되고, 약 10에서 약 200W로 구동된다. 바람직한 실시예에서, 고주파 RF 소스는 약 20-200W의 펄스 RF 전력으로 구동되고 저주파 RF 소스는 약 0-50W의 펄스 RF 전력으로 구동된다. 고주파 RF 전력이 펄스되지 않을 때, 전력 레벨은 바람직하게는 약 10W에서 약 150W 사이에 있다.
산화된 라이너층은 이때 증착 압력 이하의 압력과 약 200에서 약 450℃의 온도에서 어닐링된다. 선택적으로, 어닐링은 추가 절연층들의 증착 후에 행해진다.
위의 프로세스 조건들은 도 6B에 나타난 갭충전층(302)의 후속 증착을 위해서 PECVD 라이닝층(300)(분당 약 2000Å으로)의 증착을 야기한다. 디메틸실란으로부터 얻어진 라이닝층은 소수성이 되기에 충분한 C-H결합들을 가지고 훌륭한 습기 장벽이 된다.
나노포러스 갭충전층(302)은 불안정한 유기 그룹들을 가지는 하나 이상의 실리콘 함유 화합물들, 불안정한 유기 그룹들 및 반응성 실리콘 함유 성분들을 가지는 비실리콘 함유 성분들 및 기화되고 헬륨과 같은 불활성 반송 가스와 혼합되는 과산화수소(H2O2)를 포함한다.
프로세스 가스 흐름들은 실리콘 함유 화합물용으로는 20-1000sccm, 50%의 H2O2용으로는 0.1에서 3g/min, He용으로는 0-2000sccm의 범위에 있다. 바람직한 가스 흐름들은 불안정한 유기 그룹들을 가지는 실리콘 함유 화합물들을 위해50-500sccm, 50%의 H2O2를 위해서 0.3에서 2g/min, He를 위해 100-500sccm의 범위에 있다. 이러한 유속들은 약5.5에서 6.5리터의 부피를 가지는 챔버를 위해 주어진다. 바람직하게는, 반응기(10)는 갭충전층(302)의 증착 동안 약 0.2에서 약 5Torr의 압력에서 유지된다. 갭충전층(302)은 도 6C에 나타나듯이 물과 같은 휘발성 성분들을 도 6D에 나타난 캡층(312)의 증착 전에 부분적으로 경화될 수 있다. 더 높은 온도들로 점진적으로 웨이퍼를 가열하는 동안, 반응기(10)에서 10Torr 아래의 불활성 기체 대기하에서 펌핑에 의해 경화(curing)가 행해진다. 갭충전층은 바람직하게는 기체 산물들을 분산된 미세 가스 거품들로 보유하기 위해 그리고/또는 선택적 불안정 유기 그룹들을 경화된 실리콘 산화물막내에서 닫힌 셀 구조에서의 보이드들로서 보유되는 분산된 미세 가스 거품들로 전환시키기 위해 점진적으로 증가하는 온도로 어닐링된다. 바람직한 어닐링 프로세스는 온도를 약 50℃/min씩 약 400℃ 또는 그이상의 최종 온도까지 점진적으로 올리는 것을 포함하는 약 10분의 가열 기간을 포함한다. 가스 거품들의 분산은 온도/시간 프로파일을 변화시키고 증착된 막에서 불안정한 유기 그룹들의 농도를 제어함으로써 제어될 수 있다.
도 6D를 참조하면, 갭충전층(302)의 증착후, 반응기(10)는 선택적으로 캡층(312)의 증착을 위해 반응성 실리콘 함유 성분의 증착을 다시 시작한다. 도 6E를 참조하면, 캡층의 증착후, 증착된 층들은 물과 같은 잔여 휘발성 산물들을 제거하기 위해 퍼니스(furnace) 또는 다른 챔버에서 약 200에서 약 450℃의 온도로 더 어닐링된다. 물론, 증착된 막들의 바람직한 특성들에 따라 프로세싱 조건들은 변할 것이다.
이중 다마신(damascene) 구조의 증착
나노포러스 금속간 절연층을 포함하는 이중 다마신 구조가 도 7에서 나타난다. 바람직하게는 본 발명의 나노포러스 실리콘 산화물층을 포함하는 제 1 절연층(510)이 기판(512)상에 증착되고, 그 다음 종래의 실리콘 산화물, 질화 실리콘 또는 수소화된 탄화 실리콘 에치 스탑층(514)이 제 1 절연층상에 증착된다. 에치 스탑층은 이때 접촉부들/비아들(516)의 구멍들을 정의하기 위해 패턴된다. 제 2 나노포러스 절연층(518)은 패턴된 에치 스탑층상으로 이때 증착되고, 그 다음 인터커넥트 라인들(520)을 정의하기 위하여 패턴된다. 에치 스탑층까지 인터커넥트 라인들을 정의하고, 접촉부들/비아들을 정의하기 위해 패턴된 에치 스탑층에 의해 노출된 보호되지 않는 유전체를 에치하기 위해 단일 에치 프로세스는 이때 수행된다.
본 발명에 따라 제작된 바람직한 이중 다마신 구조는 도 8H에 나타나듯이 라이닝층을 포함하고, 그 구조를 만드는 방법은 도 8A-8H에서 간략하게 순차적으로 묘사되는데, 그것은 그 위에 형성된 발명의 단계들을 가지는 기판의 단면도들이다.
도 8A에 나타나듯이, 처음의 제 1 나노포러스 절연층(510)은 기판(512)상에 제작될 구조의 크기에 따라 약 5000에서 약 10000Å의 두께로 증착되고 그 다음 어닐링된다. 도 8B에 나타난 바와 같이, 세층 갭충전에 대해 위에서 설명된 바와 같이 산화된 디메틸실란층인 로우k 에치 스탑층(514)은 이때 낮은 레벨들의 RF 전력을 사용하여 제 1 나노포러스 절연층상에 약 200에서 약 1000Å의 두께로 증착된다. 로우k 에치 스탑층(514)은, 그 다음 접합부/비아 구멍들(516)을 정의하고 제 1 나노포러스 절연층(510)을, 접합부들/비아들이 도 8C에 나타난 것처럼 형성될 영역에서, 노출하기 위해 패턴 에치된다. 바람직하게는, 로우k 에치 스탑층(514)은 종래의 포토리소그래피 및 불소, 탄소 및 산소 이온들을 사용하는 에치 프로세스들을 사용하여 패턴 에치된다. 로우k 에치 스탑층(514)이 접합부들/비아들을 패턴하기 위해 에치되고 포토레지스트가 제거된 후, 제 2 나노포러스 절연층(518)이, 도 8D에 나타난 바와 같이 약 5000에서 약10000Å의 두께로 에치 스탑층(514) 위로 증착되고 그 다음 어닐링된다. 제 2 나노포러스 절연층(518)은 이때 인터커넥트 라인들(520)을 정의하기 위해, 바람직하게는 도 8E에 나타난 바와 같이, 포토레지스트층(522)과 함께 종래의 포토리소그래피들을 사용하여 패턴된다. 인터커넥트들 및 접합부/비아들은 도 8F에 나타난 바와 같이 금속화 구조(즉, 인터커넥트 및 접합부/비아)를 정의하기 위하여 그 다음 반응성 이온 에칭 또는 다른 이방성 에칭 기술들을 사용하여 에칭된다. 에치 스탑층(514) 또는 제 2 절연층(518)을 패턴하기 위해 사용된 어느 포토레지스트 또는 다른 물질도 산소 스트립 또는 다른 적절한 프로세스를 사용하여 제거된다.
금속화 구조는 이때 알루미늄, 구리, 텅스텐 또는 이들의 결합들과 같은 전도성 물질로 형성된다. 현재는 구리의 낮은 전도도(알루미늄의 3.1μΩㆍcm과 비교하여 1.7μΩㆍcm)으로 인하여 더 작은 특징부(features)를 형성하기 위해 구리를 사용하는 경향이다. 바람직하게는, 도 8G에 나타난 바와 같이, 질화 탄탈과 같은 적당한 장벽층(524)이 주위의 실리콘 및/유전 물질로의 구리의 이동을 방지하기 위해 컨포멀하게(conformally) 처음으로 금속화 패턴에 증착된다. 그 후, 구리(526)는 전도성 구조를 형성하기 위하여 화학 기상 증착, 물리 기상 증착, 전기도금 또는 이들의 결합들 중 하나를 사용하여 증착된다. 구조가 구리 또는 다른 금속으로채워지면, 도 8H에 나타난 바와 같이, 그 표면은 화학적 기계적 연마를 사용하여 평탄화된다.
부착층들의 증착
산화된 디메틸실란층을 금속전 절연층과 금속간 나노포러스 절연층 사이의 부착층으로서 포함하는 이중 다마신 구조는 도 9에 나타난다. 산화된 디메틸실란층(612)은 종래의 PSG 또는 BPSG 층과 같은 금속전 절연층(610)상에 증착되고 그 다음 어닐링된다. 여기에서 설명되듯이, 나노포러스 금속간 절연층(614)은 그 다음 부착층(612)위로 증착된다. 종래의 실리콘 산화물, 질화 실리콘 에치 스탑(616)층은 증착되고 그 다음 비아들을 정의하기 위해 종래의 방법들로 패턴된다. 제 2 나노포러스 금속간 절연층(622)은 이때 패턴된 에치 스탑층 위로 증착되고, 그 다음 인터커넥트 라인들을 정의하기 위해 패턴된다. 단일 에치 프로세스가 이때 인터커넥트들을 에치 스탑층까지 정의하기 위하여 그리고 금속화 이전에 접합부들/비아들을 정의하기 위해 패턴된 에치 스탑층에 의해 노출된 보호되지 않은 유전체를 에칭하기 위해 수행된다.
본 발명에 따른 나노포러스 절연층을 포함하는 바람직한 이중 다마신 구조가 도 10H에 나타나 있고, 그 구조를 만드는 방법은 도 10A-10H에서 순차적으로 간략하게 나타나있는데, 그것은 그 위에 형성된 본 발명의 단계들을 가지는 기판의 단면도들이다.
도 10A에 나타난 바와 같이, 제 1 나노포러스 금속간 유전체(710)는 제작될 기판의 크기에 따라 약 5000에서 약 10000Å의 두께로 기판(712)상에 증착된다. 도10B에 나타난 바와 같이, 바람직하게는 산화된 디메틸실란층인 로우k 부착층(714)은 이때 제 1 나노포러스 금속간 절연층(710)상에 약 50에서 약 200Å의 두께로 증착된다. 종래의 실리콘 산화물 또는 질화 실리콘 에치 스탑(716)은 부착층(714)상에 약 50에서 약 200Å의 두께로 증착된다. 바람직하게는 산화된 디메틸실란층인 두 번째 로우k 부착층(718)은 이때 약 50에서 약 200Å의 두께로 에치 스탑(716)상에 증착된다. 에치 스탑(716) 및 부착층들(714,718)은 이때 접합부/비아 구멍들(720)을 정의하고, 도 10C에 나타난 바와 같이, 접합부/비아들이 형성될 영역들에서 제 1 나노포러스 금속간 절연층(710)을 노출시키기 위해 패턴에치된다. 바람직하게는, 에치 스탑(716)은 종래의 포토리소그래피 및 불소, 탄소 및 산소 이온들을 사용하는 에치 프로세스들을 사용하여 패턴에치된다. 에치 스탑(716)과 부착층들(714,718)이 접합부/비아들을 패턴하기 위해 에치되고 포토레지스트가 제거된 후, 도 10D에서 나타난 바와 같이, 제 2 나노포러스 금속간 절연층(722)이 약 5000에서 약 10000Å의 두께로 제 2 부착층(718)위로 증착된다. 제 2 나노포러스 금속간 절연층(722)은, 바람직하게는 도 10E에 나타나듯이, 포토레지스트층(726)과 함께 종래의 포토리소그래피 프로세스들을 사용하여 이때 인터커넥트 라인들(724)을 정의하기 위해 패턴된다. 인터커넥트들 및 접촉부들/비아들은, 도 10F에서 나타난 금속화 구조(즉, 인터커넥트 및 접합부/비아)를 정의하기 위해 이때 반응성 이온 에칭 또는 다른 이방성 에칭 기술들을 사용하여 에칭된다. 어느 포토레지스트 또는 에치 스탑(716) 또는 제 2 나노포러스 금속간 절연층(722)을 패턴하기 위해 사용된 다른 물질도 산소 스트립(oxygen strip) 또는 다른 적당한 프로세스를 사용하여 제거된다.
금속화 구조는 이때 알루미늄, 구리, 텅스텐 또는 이들의 결합들과 같은 전도성 물질로 형성된다. 현재는 구리의 낮은 전도도(알루미늄의 3.1μΩㆍcm)과 비교하여 1.7μΩㆍcm)으로 인하여 더 작은 특징부(features)를 형성하기 위해 구리를 사용하는 경향이다. 바람직하게는, 도 10G에 나타난 바와 같이, 질화 탄탈과 같은 적당한 장벽층(728)이 주위의 실리콘 및/유전 물질로의 구리의 이동을 방지하기 위해 컨포멀하게(conformally) 처음으로 금속화 패턴에 증착된다. 그 후, 구리는 전도성 구조를 형성하기 위하여 화학 기상 증착, 물리 기상 증착, 전기도금 또는 이들의 결합들 중 하나를 사용하여 증착된다. 구조가 구리 또는 다른 금속으로 채워지면, 도 10H에 나타난 바와 같이, 그 표면은 화학적 기계적 연마를 사용하여 평탄화된다.
본 발명은 뒤따르는 증착된 나노포러스 실리콘 산화물계 막들의 예들에 의해 더 설명된다.
예들
뒤의 예들은 분산된 미세 가스 보이드들을 가지는 나노포러스 실리콘 산화물계 막을 보여준다. 이 예는 화학 기상 증착 챔버, 특히 캘리포니아 산타클라라의 어플라이드 머티리얼즈에 의해 제작되고 판매되는 CENTURA "DLK" 시스템을 사용하여 수행된 것이다.
실리콘 수소화물 그룹들을 가지는 반응성 실리콘 화합물들(가설의)
나노포러스 실리콘 산화물계 막은 1.0Torr의 챔버 압력 및 0℃의 온도에서기화되고 반응기로 흘려 보내지는 다음과 같은 반응성 가스들로부터 증착된다.
1,3,5-트리실레나시클로헥산 125sccm
(50%)의 과산화 수소 1000sccm
헬륨,He 200sccm
기판은 가스 분배 샤워헤드로부터 600mil에 위치하고 반응성 가스들은 2분동안 유입된다. 기판은 이때 나노포러스 실리콘 산화물계 막을 경화 및 어닐링하기 위해 400℃의 온도까지 50℃/min로 기판의 온도를 증가시키면서 10분의 기간동안 가열된다.
열적으로 불안정한 유기 그룹들을 가지는 반응성 실리콘 화합물들(가설의)
나노포러스 실리콘 산화물계 막은 1.0Torr의 챔버 압력 및 0℃의 온도에서 기화되고 반응기로 흘려 보내지는 다음과 같은 반응성 가스들로부터 증착된다.
비스(포르밀옥시실라노)메탄 150sccm
(50%)의 과산화 수소 1000sccm
헬륨,He 200sccm
기판은 가스 분배 샤워헤드로부터 600mil에 위치하고 반응성 가스들은 2분동안 유입된다. 기판은 이때 나노포러스 실리콘 산화물계 막을 경화 및 어닐링하기 위해 400℃의 온도까지 50℃/min로 기판의 온도를 증가시키면서 10분의 기간동안 가열된다.
열적으로 불안정한 유기 그룹들을 가지는 반응성 실리콘 화합물들(가설의)
나노포러스 실리콘 산화물계 막은 1.0Torr의 챔버 압력 및 0℃의 온도에서기화되고 반응기로 흘려 보내지는 다음과 같은 반응성 가스들로부터 증착된다.
비스(그리옥시릴실라노)메탄 150sccm
(50%)의 과산화 수소 1000sccm
헬륨,He 200sccm
기판은 가스 분배 샤워헤드로부터 600mil에 위치하고 반응성 가스들은 2분동안 유입된다. 기판은 이때 나노포러스 실리콘 산화물계 막을 경화 및 어닐링하기 위해 400℃의 온도까지 50℃/min로 기판의 온도를 증가시키면서 10분의 기간동안 가열된다.
반응성 실리콘 함유 성분들 및 추가된 열적으로 불안정한 유기 그룹들(가설의)
나노포러스 실리콘 산화물계 막은 1.0Torr의 챔버 압력 및 0℃의 온도에서 기화되고 반응기로 흘려 보내지는 다음과 같은 반응성 가스들로부터 증착된다.
비스(메틸실라노)메탄 150sccm
글리시드알데히드 50sccm
(50%)의 과산화 수소 1000sccm
헬륨,He 200sccm
기판은 가스 분배 샤워헤드로부터 600mil에 위치하고 반응성 가스들은 2분동안 유입된다. 기판은 이때 나노포러스 실리콘 산화물계 막을 경화 및 어닐링하기 위해 400℃의 온도까지 50℃/min로 기판의 온도를 증가시키면서 10분의 기간동안 가열된다.
반응성 실리콘 함유 성분들 및 추가된 열적으로 불안정한 유기 그룹들(가설의)
나노포러스 실리콘 산화물계 막은 1.0Torr의 챔버 압력 및 0℃의 온도에서 기화되고 반응기로 흘려 보내지는 다음과 같은 반응성 가스들로부터 증착된다.
1,3,5-트리실라나시클로헥산 100sccm
메틸 말레익 무수물 50sccm
(50%)의 과산화 수소 1000sccm
헬륨,He 200sccm
기판은 가스 분배 샤워헤드로부터 600mil에 위치하고 반응성 가스들은 3분동안 유입된다. 기판은 이때 나노포러스 실리콘 산화물계 막을 경화 및 어닐링하기 위해 400℃의 온도까지 50℃/min로 기판의 온도를 증가시키면서 10분의 기간동안 가열된다.
앞에서는 본 발명의 바람직한 실시예들에 관하여 설명되었지만, 그들의 기본 범위를 벗어나지 않고, 본 발명의 실시예들이 고안될 수 있으며, 그 범위는 아래의 청구범위에 의해 결정된다.

Claims (23)

  1. 낮은 유전 상수 막을 증착시키기 위한 방법으로서, 상기 방법은,
    기판 표면상에 과산화 화합물을 증착시키는 단계;
    상기 증착된 과산화 화합물을 실리콘 수소화물 함유 화합물 또는 혼합물과 반응시키는 단계;
    실리콘 산화물계 막을 형성하기 위해 상기 기판을 어닐링(annealing)하는 단계를 포함하며, 이에 의해, 상기 실리콘 산화물계 막에 분산된 보이드들(voids)이 형성되는 것을 특징으로 하는 막 증착방법.
  2. 제 1항에 있어서, 상기 실리콘 수소화물 함유 화합물 또는 혼합물은 포르밀옥시(CH(O)-O-), 글리옥시릴(CH(O)-CO-O-) 또는 포르밀카르보닐디옥시(CH(O)-O-CO-O-) 그룹들을 포함하는 것을 특징으로 하는 막 증착방법.
  3. 제 2항에 있어서, 상기 실리콘 수소화물 함유 화합물 또는 혼합물은 비스(포르밀옥시실라노)메탄, 비스(글리옥시릴실라노)메탄, 비스(포르밀카르보닐디옥시살린)메탄, 2,2-비스(포르밀옥시실라노)프로판, 1,2-비스(포르밀옥시실라노)에탄, 1,2-비스(글리옥시릴실라노)에탄, 그들의 불화된(fluorinated) 가교결합된(bridging) 탄소 유도체들 및 그들의 결합들로 이루어진 그룹으로부터 선택된 화합물을 포함하는 것을 특징으로 하는 막 증착방법.
  4. 제 3항에 있어서, 상기 실리콘 함유 화합물 또는 혼합물은 메틸 말레익 무수물, 3-포르밀옥시-2,5푸란디온, 글리시드알데히드, 옥실라닐글리오살레이트, 디옥실라닐 탄산염, 디옥실라닐 메스옥살레이트 및 글리시딕 무수물로 이루어진 그룹으로부터 선택된 비실리콘 성분을 더 포함하는 것을 특징으로 하는 막 증착방법.
  5. 제 1항에 있어서, 상기 실리콘 함유 화합물 또는 혼합물은,
    실란, 메틸실란, 디메틸실란, 디실라노메탄, 비스(메틸실라노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸실라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라나시클로헥산, 시클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 1,3-디메틸-디실록산, 1,3-비스(실라노메틸렌)-디실록산, 비스(1-메틸디실록사닐)메탄 및 2,2-비스(1-메틸디실록사닐)프로판 및 이들의 불화된 탄소 유도체들로 이루어진 그룹으로부터 선택된 실리콘 화합물 ; 및
    메틸 말레익 무수물, 3-포르밀옥시-2,5-푸란디온, 글리시드알데히드, 옥실라닐글리옥살레이트, 디옥실라닐 탄산염, 디옥실라닐 메스옥살레이트 및 글리시딕 무수물로 이루어진 그룹에서 선택된 비실리콘을 포함하는 것을 특징으로 하는 막 증착방법.
  6. 제 1항에 있어서, 상기 실리콘 함유 화합물 또는 혼합물은 1,3,5-트리실라나시클로헥산, 시클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 비스(포르밀옥시실라노)메탄 또는 비스(글리옥시릴-실라노)메탄 또는 이들의 불화된 가교결합된 탄소 유도체들을 포함하는 것을 특징으로 하는 막 증착방법.
  7. 제 1항에 있어서, 상기 분산된 보이드들은 상기 기판을 적어도 400℃의 최종 온도까지 점진적으로 상승시키는 온도 프로파일로 어닐링함으로써 형성되는 것을 특징으로 하는 막 증착방법.
  8. 제 1항에 있어서, 상기 분산된 보이드들은 증착된 과산화 화합물을 비평면 링 구조를 가지는 실리콘 수소화물 함유 화합물 또는 혼합물과 반응시킴으로써 형성되는 것을 특징으로 하는 막 증착방법.
  9. 낮은 유전 상수 막을 기판상의 패턴된 금속층상에 증착시키기 위한 프로세스로서, 상기 프로세스는,
    하나 이상의 반응성 실리콘 함유 화합물들 및 산화 가스를 포함하는 프로세스 가스들로부터 컨포멀 라이닝(conformal lining)층을 상기 패턴된 금속층상에 증착시키는 단계;
    상기 컨포멀 라이닝층상에 과산화 화합물을 증착시키는 단계;
    상기 증착된 과산화 화합물을 실리콘 수소화물 함유 성분과 반응시키는 단계; 및
    실리콘 산화물계 막을 형성시키기 위해 상기 기판을 어닐링하는 단계를 포함하고, 이에 의해 분산된 보이드들이 상기 실리콘 산화물계 막에 형성되는 것을 특징으로 하는 막증착 프로세스.
  10. 제 9항에 있어서, 상기 실리콘 수소화물 함유 화합물 또는 혼합물은 비스(포르밀옥시실라노)메탄, 비스(글리옥시릴실라노)메탄, 비스(포르밀카르보닐디옥시실라노)메탄, 2,2-비스(포르밀옥시-실라노)프로판, 1,2-비스(포르밀옥시실라노)에탄, 및 1,2-비스(글리옥시릴실라노에탄)을 포함하는 그룹으로부터 선택된 화합물, 그 불화된 가교결합 탄소 유도체들 및 그 결합들을 포함하는 것을 특징으로 하는 막 증착 프로세스.
  11. 제 9항에 있어서, 상기 실리콘 수소화물 함유 화합물 또는 혼합물은 메틸 말레익 무수물, 3-포르밀옥시-2,5-푸란디온, 글리시드알데히드, 옥실라닐글리옥살레이트, 디옥실라닐 탄산염, 디옥실라닐 메스옥살레이트, 및 글리시딕 무수물을 포함하는 그룹으로부터 선택된 비실리콘 성분을 더 포함하는 것을 특징으로 하는 막 증착 프로세스.
  12. 제 9항에 있어서, 상기 실리콘 수소화물 함유 화합물 또는 혼합물은,
    실란, 메틸실란, 디메틸실란, 디실라노메탄, 비스(메틸실라노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸실라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라나시클로헥산, 시클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 1,3-디메틸디실록산, 1,3-비스(실라노메틸렌)-디실록산, 비스(1-메틸디실록사닐)메탄 및 2,2-비스(1-메틸디실록사닐)프로판을 포함하는 그룹에서 선택된 화합물 및 그 불화된 탄소 유도체들 및
    메틸 말레익 무수물, 3-포르밀옥시-2,5-푸란디온, 글리시드알데히드, 옥실라닐글리옥살레이트, 디옥실라닐 탄산염, 디옥실라닐 메스옥살레이트 및 글리시딕 무수물로 이루어진 그룹으로부터 선택된 비실리콘 성분을 포함하는 것을 특징으로 하는 막 증착 프로세스.
  13. 제 9항에 있어서, 상기 실리콘 산화물계 막상에, 상기 하나 이상의 반응성 실리콘 함유 성분들 및 상기 산화 가스로부터, 캡층(capping layer)을 증착시키는 단계를 더 포함하는 것을 특징으로 하는 막 증착 프로세스.
  14. 제 9항에 있어서, 상기 실리콘 수소화물 함유 화합물 또는 혼합물은 1,3,5-트리실라나시클로헥산, 시클로-1,3,5,7-테트라실란-2,6-디옥시-4,8-디메틸렌, 비스(포르밀옥시실라노)메탄, 비스(글리옥시릴실라노)메탄 또는 그 불화된 가교결합 탄소 유도체들을 포함하는 것을 특징으로 하는 막 증착 프로세스.
  15. 제 9항에 있어서, 상기 분산된 보이드들은, 적어도 400℃의 최종 온도까지 점진적으로 증가시키는 온도 프로파일을 사용하여 상기 기판을 어닐링함으로써 형성되는 것을 특징으로 하는 막 증착 프로세스.
  16. 제 9항에 있어서, 상기 분산된 보이드들은 상기 증착된 과산화 화합물을 비평면 링 구조를 포함하는 실리콘 수소화물 함유 화합물 또는 혼합물과 반응시키는 것을 특징으로 하는 막 증착 프로세스.
  17. 기판 프로세싱 시스템으로서, 상기 시스템은,
    진공 시스템 및 기판 홀더에 인접한 반응 영역을 포함하는 반응기;
    상기 반응 영역을 하나 이상의 기체 또는 액체 반응물들의 공급원에 연결하는 가스 분배 시스템;
    상기 반응기와 상기 가스 분배 시스템을 제어하기 위한 컴퓨터를 포함하는 제어기; 및
    상기 제어기에 연결된 메모리를 포함하고,
    상기 메모리는 컴퓨터 사용가능 매체를 포함하며, 상기 컴퓨터 사용가능매체는 과산화 화합물을 기판의 표면상에 증착시키는 단계, 상기 증착된 과산화 화합물을 실리콘 수소화물 함유 화합물 또는 혼합물과 반응시키는 단계 및 실리콘 산화물계 막을 형성하기 위해 상기 기판을 어닐링하는 단계를 포함하고, 그것에 의해 분산된 보이드들이 상기 실리콘 산화물계 막에 형성되도록 하는 프로세스를 선택하기 위한 컴퓨터 판독 가능 프로그램 코드를 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  18. 제 17항에 있어서, 상기 시스템은 이중 다마신(damascene) 구조를 증착시키기 위한 컴퓨터 판독가능 프로그램 코드를 더 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  19. 기판 프로세싱 시스템으로서, 상기 시스템은,
    진공 시스템 및 기판 홀더에 인접하는 반응 영역을 포함하는 반응기;
    상기 반응 영역을 하나 이상의 기체 또는 액체 반응물들의 공급원에 연결시키는 가스 분배 시스템;
    상기 반응기와 상기 가스 분배 시스템을 제어하기 위한 컴퓨터를 포함하는 제어기;
    상기 제어기에 연결된 메모리를 포함하고, 상기 메모리는,
    하나 이상의 반응성 실리콘 수소화물 함유 화합물들 및 산화 가스를 포함하는 프로세스 가스들로부터, 기판상의 패턴된 금속층상에 컨포멀 라이닝층을 증착시키는 단계;
    상기 컨포멀 라이닝층상에 과산화 화합물을 증착시키는 단계;
    상기 증착된 과산화 화합물을 실리콘 수소화물 함유 화합물 또는 혼합물과 반응시키는 단계; 및
    실리콘 산화물계 막을 형성하기 위해 상기 기판을 어닐링하는 단계를 포함하고, 그에 의해 분산된 보이드들이 상기 실리콘 산화물계 막에 형성되는 프로세스를 선택하기 위한 컴퓨터 판독가능 프로그램 코드를 포함하는 컴퓨터 사용가능 매체를포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  20. 제 19항에 있어서, 상기 시스템은 상기 반응성 실리콘 수소화물 함유 화합물들 및 산화 가스를 포함하는 프로세스 가스로부터 상기 실리콘 산화물계 막상에 캡층을 증착시키기 위한 컴퓨터 판독가능 프로그램 코드를 더 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  21. 이중 다마신 구조를 형성하는 방법으로서, 상기 방법은,
    제 1 나노포러스 실리콘 산화물계막을 기판상에 증착시키는 단계;
    로우k 에치 스탑층을 상기 제 1 실리콘 산화물계막상에 증착시키는 단계;
    상기 제 1 실리콘 산화물계막을 노출시키는 수직 인터커넥트 개구를 형성하기 위해 로우k 에치 스탑층을 에칭하는 단계;
    상기 로우k 에치 스탑층 및 상기 노출된 제 1 실리콘 산화물계막상에 제 2 나노포러스 실리콘 산화물계막을 증착시키는 단계;
    상기 로우k 에치 스탑층에 있는 상기 수직 인터커넥트 개구를 노출하는 수평 인터커넥트를 형성하기 위해 상기 제 2 나노포러스 실리콘 산화물계 막을 에칭하는 단계; 및
    수직 인터커넥트를 형성하기 위해 상기 수직 인터커넥트 개구를 통해 상기 제 1 나노포러스 실리콘 산화물계 막을 에칭하는 단계를 포함하는 것을 특징으로 하는 이중 다마신 구조 형성 방법.
  22. 제 21항에 있어서, 상기 제 1 및 상기 제 2 실리콘 산화물계 막들은 적어도 400℃의 최종 온도까지 점진적으로 증가시키는 온도 프로파일을 사용하여 상기 기판을 어닐링함으로써 형성된 분산된 미세 보이드들을 포함하는 것을 특징으로 하는 이중 다마신 구조 형성 방법.
  23. 제 21항에 있어서, 상기 제 1 및 상기 제 2 실리콘 산화물계 막들은 증착된 과산화 화합물을 비평면 링구조를 포함하는 실리콘 수소화물 함유 화합물 또는 혼합물과 반응시킴으로써 형성된 분산된 미세 보이드들을 포함하는 것을 특징으로 하는 이중 다마신 구조 형성 방법.
KR1020017005022A 1998-10-22 1999-10-21 Cvd 나노포러스 실리카 저유전상수 막 KR100696035B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/177,044 US6171945B1 (en) 1998-10-22 1998-10-22 CVD nanoporous silica low dielectric constant films
US09/177,044 1998-10-22

Publications (2)

Publication Number Publication Date
KR20010080287A true KR20010080287A (ko) 2001-08-22
KR100696035B1 KR100696035B1 (ko) 2007-03-15

Family

ID=22646954

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017005022A KR100696035B1 (ko) 1998-10-22 1999-10-21 Cvd 나노포러스 실리카 저유전상수 막

Country Status (6)

Country Link
US (1) US6171945B1 (ko)
EP (1) EP1131846A1 (ko)
JP (1) JP4558206B2 (ko)
KR (1) KR100696035B1 (ko)
TW (1) TW525249B (ko)
WO (1) WO2000024050A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100839260B1 (ko) * 2003-11-06 2008-06-17 클리어 쉐이프 테크날러지즈, 인크. Ic 제작의 델타-형태 타이밍 예측
KR100855277B1 (ko) * 2007-07-27 2008-09-01 주식회사 하이닉스반도체 저유전막 형성 방법

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7123216B1 (en) * 1994-05-05 2006-10-17 Idc, Llc Photonic MEMS and structures
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films
TW413848B (en) 1998-01-10 2000-12-01 Tokyo Electron Ltd Semiconductor device with insulation film made of fluorine added-carbon film and method of manufacturing the same
JP2921759B1 (ja) * 1998-03-31 1999-07-19 株式会社半導体理工学研究センター 半導体装置の製造方法
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
WO1999052006A2 (en) * 1998-04-08 1999-10-14 Etalon, Inc. Interferometric modulation of radiation
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP2000164716A (ja) * 1998-11-26 2000-06-16 Seiko Epson Corp 半導体装置及びその製造方法
DE19854803A1 (de) * 1998-11-27 2000-05-31 Bosch Gmbh Robert Verfahren zur Herstellung einer lokal verstärkten metallischen Mikrostruktur
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
KR100283485B1 (ko) * 1998-12-29 2001-11-02 한신혁 반도체 소자의 평탄화 방법
JP2000269204A (ja) * 1999-01-13 2000-09-29 Hitachi Chem Co Ltd 半導体装置
US6319737B1 (en) * 1999-08-10 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for characterizing a semiconductor device
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
WO2003007049A1 (en) 1999-10-05 2003-01-23 Iridigm Display Corporation Photonic mems and structures
US6890640B2 (en) * 1999-12-03 2005-05-10 Caterpillar Inc Patterned hydrophilic-oleophilic metal oxide coating and method of forming
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
KR100586133B1 (ko) * 2000-10-25 2006-06-07 인터내셔널 비지네스 머신즈 코포레이션 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6458218B1 (en) * 2001-01-16 2002-10-01 Linamar Corporation Deposition and thermal diffusion of borides and carbides of refractory metals
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
WO2003005438A2 (en) * 2001-07-02 2003-01-16 Dow Corning Corporation Improved metal barrier behavior by sic:h deposition on porous materials
AU2002323040A1 (en) * 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
DE10146146B4 (de) 2001-09-19 2004-02-05 Infineon Technologies Ag Verfahren zur elektrischen Isolation nebeneinander liegender metallischer Leiterbahnen und Halbleiterbauelement mit voneinander isolierten metallischen Leiterbahnen
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
EP1529310A4 (en) * 2001-12-13 2009-06-10 Ibm POROUS INTERCONNECT STRUCTURES WITH LOW DIELECTRIC CONSTANT
US6933586B2 (en) 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6574033B1 (en) 2002-02-27 2003-06-03 Iridigm Display Corporation Microelectromechanical systems device and method for fabricating same
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US6989897B2 (en) * 2002-06-12 2006-01-24 Intel Corporation Metal coated nanocrystalline silicon as an active surface enhanced Raman spectroscopy (SERS) substrate
US7361313B2 (en) * 2003-02-18 2008-04-22 Intel Corporation Methods for uniform metal impregnation into a nanoporous material
US6970239B2 (en) * 2002-06-12 2005-11-29 Intel Corporation Metal coated nanocrystalline silicon as an active surface enhanced Raman spectroscopy (SERS) substrate
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
US6806185B2 (en) 2002-09-19 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
US7781850B2 (en) * 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US6818966B2 (en) * 2002-09-20 2004-11-16 Texas Instruments Incorporated Method and structure for controlling surface properties of dielectric layers in a thin film component for improved trimming
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film
US7138158B2 (en) * 2003-02-28 2006-11-21 Intel Corporation Forming a dielectric layer using a hydrocarbon-containing precursor
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US6806542B1 (en) * 2003-06-30 2004-10-19 Motorola, Inc. Electronic device having a filled dielectric medium
KR100510915B1 (ko) * 2003-07-18 2005-08-26 매그나칩 반도체 유한회사 반도체 소자의 절연막 형성 방법
TW200506479A (en) * 2003-08-15 2005-02-16 Prime View Int Co Ltd Color changeable pixel for an interference display
US7196016B2 (en) * 2003-09-29 2007-03-27 Hitachi Global Storage Technologies Netherlands, B.V. Fabrication process for preparing recording head sliders made from silicon substrates with SiO2 overcoats
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7476327B2 (en) * 2004-05-04 2009-01-13 Idc, Llc Method of manufacture for microelectromechanical devices
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
TWI233916B (en) * 2004-07-09 2005-06-11 Prime View Int Co Ltd A structure of a micro electro mechanical system
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
KR101354520B1 (ko) * 2004-07-29 2014-01-21 퀄컴 엠이엠에스 테크놀로지스, 인크. 간섭 변조기의 미소기전 동작을 위한 시스템 및 방법
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7327510B2 (en) * 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US7369296B2 (en) * 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US7321456B2 (en) * 2004-09-27 2008-01-22 Idc, Llc Method and device for corner interferometric modulation
US7554714B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Device and method for manipulation of thermal response in a modulator
US7373026B2 (en) * 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7719500B2 (en) * 2004-09-27 2010-05-18 Qualcomm Mems Technologies, Inc. Reflective display pixels arranged in non-rectangular arrays
US7420725B2 (en) 2004-09-27 2008-09-02 Idc, Llc Device having a conductive light absorbing mask and method for fabricating same
US7304784B2 (en) * 2004-09-27 2007-12-04 Idc, Llc Reflective display device having viewable display on both sides
US7564612B2 (en) * 2004-09-27 2009-07-21 Idc, Llc Photonic MEMS and structures
US7893919B2 (en) * 2004-09-27 2011-02-22 Qualcomm Mems Technologies, Inc. Display region architectures
US7289259B2 (en) 2004-09-27 2007-10-30 Idc, Llc Conductive bus structure for interferometric modulator array
US7527995B2 (en) * 2004-09-27 2009-05-05 Qualcomm Mems Technologies, Inc. Method of making prestructure for MEMS systems
US7944599B2 (en) 2004-09-27 2011-05-17 Qualcomm Mems Technologies, Inc. Electromechanical device with optical function separated from mechanical and electrical function
US8008736B2 (en) * 2004-09-27 2011-08-30 Qualcomm Mems Technologies, Inc. Analog interferometric modulator device
US7936497B2 (en) * 2004-09-27 2011-05-03 Qualcomm Mems Technologies, Inc. MEMS device having deformable membrane characterized by mechanical persistence
US7372613B2 (en) 2004-09-27 2008-05-13 Idc, Llc Method and device for multistate interferometric light modulation
US7630119B2 (en) * 2004-09-27 2009-12-08 Qualcomm Mems Technologies, Inc. Apparatus and method for reducing slippage between structures in an interferometric modulator
US7302157B2 (en) * 2004-09-27 2007-11-27 Idc, Llc System and method for multi-level brightness in interferometric modulation
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20070299176A1 (en) * 2005-01-28 2007-12-27 Markley Thomas J Photodefinable low dielectric constant material and method for making and using same
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
EP2495212A3 (en) * 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
JP2009509786A (ja) 2005-09-30 2009-03-12 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems装置及びmems装置における相互接続
US7916980B2 (en) * 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7652814B2 (en) 2006-01-27 2010-01-26 Qualcomm Mems Technologies, Inc. MEMS device with integrated optical element
US7550810B2 (en) * 2006-02-23 2009-06-23 Qualcomm Mems Technologies, Inc. MEMS device having a layer movable at asymmetric rates
US7643203B2 (en) * 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7369292B2 (en) * 2006-05-03 2008-05-06 Qualcomm Mems Technologies, Inc. Electrode and interconnect materials for MEMS devices
US7649671B2 (en) * 2006-06-01 2010-01-19 Qualcomm Mems Technologies, Inc. Analog interferometric modulator device with electrostatic actuation and release
US7471442B2 (en) * 2006-06-15 2008-12-30 Qualcomm Mems Technologies, Inc. Method and apparatus for low range bit depth enhancements for MEMS display architectures
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7835061B2 (en) * 2006-06-28 2010-11-16 Qualcomm Mems Technologies, Inc. Support structures for free-standing electromechanical devices
US7385744B2 (en) * 2006-06-28 2008-06-10 Qualcomm Mems Technologies, Inc. Support structure for free-standing MEMS device and methods for forming the same
US7527998B2 (en) 2006-06-30 2009-05-05 Qualcomm Mems Technologies, Inc. Method of manufacturing MEMS devices providing air gap control
US20080012074A1 (en) * 2006-07-14 2008-01-17 Air Products And Chemicals, Inc. Low Temperature Sol-Gel Silicates As Dielectrics or Planarization Layers For Thin Film Transistors
US20080043315A1 (en) * 2006-08-15 2008-02-21 Cummings William J High profile contacts for microelectromechanical systems
JP4799332B2 (ja) * 2006-09-12 2011-10-26 株式会社東芝 エッチング液、エッチング方法および電子部品の製造方法
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7733552B2 (en) * 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080264672A1 (en) * 2007-04-26 2008-10-30 Air Products And Chemicals, Inc. Photoimprintable Low Dielectric Constant Material and Method for Making and Using Same
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
CN101070403B (zh) * 2007-06-07 2010-05-19 聊城华塑工业有限公司 一种吹塑薄膜界面分子膜及其生产工艺
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US7570415B2 (en) * 2007-08-07 2009-08-04 Qualcomm Mems Technologies, Inc. MEMS device and interconnects for same
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US7944604B2 (en) 2008-03-07 2011-05-17 Qualcomm Mems Technologies, Inc. Interferometric modulator in transmission mode
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
CN102652351B (zh) * 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
EP2556403A1 (en) 2010-04-09 2013-02-13 Qualcomm Mems Technologies, Inc. Mechanical layer of an electromechanical device and methods of forming the same
US9134527B2 (en) 2011-04-04 2015-09-15 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US8963159B2 (en) 2011-04-04 2015-02-24 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
CN102350825B (zh) * 2011-05-30 2014-03-26 周涛 水热法制备含氟高聚物高频线路板材料的工艺方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9130019B2 (en) * 2014-01-08 2015-09-08 Globalfoundries Inc. Formation of carbon-rich contact liner material
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9922818B2 (en) 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US10068945B2 (en) * 2015-09-30 2018-09-04 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure integrated with magnetic tunneling junction and manufacturing method thereof
KR102271768B1 (ko) * 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 어닐링을 사용하는 갭충전
EP3676417A4 (en) 2017-08-30 2021-06-02 Versum Materials US, LLC ALCOXYSILACYCLIC OR ACYLOXYSILACYCLIC COMPOUNDS AND METHOD FOR DEPOSITING LAYERS THEREOF
US20190134663A1 (en) 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
KR20220061162A (ko) 2019-09-13 2022-05-12 버슘머트리얼즈 유에스, 엘엘씨 모노알콕시실란 및 이로부터 제조된 고밀도 오가노실리카 필름

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
JPH0795548B2 (ja) * 1992-09-10 1995-10-11 アプライド マテリアルズ インコーポレイテッド 二酸化珪素膜の気相成長法
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5834845A (en) 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH09246375A (ja) * 1996-03-07 1997-09-19 Hitachi Ltd 配線形成方法および半導体装置の製造方法
US5849644A (en) * 1996-08-13 1998-12-15 Micron Technology, Inc. Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
JPH1092808A (ja) * 1996-09-11 1998-04-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JPH10116904A (ja) * 1996-10-11 1998-05-06 Sony Corp 半導体装置の製造方法
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5985770A (en) * 1997-08-21 1999-11-16 Micron Technology, Inc. Method of depositing silicon oxides
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6001747A (en) * 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100839260B1 (ko) * 2003-11-06 2008-06-17 클리어 쉐이프 테크날러지즈, 인크. Ic 제작의 델타-형태 타이밍 예측
KR100855277B1 (ko) * 2007-07-27 2008-09-01 주식회사 하이닉스반도체 저유전막 형성 방법

Also Published As

Publication number Publication date
TW525249B (en) 2003-03-21
JP2002528893A (ja) 2002-09-03
JP4558206B2 (ja) 2010-10-06
KR100696035B1 (ko) 2007-03-15
WO2000024050A1 (en) 2000-04-27
US6171945B1 (en) 2001-01-09
EP1131846A1 (en) 2001-09-12

Similar Documents

Publication Publication Date Title
KR100696035B1 (ko) Cvd 나노포러스 실리카 저유전상수 막
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
EP1055012B1 (en) Plasma processes for depositing low dielectric constant films
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
US6287990B1 (en) CVD plasma assisted low dielectric constant films
US6800571B2 (en) CVD plasma assisted low dielectric constant films
JP5090430B2 (ja) 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造
US7074708B2 (en) Method of decreasing the k value in sioc layer deposited by chemical vapor deposition
EP1148539A2 (en) Method of depositing low K films using an oxidizing plasma
KR20010069210A (ko) 낮은 수치의 절연 상수를 갖는 필름을 증착하기 위한 방법
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee