TW525249B - CVD nanoporous silica low dielectric constant films - Google Patents

CVD nanoporous silica low dielectric constant films Download PDF

Info

Publication number
TW525249B
TW525249B TW088115438A TW88115438A TW525249B TW 525249 B TW525249 B TW 525249B TW 088115438 A TW088115438 A TW 088115438A TW 88115438 A TW88115438 A TW 88115438A TW 525249 B TW525249 B TW 525249B
Authority
TW
Taiwan
Prior art keywords
bis
silicon
scope
layer
patent application
Prior art date
Application number
TW088115438A
Other languages
English (en)
Inventor
Robert P Mandal
David Cheung
Wai-Fan Yau
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW525249B publication Critical patent/TW525249B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

經濟部智慧財產局員工消費合作社印製 525249 五、發明説明( 發 J§ 本發明係關於積體電路之製造,特 介電層之製程和裝置。 力]疋在基材上沉積 以化:導體7^件製造中一項主要的步驟為在基材上 ☆予礼姐反應形成金屬和介電層薄膜。此類沉積製程又 私作化學氣相沉積或CVD。傳統之熱傳cv 應性氣體到基材表面上並產生熱感化學反應並得= 《薄挺。某些熱傳CVD製程中的高溫操作可能將先前基 材上所形成之元件鍍層㈣。在較低溫沉積金屬和介電層 薄膜之較佳的方法為電漿增強cvd(pecvd)技術,例如二 述於美國專利第5,362,526號中,其名稱為”plasma_ Enhanced CVD Process Using TEOS for Depositing Silicon 〇Xlde ,在此則列為參考文件。應用射頻(RF)能量於靠近 基材表面之反應區的電漿增強CVD技術提高了反應氣體 《激發和/或解離’因而產生了高度反應性離子電漿。由於 此釋出離子之高度反應性可降低發生化學反應所需之能 τ,因而也使得pECVD製程所需之溫度也降低了。 半導體元件幾何形狀之大小比幾十年前剛出來的尺 寸明顯減小許多。從那時候起,積體電路就依循著兩年/ /、剩半尺寸之規則(通常稱之為Moore’s定律),意謂著 每兩年一個晶片上的元件數目為倍數成長。今日的製造廠 已經能生產具有0·35μηι甚至〇.18μΓη大小之元件,且可預 第5頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(no'〆297公釐) (請先聞讀背面之注意事項再填寫本頁)
525249 經濟部智慧財產局員工消費合作杜印製 A7 B7 五、發明説明() 期的是未來將會生產更小尺寸之元件。 為了更進一步降低積體電路尺寸,因此需要使用具有 低阻值之導電材料和低k(介電常數<4 〇)之絕緣體以降低 鄰近金屬線之間的電容耦合。線狀/阻障層已經在導電材料 和絕緣體之間使用,以避免例如溼氣之副產物擴散到導電 材料上,如國際公開號碼W〇 94/〇 1 885中所描述的。例如, 在形成低k絕緣體時所產生的溼氣很容易擴散到導電金屬 的表面而增加導電金屬表面的阻抗。由傳統氧化矽或氮化 矽材料所形成之阻障/線狀層可阻擋副產品之擴散。然而, 阻障/線狀層之介電常數一般要超過4.0,而此高介電常數 和絕緣體之組合並不能有效的降低介電常數。 第1A圖例舉了在國際公開號碼w〇 94/〇 1 885中所描 述的以PEC VD製程來沉積阻障/線狀層。pEC VD ,製程沉積 了多層的不同介電層,首先在形成於基材4之上的圖案化 金屬層的金屬線3上沉積一層二氧化矽(Si〇2)線狀層2。 線狀層2係由矽甲烷(siH4)和一氧化二氮(N2〇)於3〇〇它時 以電壓增強反應沉積而成。接著於線狀層2之上則以碎甲 烷7L素和過氧化物元素反應而沉積一層可自我平坦化之 低k介電層5。可|我平坦化之介電層5中的水氣則在固 化(cure)時移除。線狀層2為氧化的矽甲烷薄膜且當其沉 ^成介電常數至少在4.5以上時則具有效的阻障特性。此 一 的夕甲$元薄膜之介電常數可由減少薄膜之澄氣阻障 性質的製程參數改變而降低到約4.丨左右。傳統的線狀 層’例如氮化矽(SiN),甚至有更高的介電常數,而低k (請先閲讀背面之注意事項再填寫本頁)
第6頁 A4規格(210X297公釐) 525249 五、發明説明( 1 % ^數和此向k介電常數線狀層之組合對於整個堆疊之 介電常數和電容耦合的改善只能提供些許幫助,甚至亳無 幫助。 、 如第1B圖中所示的,wo 94/〇 1 885更進一步的描述 了選擇性的二氧化矽(si〇2)遮蓋層6由矽甲烷和一氧化二 亂(Nw)反應而沉積於低k介電層5之上。此遮蓋層6亦 氧化的矽甲烷薄膜且當其沉積之介電常數約為4 · 5左右 時則具極佳的阻障特性。線狀層2和遮蓋層6兩者都具有 大於4.5之介電常數且此高介電常數層都會減少低k介電 層 5 優勢。 經濟部智慧財產局員工消費合作社印製 當元件變得更小時,則具有高介電常數之線狀和遮蓋 層對多層介電層結構之整個介電常數佔有更大的比例。再 者,已知的低k介電材料一般具有低的氧化物成分,在介 層洞及/或内連線蝕刻時並不適合做為蝕刻中止層。氮化矽 已為低k介電材料中做内連線之中止層材料選項之一。然 而和周圍《低k介電層比較起來,氮化碎具有相當高的 ;丨電常數(介電常數約為7左右)。同時氮化矽也會增加内 連線之間的電容耦合,即便另一種低k介電材料作為主要 的絕緣層。如此則會產生串擾訊號及/或阻抗_電容(rc)延 遲而使元件之整體效能退化。因,匕,在底層之介電胸 完成之後,通常會將氮化矽中止層移除。 低k介電層具有良好的阻障特性以做為線性層,並有 足夠的氧化物含量以做為蝕刻中止層兩種特性,並且可在 相同的反應室中於存在的低k介電材料中加以識別及沉 525249
發明説明( 積。此阻障層不會增加介電層 層 < 正個介電常數,且此蝕刻 中止層不用在底層蝕刻後移除。 美國專利第5,554,570號护、7、,+ 虎描述了以熱傳CVD氧化矽所 形成的阻障層,其中將且有Γ订 、 、 、, 浒,、百C_H群夂有機矽烷氧化而非矽 火元化’以增加沉積薄膜之参声 <在度並改善鍍層之間的黏附性。 例如,由四乙氧基矽酸鹽&
, 年土 /敗lUbOS)和臭氧所產生之熱傳CVD
層,可 >儿知於由有機梦燒和N J 氧〇2所屋生之PECVD氧 化矽薄膜之間。 描述於,570 #利中的阻障層最好是具有低碳含量之 =密度氧切層。隸較低頻率之射頻(rf)功率可改善薄 膜之應力,此大密度鍍層仍利㈤4〇〇w之高頻率射頻(rf) 功率加以沉積。阻障層最好由燒氧基秒燒或氯化燒基秒燒 和N2o來tit ’以降低碳含量並增加鑛層之密度。 ,570專利並沒有揭露製造具低介電常數之阻障層或 製造具高含氧量之蝕刻中止層的製程條件。而且,57〇之專 利並沒有利用所描述之鍍層_為鄰近^介電層之阻障層 或作為一 |虫刻中止層。 在次微米之元件中,仍然需要具有低介電常數,良好 《阻障特性,及高含氧量之介電層作為阻障層或蝕刻中止 層0 曼JO的及棒祕: 請 先 聞 讀 背 Ϊ& 之 注 意 事 項 再 訂 經濟部智慧財產局員工消費合作社印製 本發明提供了可沉積具低介電常數之微細孔洞氧化 矽薄膜的方法和裝置。該微細孔洞氧化矽薄膜層係藉由沉
經濟部智慧財產局員工消費合作社印製 525249 、發明説明( 積-層内切/氧的物質而產生 * 〃 一步本右抖片丁、 各參/氧的物質可進 有對熱不安定的有機基團,, 物% …氧物質的退火情況,可於該氧化二=沉積之内: 微細氣泡。控制氣泡對該氧切層心:二分散均勻的 可提供低介電常數之緊密的泡狀細胞:、積:便維持: 藉由化學氣相沉積法將過氧化物冷::構::表氧物質: 所沉積之過氧化物與|材表面,並乘 人tr 安定的有機基團係位於該具反應性化 二氧,、混合物中時,該對熱不安定的有機基團中含足量 w在乳化矽層退火時轉變為氣態產物。 内含氫切且可在經控制的退火情況下形成微細孔 /氣切薄膜層之反應性化合物包括錢、甲基錢、二 二甲烷、雙(甲基矽)甲烷、三矽環己烷、環-IW-^矽-2,6-二氧_4,8_二亞甲基、丨,3_雙(矽亞甲基)矽氧烷及 ,=二矽四氟乙烷,及其之混合物。因U3,5_三矽環己烷 及^-1,3,5,7-四矽_2,6_二氧_4,8_二亞曱基本身非平面的環 狀結構’因此可提高孔洞形成的機會。 内含硬及對熱不安定之有機基團的反應性化合物或 /此口物包括雙(甲醯氧基矽)甲烷、雙(乙醛醯基矽)甲烷、 又(甲酸羰基二氧基矽)甲烷、2,2-雙(甲醯氧基矽)丙烷、 1,2-雙(曱醯氧基矽)乙烷、1,2-雙(乙醛醯基矽)乙烷及其之 此合物。這類化合物與過氧化氫反應後可形成一種内含石夕 /氧之凝膠狀物質,其内仍保留有許多對熱不安定的有機基 81 °將該反應性化合物與不含矽組成物混合物混合後可增 C請先閱讀背面之注意事項存填寫本頁)
經濟部智慧財產局員工消費合作社印製 發明説明() 2統的姓刻中止層上。此氧切層也可沉積做為薄的黏著 、本發明所描述之特徵,優點和目的經由上述之發明 概述’下面詳細的發明說明’並參考附圖所例舉之實施例 之後’將更明瞭其細節。 、另外,附圖所例舉的只為本發明之典型實施例而 已,並非本發明之限制條件,對於其它等效之實施例亦包 含於本發明中。其中: 第1A-1B圖(先前技術)為習知的製程中於基材上沉積介電 層之圖示; 第2圖為本發明中CVD電漿反應室結構例之截面視圖; 第3圖為第2圖之CVD電漿反應室之系統監控圖示; 第4圖為第2圖所例舉之CVD電漿反應室的製程控制電 腦程式產品流程圖; 第5圖為依照本發明之一實施例在孔洞充填過程中沉積線 狀和遮蓋層之步驟的流程圖; 第6A-6E圖為以第5圖程序於基材上沉積鍍層之圖示; 第7圖為包含本發明之氧化矽層雙重鑲嵌結構的截面視 圃, 第8Α-8Η圖為本發明之雙重鑲嵌沉積順序實施例的截面 視圖; 第9圖為前金屬介電層和内金屬介電層之間,包含本發明 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ...........·.........、可.........^9 (請先閲讀背面之注意事項再場寫本頁) 525249 A7 B7 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 之氧化矽層黏著層的截面視圖;及 第1 0A-1 0H圖為雙重鑲嵌沉積順序中,以本發明氧化矽做 為黏著内金屬介電薄膜到傳統之蝕刻中止層的截 面視圖。 為了要更加瞭解本發明,則可參考後面之詳細說明。 圖號#照說明: 10 反應室 11 氣體分散噴氣頭 12 晶座 13 支撐架 14 升降馬達 15 高真空區 17 絕緣體 18 氣體線 19 混合系統 24 噴氣孔 25 RF電源供應器 32 真空幫浦 34 系統控制器 36 控制線 38 記憶體 40 CRT螢幕 42 螢幕 44 光筆 200 放置晶圓 205 沉積線狀層 210 沉積缝隙充填層 215 沉積遮蓋層 220 移除晶圓 300 PECVD線狀層 306 > 3 0 8、3 1 0 金屬線 304 基材表面 420 製程選擇器 430 製程序列器 440 反應室管理 450 晶座控制 460 製程氣體控制 470 壓力控制 480 加熱器控制 490 電漿控制 經濟部智慧財產局員工消費合作社印製 第12頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 525249 A7 B7 五、發明説明() 5 10 介電層 512 基材 514 中止層 516 介層洞開口 518 弟一*彳政細孔洞介電層 520 内連線 522 光阻層 524 阻障層 526 銅 610 前金屬介電層 612 黏著層 614、 622、71 〇 微細孔洞内金屬介電層 616 蝕刻層 620 介層洞 712 基材 714、 718 低k黏著廣 716 蝕刻中止層 720 介層洞開口 722 弟二微細孔洞内金屬介電層 724 内連線 728 阻障芦 (請先閱讀背面之注意事項再填寫本貢)
1T 經濟部智慧財產局員工消費合作社印製 發明詳細說明: 本發明提供了沉積具有低介電常數之該微細孔洞氧 化矽層之方法和裝置。該微細孔洞氧化矽薄膜層係藉由沉 積一層内含碎/氧的物質而產生’該内含矽/氧的物質可選 擇性的含有對熱不安定的有機基圈,藉由控制所沉積之內 含矽/氧物質的退火情況,可於該氧化矽 /嘈上形成分散均 的微細氣泡。控制氣泡對該氧化矽層的 相對體積以便%社 一可提供低介電常數之緊密的泡狀細胞紝 文、准持 氧化矽層將具有3以下之介電常數值。4减細孔洞 有機矽烷或有機矽氧烷化合物— 城 又來說具如下 > 级 構: 1 <結 第13頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 525249 發明説明(
Η 丨-si—H η中每#原子至少與兩個氣原子鍵結,並可與—或雨個 碳原子鍵結,且c是涵蓋於一有機基圈中,較好是諸如_ 、广2 CH2_或_CH2_CH2之烷基或烯基團中或 其〈鼠化奴何生物。當有機矽烷或有機矽氧烷化合物中含 2個或更多個秒原子時,每一秒原子係被_〇_、_c_、或_c_c-所隔開,*中每一個碳原子係涵蓋於-有機基團中,較好 是諸如-CH2-、-CH2-CH2-、-CH(CH3)-、_C(CH3)2-之烷基 或烯基團中或其之氟化碳衍生物。較佳之有機碎貌或有機 矽氧烷化合物在室溫下為氣態或液態,並可於約丨0托斗 的壓力下被氣化。較佳之有機矽烷或有機矽氧烷·化合物包 括: 經濟部智慧財產局員工消費合作社印製 矽烷 甲基矽烷 二甲基矽烷 二矽甲烷 雙(甲基矽)甲烷 1,2-二矽烷乙烷 1,2-雙(甲基矽烷)乙烷 2,2-二碎規丙燒 環-1,3,5,7-四矽-2,6-二氧_4,8_二亞曱基
SiH4 CH3-S1H3 (CH3)2-SiH2 SiH3_CH2-SiH3 CH3-SiH2_CH2-SiH2-CH3 SiH3-CH2-CH2-SiH3 CH3-SiH2-CH2-CH2-SiH2-CH3 SiH3-C(CH3)2-SiH3 -(-SiH2-CH2-SiH2-0)2- (cyclic) 第u頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) Λ请先聞讀背面之注意事項存填寫本頁)
-(-SiH2CH2-)3-(cyclic) CH3-SiH2-0-SiH2-CH3 (SiH3-CH2-SiH2-)2-0 (CH3-SiH2-0-SiH2-)2-CH2 (CH3-SiH2-0-SiH2-)2-C(CH3)2 經濟部智慧財產局員工消費合作社印製 525249 、發明説明( 1,3,5-三矽環己烷 13-二甲基二矽氧烷 1,3-雙(石夕亞甲基)二珍氧烷 雙(1-曱基二發氧境基)甲燒,及 2,2-雙(1-甲基二砂氧縣)丙燒 及(氟化碳衍生物,如丨,2_二矽烷四氟乙烷。有機矽烷 或有機碎氧烷化合物之碳氫基團可被部分或全部氟化,而 將C Η鍵結轉變為C_F鍵結。許多較佳之有機矽烷或有機 矽氧烷化合物均可在市面上購得。可組合二或多種該有機 夕烷或有機矽氧烷化合物來提供欲求特性,這些欲求特色 如』丨私$數、氧化物含量、疏水性、薄膜層強度及蝕刻電 漿性質。 该石夕/氧物質係藉由化學氣相沉積法將過氧化物冷凝 於一基材表面,並讓所沉積之過氧化物與一内含氫化矽基 團及對熱不安定的有機基團之反應性物質或其混合物接 觸。退火時在無需添加對熱不安定的有機基團下,可以 U3,5_三矽環己烷及環_1,3,5,7_四矽_2,6_二氧_4,8_二亞甲 基來形成孔洞,此係因此兩化合物本身非平面的環狀結構 之故。孩對熱不安定的有機基團中含足量的氧可在氧化矽 層退火時轉變為氣態產物。較佳之對熱不安定的有機基團 包括甲醯氧基(CH(0)_〇_)、乙酸醯基(CH(〇)_c〇_〇 — )、及 甲醯羰二氧基(CH(O)-O-CO-O-)。 包含氫化矽及熱不安定有機基團之反應性化合物包 第15頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁}
525249 A7 B7 五、發明説明() 括下列: 雙(甲醯氧基矽)甲烷 雙(乙醛醯基矽)甲烷 雙(甲醯羰基二氧基矽)甲燒 2.2- 雙(甲醯氧基矽)丙烷 1,2-雙(甲醯氧基矽)乙烷 1.2- 雙(乙醛醯基矽)乙烷 (CH(0)-0-SiH2-)2_CH2 (CH(0)-C0-0-SiH2-)2-CH2 (CH(0)-0-C0-SiH2-)2_CH2 (CH(0)-0-SiH2-)2-C(CH3)2 (CH(0)-0-SiH2-CH2-)2 (CH(0)-C0-0-SiH2-CH2-)2 經濟部智慧財產局員工消費合作社印製 及其之鼠化的橋鍵碳衍生物,例士 · 雙(甲醯氧基珍)二氟曱燒,$ / ’ 狀 T k 及(CH(0)-0-SiH2-)2_CF2 1,2_雙(乙酸酸基碎)四氣乙、卢 ;° ;7° (CH(0)-C0-0-SiH2-CF2-)2 這類化合物於約4(TC下,可與過氧化氫反應後可形成一種 内含珍/氧之凝膠狀物質,其内仍保留有許多對熱不安定的 有機基團。將該反應性化合物與不含矽組成物混合物混合 後可增加該不安定有機基團的數目,該不含矽組成物中含 一或多個不安定有機基團,這些熱不安定有機基團包括述 於含石夕反應性化合物及其他含氧有機基團中,包括諸如甲 醯氧基(CH(O)-O-)、乙醛醯基(ch(O)-CO-O-)、及甲醯羰 二氧基(CH(O)-O-CO-O-)。較佳之不含矽組成物包括下 列: 甲基順丁烯二酸酐 -(co-ch=c(ch3)-co-o)-(環形) 第16頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 、言
525249 A7 B7 五、發明説明() 3-甲醯氧基-2,5-吱喃二酮 _(C0-CH=C(0-CH(0))-C0-0-)- (環形) 縮水甘油酸 乙醛酸環氧乙烷酯 碳酸二環氧乙烷酯 中草酸二環氧乙烷酯,及 氧丙環基甲酸酐 ch2-ch-ch=o 〇 / \ . ch2-ch-o-co-ch=o 0 0 / \ / \ ch2-ch-o-co-o-ch-ch2 〇 〇 / \ / \ CH2-CH-0.C0-C0-C0-0-CH-CH2 0 〇 ·. / \ / \ CHrCH-C0-0-C0-CH-CH2 或者該不含矽組成物可與内含反應矽但未含不安定有機 基團之物質混合,例如 經濟部智慧財產局員工消費合作社印製 矽烷 甲基矽烷 二甲基矽烷 二矽甲烷 雙(甲基碎)甲烷 1,2-二矽烷乙烷 1,2-雙(甲基矽烷)乙烷 2,2-二石夕燒丙燒 1,3,5-三矽環己烷
SiH4 CH3-S1H3 (CH3)2-SiH2 SiH3-CH2-SiH3 CH3_SiH2-CH2_SiHrCH3 SiH3-CH2-CH2-SiH3 CH3-SiH2-CH2-CH2-SiH2_CH3 SiH3-C(CH3)2-SiH3 -(-SiH2CH2-)3-(cyclic) 第17頁 (請先閲讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 525249 A7 B7 五、發明説明( -(-SiH2-CH2-SiH2-0)2- (cyclic) CH3-SiH2-0-SiH2_CH3 (SiH3-CH2-SiH2-)2-〇 (CHrSiH2-0-SiH2-)2-CH2 (CHrSiH2-0-SiHr)2-C(CH3)2 壞-1,3,5,7_四梦-2,6-二乳-4,8-二亞甲基 (請先閲讀背面之注意事項再填寫本頁) U-二曱基二矽氧烷 1,3-雙(碎亞甲基)二矽氧烷 雙(1-甲基二矽氧烷基)甲烷,及 2,2-雙(1 -甲基二矽氧烷基)丙烷 及其之氟化碳衍生物。 所沉積之内含石夕/氧物質較好是在溫度逐漸勝高的情 況下加以退火,以便將該不安定有機基團轉變為具低介電 常數之微細孔洞氧化矽薄膜層上分散的氣泡,以造成緊密 的泡狀細胞結構。 經濟部智慧財產局員工消費合作社印製 在一較佳之阻障層填充實施例中,該微細孔洞氧化矽 層乃是由一或多種内含反應碎的物質及二氧化氮於電漿 下沉積在圖案化之金屬層之上,較好是使用恆定或脈衝式 的RF電源。該反應性矽化物較好是矽烷及與矽烷並列於 上之其他化合物。之後在無RF電源下,於同一多室之CVD 系統反應室中沉積該微細孔洞氧化矽層,並以逐漸升溫的 方式加熱,可加熱至約400°C。或者在經過上述退火程序 後,可於同一反應室中進一步以低量恆定或脈衝式RF電 源讓有機矽烷和/或有機矽氧烷反應而於該微細孔洞氧化 矽層上加上遮蓋層。該線層及遮蓋層可作為阻障層保護該 微細孔洞氧化矽層。 可藉電漿氧化内含反應性矽的化合物以沉積線狀層 第18頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 525249 發明説明() (請先閱讀背面之注意事項再填寫本頁) 及遮|層。内含反應性矽的較佳化合物為二甲基矽烷,其 係由、为10W到、約200W之固定RF功率,或從约肩到約 5二之脈衝式RF功率加以沉積。此脈衝式rf#率可在 較冋的峰值能階操作’並且和較低功率能階之固定RF功 率有相同的整體功率輸入。留在線狀層及遮蓋層中的碳對 万、低J兒吊數和阻障特性具有貢獻。而留存的碳最好包含 足夠的C-H或C-F键結以提供疏水層良好阻隔水分的特 性。 經濟部智慧財產局員工消費合作社印製 内含反應性矽之化合物係在沉積線狀層及遮蓋層時 由呢水辅助反應與氧氣作用而被氧化,該氧氣則是在沉積 製私時刀解一氧化二氮(N2〇)而得。若沒有電漿的幫助, 一乳化二氮不會和内含反應性矽之化合物反應,且比起内 吝反應性矽之化合物中的鍵結來說,N_〇鍵結只需很低能 畺即可被打斷。氧化物則黏著到例如半導體基材之圖案層 的接觸表面以形成沉積薄膜。沉積的薄膜在低壓和溫度從 約100到約450°C時固化,且最好在約400X:以上以穩定 薄膜的阻障特性。沉積的薄膜具有足夠的碳含量以提供阻 障特性。碳含量則最好包括C_H或C_F鍵結以提供疏水的 薄膜而具有極佳的溼氣阻障特性。 本發明更提供了一個基材處理系統,其具有一包括了 反應區的電漿反應器,於反應區中放置基材的基材承載 座’和真空系統。處理系統更包含了連接到真空室反應區 的氣體/液體分配系統,以提供反應物氣體及一惰性氣體, 和概合到氣體分配系統的RF產生器,以便在反應區中產 第19頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 奶249 、發明説明( 生電漿。處理系絲承>4人 控制—將β广’、π更匕§ 7控制器,此控制器含有電腦以 ?二制電漿反應器,氣髀八 , 轧刀配系統,和RF產生器,而—記 k歧則耦合到控制器 之姐人 时上此記憶體至少包含了電腦可使用 <蝶介,如電腦可讀的 古秘、 只的私式碼,以便選擇製程步驟以利用 有機矽烷或有機矽氧烷 虱烷化合物及氧化氣體所產生的電漿 儿積低介電常數的薄膜。 、;實她例中,處理系統更包含了電腦可讀的程式 碼’以便選擇製程+ 字表紅步知而沉積線狀的氧化有機矽烷化合 物’沉積不同的今雨猛 I』的a包層,且選擇性的沉積一氧化有機矽化 合物之遮蓋層。 本發明更進一步的描述乃有關於特定的裝置以沉積 本矣月U細孔洞氧化矽層和較佳的缝隙填充層薄膜。 CVD電漿反應室範例 適合本發明方法的CVD電漿反應室如第2圖中所 不’其為垂直方向,而此平行板化學氣相沉積反應室 的截面視圖顯示其具有一高真空區15。反應室1〇包含一 氣體分散噴氣頭1 1以便經由噴氣頭中的多個孔洞將製程 氣體分佈到位於基材支撐平台或晶座丨2上的基材或晶圓 (未示出)上’而晶座1 2則由升降馬達1 4來控制高度。一 液體注入系統(未示出),例如一般用來注入Τ Ε Ο S液體, 也可用來注入反應物液體。較佳的液體注入系統包括 AMAT氣體精確液體注入系統(GPLls)及AMAT延伸精確 液體注入系統(EPLIS),兩者均可自應用材料公司講得。 第20頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁}
經濟部智慧財產局員工消費合作社印製 525249 五、發明説明( 反應至10包括將製程氣體和基材加敖,♦丨4 抗加熱線圈(未示出⑴“、 土材加熱,例如使用阻 出)或外接燈源(未示出)。參考第2圄, 晶座12係放置於支_加 罘 圖 支彳牙木13上使得晶座12(及晶座12 面所支撐的晶圓)可以A击二μ么 表 喑气孔U匕皁_ 在較低負載/離開負載的位置及靠近 4孔11上+處理位置之間作控制移動。 當晶座12和晶圓位於處理位置14 體17所圍繞且製程顏w妯、々*丨‘ I七、,彖 、 灰%排放到噴氣孔24之中。於製程 中進入貪孔孔1 i的氣體乃均勾的徑向分佈到晶圓的& 面。-真空幫冑32具有節流間來控制反應室中氣體的排 放。 在到達喷氣孔11之前,沉積和載氣乃經由氣體線18 輸入到混合系統19中’待其混合後再送到嘴氣孔U。一 般來說’每個製程氣體的製程氣體供應線18也包括了⑴ 安全關閉閥(未示出),可用來自動的或手動的關閉製程氣 體流入反應室中,和(ii)質流控制器(也未示出)可以測量氣 體流過氣體供應線的量。當製程中使用有毒氣體時,傳統 的氣體供應線結構中會放置許多安全關閉閥。 於反應室10中所執行的沉積製程可為熱傳製程或電 桌增強製程。於電漿製程中,所控制的電漿一般係在靠近 晶圓的位置形成,其係由RF電源供應器25將RF能量加 到分配的噴氣頭1 1上(晶座丨2則接地)而形成。或者,可 提供RF功率到晶座12或以不同頻率提供該可提供到不同 的元件上。RF電源供應器25可提供單頻或混頻之rf功 率以加強導入咼真空區1 5之反應氣體的分解效率。混頻 第21頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
B7 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 之RF電源供應器一般可供應13·56ΜΗζ的高RF頻率(RF1) 到分配喷氣孔;!丨及360KHz的低RF頻率(RF2)到晶座12。 本發明之氧化石夕層最好利用低階或脈衝能階之高頻RF功 率來製造。脈衝式RF功率最好在整個循環的1〇。/。到3〇% 間以約20W到約2〇〇w的功率提供ι3·56ΜΗζ之rf功率。 固定之RF功率以約10W到約BOW的功率提供13.56MHz 之RF功率’細節詳述如下。低功率沉積最好在溫度範圍 從約-20 C到約40°C時進行。在較佳的溫度範圍時,所沉 和薄膜於沉積時係部分聚合’並於接下來的薄膜固化時被 冗全聚合。 一般說來,反應室的内層,分配喷氣孔n,支架1 3, 和許多不同的反應室硬體都由鋁或電鍍鋁所製成。此類 C V D反應室的範例則描述於美國專利第5,〇 〇 〇,·ι 1 3號之 中’名稱為,,Thermal CVD/PECVD Reactor and Use for
Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi_step Planarized Process’,,為 Wang 等人所取 得,且Applied Materials為本發明之受讓人。 經濟部智慧財產局員工消費合作社印製 升降馬達1 4在製程處理位置和較低、晶圓載入位置 之間將晶座1 2升起和降下。而馬達,氣體混合系統1 9, 和RF電源供應25則經控制線36由系統控制器34加以控 制。反應室包括了類比組合,例如質流控制器(MFCs)和標 準或脈衝的RF產生器,由系統控制器34經由執行儲存於 記憶體3 8中的系統控制軟體來加以控制,此記憶體3 8最 好是硬碟。馬達和光學感測器則用來移動並決定可移動式 _ 第22頁 本紙張尺度適用中國國家標準(CNS〉A4規格(210X297公釐) " ' 525249 A7 B7 五、發明説明( 經濟部智慧財產局員工消費合作社印製 機械組合的位置,例如真空幫浦32的節流閥和定位晶座 1 2之位置的馬達。 系統控制器34控制CVD反應室的所有作動,且控制 态34的較佳實施例包括了硬碟、軟碟及卡夾。此卡夾包 。了單一主機板電腦(SBC),類比和數位輸入/輸出板,界 面板和步進馬達控制板。系統控制器符合Vera M〇duiar Eur0peans(VME)規格標準所定義的主機板、卡夾外殼和連 接w的尺寸及型式。VME #準也定義了匯流排的結構為 1 6位TL資料匯流排和24位元位址匯流排。 系統控制器34由儲存於硬碟38中的電腦程式來操作 控制。電腦程式將指定時序,氣體的混合,rf功率能階, 晶座位置,和其它的相關參數給一特定的製程。至於使用 者和系統控制器之間的溝通界面則經由第3圖中所描緣的 CRT螢幕40和光筆44。^ -較佳實施例中,則使用第二 個螢幕42,第-個榮幕40位於無塵室的牆壁上給操作者 使用’另-個螢幕42則位於牆壁之後專給維修技術人員 使用。此兩個勞幕40,42都顯示相同的訊息,但是只有 ::光:44可力…吏用。在光筆44的尖端具有光線感測 螢:夂測CRT顯不咨所發出的光線。如果要選擇特別 :榮幕!功能’則操作者將光筆碰觸顯示幕特定的區域並 :下::44 i面的按鍵。被碰觸的區域將變成特定的顏 :之:::::選單或榮幕會顯示出來,以確保光筆… 參閱弟4圖,製起+< I私之私序可利用電腦程式410在諸如 第23頁 本紙張尺細中國國 (請先閲讀背面之注意事項再填寫本頁)
525249
系、讀制器34上面執行而加以完成。電 經濟部智慧財產局員工消費合作社印製 任何傳統上電腦可讀取的〜可利用 c、c++、或Pascal來編寫例如_〇組合語言、 ^ 寫。此4程式碼可利用傳絲沾+ 予編輯器輸入到單一梓安,十夕y 專、,死的又 用的儲存或執行媒介例如記 “知可利 仃。假如所輸入的程式碼為高 予次執 ,編譯過之程式碼接著和已經過編譯之視窗程式庫常 ^目的碼互相連結。為了執行所連結的編譯目的碼 系統會將此目的碼載入邙愔Μ曲击〆丄 m 戰入Λ丨思隨中,經由Cpu讀取 目的碼來執行程式。 订此 第4圖顯示了此電腦程式41〇之控制結構的方 使用者利用光筆44界面在CRT顯示器4〇上的顯示 榮幕上設定製程組職碼和製程反應室號碼到製程 器副常式420中。製程組別為製程參數的預定組別用以執 行特定的製程,並由預定之組別數目所識別。製程選擇哭 副常式420會⑴在一群工具機台中,例如αη_ΤΜ平= (可由Apphed Materials公司購得),選擇所需的製程反應 室,及(ii)選擇所需的製程參數組別來操作製程反應室了 以執行所需的製程。執行特定製程的製程參數例如製程氣 體之組合及流量、溫度、壓力、RF偏壓功率能階和磁場 功率能階等電漿條件、冷卻氣體壓力和反應室壁的溫度等 等都為使用者的製程配方。此配方所指定的參數則利用光 筆/CRT顯示器界面輸入。 監督製程的訊息都由系統控制器的類比輸入和數位 第24頁 (請先閱讀背面之注意事項再填寫本頁)
A7 B7 五 經濟部智慧財產局員工消費合作社印製 525249 、發明説明( 輪入板所提供,而制 t程控制訊息則由系統控制器34的舞 比輸出,數位輸出板來輸出。 ^ &、製程序列器副常式43〇包含程式碼來接收製程選擇器 、式 已轟別的製程反應室和製程參數組,以控制不同 之製程反應室的接你 ..^ 衣作。夕個使用者可輸入不同的製程組別 數目和製程反應室- 十土 4 , 主致目,或者相同的使用者也可輸入多筆 製程反應室數目,床別叩q a』^ 序列為副常式4 3 0以所需的順序將選定 的製程分別執行。戾丨抑 a T 序列斋副常式430最好包括電腦可讀取 的程式碼來執行下列的步驟⑴監督製程反應室的操作來 决疋是否反應室已使用過,及(u)決定什麼樣的製程在已 使用的反應i中已經加以執行,及(出)以可使用之製程反 應室和所要執行的製程型態為基礎來執行所需的製程。傳 、、先上監督製程反應室的方法也可加以利用,例如輪詢法。 當安排所要執行的製程時,序列器副常式430可設計成考 慮使用中之製程反應室的現有狀況,並和選定製程所需之 製私條件比較,或和每個使用者所輸入的特殊需求之,,時 間’’作比較,或和系統程式設計者所要包括之排程順序相 關的任何參數作比較。 一旦序列器副常式43 〇決定哪個製程反應室和製程組 之組合接著要執行,則序列器副常式43 0將此特別的製程 組參數傳給反應室管理副常式440來執行此製程,反應室 吕理副常式4 4 0依照序列器副常式4 3 0所決定的製程組來 控制製程反應室1 〇中的多個處理工作。例如,反應室管 理副常式440包含了程式碼來控制製程反應室1 〇中的 第25頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 525249 A7 _____B7 五、發明説明() CVD製程操作。反應室管理副常式44〇也控制了不同之反 應室元件副常式的執行,其控制了需要執行選擇之製程組 之反應室元件的操作。反應室元件副常式之範例為晶座控 制副常式450,製程氣體控制副常式460,壓力控制副常 式470,加熱器控制副常式480,和電漿控制副常式49〇。 熟悉此項技藝的人應該可以很容易的瞭解,如果反應室i 〇 中要執行其它的製程,則可包括其它的反應室控制副常 式。 於操作中,反應室管理副常式440依照所執行的特別 製程組選擇性地安排或呼叫製程元件副常式。和序列器副 常式43 0安排哪個製程反應室丨〇和製程組別將在下次執 行類似地,反應室管理副常式44〇也以同樣方式安排製程 元件副常式。典型地,反應室管理副常式44〇包括監督不 同反應室元件的步騾,以製程組別所要執行的製程參數為 基礎’決定哪個元件需要操作,並且基於此監督和決定的 步驟來執行反應室元件副常式。 特殊反應室元件副常式的操作現在參考第4圖來描 述。晶座控制放置常式450包含程式碼以控制使用的反應 室元件將晶圓載入晶座1 2,並選擇性的將反應室1 〇中的 基材舉起到所需的高度來控制基材和氣體分配噴氣孔i i 之間的距離。當基材載入反應室1 〇中時,晶座1 2會降低 來承接基材,之後,晶座1 2將升到反應室中所需的高度, 並在CVD製程中讓基材和氣體分配噴氣孔n維持一定的 距離。於操作中,晶座控制副常式45〇由反應室管理副常 — ________第 26 貰_ 本紙張尺度適财酬家標準(CNS ) A4規格(21GX297公釐)—' ---' (請先閲讀背面之注意事項再填寫本頁)
525249 A7 B7 五、發明説明( 式440所傳來的製程組參數來控制晶座1 2的移動。 製程氣體控制副常式460具有程式碼以控制製程氣體 (請先閱讀背面之注意事項再填寫本頁} 的組成及流I。製程氣體控制副常式46〇控制安全關閉閥 門的開啟/關閉位置,並可將f流控制器上/下調整以得到 所需的氣體流動率。製程氣體控制副常式460由反應室管 理副常式440而得,其它所有的反應室元件副常式亦為: 此,且接收來自反應室管理副常式有關氣體流量率的製程 參數。典型地,製程氣體控制副f式46()由_氣體供^ 線來操作,且重覆地⑴讀取需要的質流控制器,(⑴將讀 到的讀數和反應室管理副常式44〇所接收到的所需流量^ 比較,和(iii)調節氣體供應線的流量到所需的程度。再者, 製程氣體控制副常式460包括了監督不安全之氣體流量白、 步騾,並在偵測到不安全的情況時啟動安全關閉閥門=的 於某些製程中,氦或氬氣的惰性氣體乃流入反應室工 中以便在反應室製程氣體導入反應室前穩定反應室中白、 壓力。對這些製程來說,製程氣體控制副常式46〇乃程的 化並包括將惰性氣體流入反應室1 〇 一段 式 又呷間,以穩定反 經濟部智慧財產局員工消費合作社印製 應室中的壓力,接著便可實行上面所描述的步驟。另外, 當製程氣體係由諸如i,3,5-三秒燒_2,4,6_三亞甲基(即 1,3,5-三矽烷環己烷)的液體前驅物所氣化而來時,製。j 體控制副常式460將會將包括在發泡組合中 —王乳 尸_丄 乳乳寺輪送 氣體在液體前驅物中泡沫化的步驟寫入。在此類製牙。 製程氣體控制副常式4 6 0會調節輸送氣髀少、*田 〇 υ I,發泡器 壓力,和發泡器溫度,以便得到所需的製程氣體流 ° 千。如 第27頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 525249 五、發明説明() 上面所描述的,所需的製程氣體流率 α由進人包含了所需製程氣體流動率數值 到所需之製程氣體流動率相關的輸送氣體流動率 逐力二和發泡器溫度等步驟。一旦得到所需的數值,則: 控輸送氣體流率,發泡器壓力 ^ 值比較後並依此調整。 及,和所需的數 壓力控制副常式470包含了程式碼來 的開口大小,以控制反應室1G中的壓力= 疋即流閥開口的大小,以便控制反應室的壓力到達所需位 階,並和全部的製程氣體流量,製程反應室的大小,和排 放幫浦32之設定點壓力有關。當利用壓力控制副常式❻ 時’則由反應室管理副常式44〇可得到需要的,或目的壓 力位階參數。壓力控制副常式4 7 〇可由讀取一或多個連接 到反應t中的傳統壓力計來測量反應纟1〇巾的壓力,將 測量值和目的壓力作比較,則從相當於目的壓力的儲存壓 力表得到PID(比例,積分,和微分)值,並依壓力表所得 到的PID值來調整節流閥。另外’壓力控制副常式例可 開啟或關閉節流闕到特殊的開口大小以調節反應室1〇中 的壓力。 、加熱斋控制副常式480包含程式碼以控制用來加熱晶 座1 2的加熱才旲組或幅射熱的溫度。加_器控制副f式彻 也由反應室管理副常< 44〇而#,並接收目的溫度和設定 點溫度的參數。加熱器控制副常式48〇測量位於晶座Μ 本紙張尺度適用中_家榡準了CNS ) —^獻2=: 525249 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明( 中熱電耦的輸出電壓而得到 ^ ^ 叩行判恤度,並和設足點溫度
較,然後根據結果增加或、沾^ ^ I 禾曰加或減少加到熱模組的電流來得到設 定點溫度。此溫度的獲得係從量測到的電壓並參考轉換: 中相對的溫度而得,或利用四階的多項式而計算出溫度。 加熱器控制副常式480將逐漸控制加熱模組的電流升降。 而逐漸的升降電流料增加此加熱模組的壽命和信賴 度。另外,可包括内建失效和安全模式以偵測製程安全, 且假如反應罜1 〇沒有適當的設定時可關閉加熱模组的操 作。 電漿控制副常式490包含程式碼以設定RF偏壓功率 到反應室1 0中的製程電極上,且選擇性的設定反應室中 所產生之磁場的能階。類似於先前所描述的反應室元件副 常式’電漿控制副常式490也由反應室管理副常式440而 來。 上述的CVD系統描述主要乃為例舉之目的,其它的 電漿CVD設備如電極循環共振(ECR)電漿CVD元件,感 應隸合RF高密度電漿CvD元件,或其它類似的系統都可 以使用。另外,上述之系統的變化如晶座設計的變化,加 熱器設計,RF功率連接位置和其它的變化都是可能的。 例如,晶圓可由阻抗性加熱晶座所支撐及加熱。本發明之 預處理和形成預處理層的方法並不限制在特定的裝置或 任何特定的電漿激發方法。 三層缝隙充填製程中微細孔氧化矽介電層之沉積 第29頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 525249 五、發明説明( 本發明之微細孔洞氧化矽層 R 用於第5圖中利用第2 圖的PECVD反應室所顯示的三 ^ 屬、遂隙无填製程中。參考 罘5圖,放置晶圓2〇〇到反應 U中,並以PECVD製程 中的沉積步驟205由内含諸如二 Τ基矽烷芡矽化合物之電 水/儿積氧化矽層。沉積步驟2〇 了包括製程反應室15中 的電容搞合電浆或電感及電容耗合電浆兩者。惰性氣體如 乱氣一般用於PECVD^積中辅助電漿的產生。之後本發 明微細孔洞縫隙充填層便以210步驟沉積於線狀層上,其 係藉由沉積内含不安定有機基團切/氧物質,並控制所沉 積石夕/氧物質的退火步驟於縫隙充填層上產生分布均句的 微細氣泡孔。、縫隙充填層最好可自行平坦化,例如藉由將 表面的過氧化氫縮聚並讓過氧化氫與内含不安定有機基 團之矽化合物或混合物反應來達到平坦化。一遮蓋層接著 於沉積步騾215中沉積於缝隙充填層之上,且最好係利用 與沉積線狀層相同的製程來進行。接著將晶圓於步驟22〇 中從反應室1 0中移除。 參考第6A-6E圖,藉由引入諸如二甲基矽燒 ((CHshSiH2)這類内含反應矽的化合物、諸如一氧化二氮 的氧化氣體、及諸如氦氣的載體氣流,可於反應室1 〇中 沉積一 PECVD線狀層300。基材在整個PECVD線狀層沉 積過程中維持在大約-20°C到約400°C,且最好維持在約_ 20°C到40°C的溫度。PECVD線狀層300的沉積係利用包 括流量約5sccm到約500seem之内含反應矽的化合物,和 流量約5 s c c m到約2 0 0 0 s c c m之氧化氣體所形成的製程氣 第30頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 第31頁 525249 五、發明説明() 體混合物進行沉積。製程氣體係由諸如He、Ar、Ne等惰 性氣體或相較下較偏惰性的氮氣所搞帶,流量從約〇 2^ 約2〇 lpm,且一般不會和薄膜結合。製程氣體會在約〇2 到約2〇T(m的壓力下反應,且壓力最好是小於1〇丁咐, 以便在基材表面3〇4和金屬線3〇6、3〇8、之上形成均 勻的氧化梦層。此反應為電漿增強反應,功率密度範圍則 從0.05W/Cm、1000ww,最好是小於lw/cm2的功率 密度,而最佳的範圍則從約到約〇.3w/cm2。 對I 8的單晶圓反應室來說,大約1 3 · 5 6MHz的高頻 RF包源功率最好連接到氣體分配系統且以約1 〇到約 5 00W的功率來驅動’而大約35〇ΚΗζ到imHz的低頻Rp 私源功率則選擇性的連接到晶座上且以約0到約100W的 功率驅動。在一較佳實施例中,高頻之RF電源功率則以 約20W到約250W的脈衝RF功率來驅動,且低頻rf電 源功率以約〇到約50W的脈衝RF功率在10%到30%的操 作循環中驅動。脈衝RF功率最好有短期間的循環,而最 佳的循環頻率為小於約200Hz。當高頻rf功率固定時, 功率此階的範圍最好從約2 〇 w到約1 〇 〇 w。 '^後將氧化的線狀層於約l〇(rc到約45(TC時退火。 另外’退火也可在額外的介電層沉積之後才實施。 上述的製程條件對於第6B圖中所示的線狀層300之 後的缝隙充填層3 0 2來說,可產生具改良之阻障特性的 PECVD線狀層3〇〇的沉積(沉積速率約為每分鐘2Ό00A)。 從二甲基碎烷所得到的線狀層具足夠的C-H键結而可具 本紙張尺度適用中_家標準(CNS ) M規格(2lGx297公幻 (請先聞讀背面之注意事項再填寫本頁}
經濟部智慧財產局員Η消費合作社印製 525249 A7 B7 五、發明説明() 疏水性,且具極佳的溼氣阻障特性。 具微細孔洞之缝隙充填層3 02的製程氣體包括一或多 種具不安定有機基團之含矽化合物、具不安定有機基團之 不含矽組成物、含有反應矽之化合物、及過氧化氫蒸氣並 與諸如氦氣之惰性載體氣流混合。 製程氣體流量對含矽化合物而言範圍從2〇_1〇〇〇 seem,對50%H2〇2為而言範圍從〇· 1到3g/min,對氦氣而 言範圍從〇_200〇 scm。較佳的氣體流量範圍則為氦氣從 100-500 seem,具不安定有機基團之含矽化合物從5〇-5〇〇 s c c m,和Η 2 〇 2從〇 · 3到2 g / m i η。這些流量係針對反應室 體積約為5 · 5到6 · 5升者而1。最好在沉積缝隙充填層3 〇 2 時反應室1 〇的壓力能維持在約0.2到約5 T〇rr的壓力。 缝隙充填層3 02如第6C圖中所示的加以部分固化以便在 弟6 D圖所示的 >儿積遮蓋層3 1 2之前移除水氣等溶劑。固 化係在反應室1 〇中加入壓力在1 0 torr以下的惰性氣體而 完成。 缝隙充填層較好是在逐漸升溫的情況下進行退火,以 便以分散的微小氣泡來保留氣體產物,和/或將不安定有機 基團轉變成能留在密封細胞結構中固化的氧化矽薄膜内 呈分散狀的微小氣泡。較佳的退火製程至少包括約1 〇分 鐘的加熱時間,包括將溫度以5(rc /min逐漸升高到最終 溫度约400或400°c以上。可藉由改變溫度/時間及控制 ’儿積層中不安定有機基團的濃度來控制氣泡分散的情 形。 (請先閲讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 525249 A7 ___B7 五、發明説明() 參閱弟6 D圖’在縫隙充填層3 0 2沉積之後,本發明 之反應室1 〇則繼續内含反應矽組咸物的沉積作為遮蓋層 312。參閱第6E圖,在遮蓋層沉積之後,如果有需要的話, 則將沉積的薄膜層放置於反應爐或另外的反應室中,溫度 保持從約2 0 0它到約4 5 0 °C以驅離剩下的溶劑或水份。而 製程條件自然也會根據沉積薄膜層的特性加以改變。 免重銕嵌結嫌的沉精 包括微細孔洞的内金屬介電層之雙重鑲嵌結構示於 第7圖中。較好是由本發明微細孔洞氧化矽層組成之第一 介電層510先沉積於基材512上,接著傳統的氧化矽、氮 化石夕、或氫化矽碳化物蝕刻中止層5丨4被沉積於第一介電 層 < 上。接著將蝕刻中止層5丨4圖案化蝕刻形成接觸窗/ J層洞開口 5 1 6。第二微細孔洞介電層5 1 8接著沉積於圖 案化之蝕刻中止層上,且接著將其蝕刻圖案化以定義出内 連線520。然後執行單一蝕刻製程以定義内連線一直到蝕 二J中止層,且I虫刻到由圖案化姓刻中止層所曝露的介電層 以定義出接觸窗/介層洞。 依照本發明所製造之較佳雙重鑲嵌結構包括第8H圖 中所tf的線狀層,且製造此結構的方法則於第8a_8h圖中 依序加以繪圖描述,其為具有本發明之步騾的基材截面視 圖。 如第8A圖中所示的,視製造結構大小而定,最初第 一介電層510係以約5000到約1〇,〇〇〇人的厚度沉積於基 5張尺度 -— (請先閲讀背面之注意事項再填寫本頁)
525249 五、發明説明() =用512上。如弟8B圖中所示的’如上述三層縫隙充填層 的二甲基珍燒氧化層之低k姓刻中止層514,係由低 能階的RF功率以約200到約测A的厚度沉積到第一入 2層之上。然後,低k姓刻中止層514㈣圖案化以定二 ”接觸窗/孔洞開口 516並曝露出接觸窗/孔洞所要形成的 弟一介電層510區域,如第8C圖中所示。低乂麵刻中止 層514最好是利用傳統的微影蝕刻製程並利用氟、妒和氧 離子加以I虫刻圖案化。在低k·中止層514已經:刻且 形成接觸窗/孔洞之圖案而光阻也移除之後,則第二微細孔 同介電層518如第8D圖中所示以約5〇〇〇到约,剛入 的厚度沉積於姓刻中止層514之上。第二微細孔洞介電層 川接著圖案化定義出内連線52〇,且最好是利用傳㈣ 微影製程及光阻層522’如第8£圖中所示。内連線和接觸 窗/介層洞接著利用反應性離子蚀刻或其它的非等向性蝕 刻技術加以蝕刻而定義出金屬層結構(也就是,内連線和 接觸窗/介層洞)’如第8F圖中所示。任何用來圖案化蝕刻 2止層514或第二介電層518的光阻或其它材質則利用氧 氣剝離或其它適合的方法加以移除。 接者利用導電性材料如銘、銅、鎢或其組合元素形成 金屬層結構。現在的趨勢為利用銅來形成較細的導線特 徵,且銅具有較低之電阻率(和鋁的31// fi_cm比較起來 只有Q-cm)。如第8G圖中所示的,適當的阻障層 524例如氮化钽最好先在金屬層圖案中均勻的沉積以避免 銅原子遷移到周圍的矽及/或介電材料層。之後,銅 本紙張尺度適用中國國家標準(CNS ) M規格(21〇><297公酱) 525249 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明( 利用化學氣相沉積法,物理氣相沉積法,電子被覆法,或 其組合方法來形成導電結構。—旦結構中填入銅或其它的 金屬,則其表面將利用化學機械研磨法加以平坦化,如第 8H圖中所示。 黏荖層之沉精 以内s 一甲基矽烷氧化層作為前金屬介電層和微細 孔洞介電層之間的黏著層的雙重鑲嵌結構示於第9圖中。 一甲基矽:k氧化層6 1 2係沉積於諸如傳統p s G或B p s G層 類的前金屬介電層610之上並固化。接著一微細孔洞内金 屬介電層6丨4被沉積於黏著層612之上。接著沉積一傳統 的氧化碎或氮切姓㈣616,並以傳統方法圖案化以定 義介層洞620。接著第二微細孔洞内金屬介電層622被沉 積於圖案化蚀刻中止層並圖案化以定義出内連線。然後執 行單一蝕刻製程以定義内連線一直到蝕刻中止層,且蝕刻 到由圖案化蝕刻中止層所曝露的介電層以便在金屬化之 前定義出接觸窗/介層洞。 依照本發明内含一微細孔洞介電層之較佳的雙重鑲 嵌結構示於第10H目中’且產生此結構的方法則在第 10A-10H圖中順序描繪出來,其為基材之截面視圖並具有 本發明之實施步驟。 如第10A圖中所示,視所製造結構大小而定,最初的 第一微細孔洞内金屬介電層710係以約5〇〇〇到約ι〇〇〇〇Α 的厚度沉積於基材712之上。如第1〇B圖中所示,較妤是 (請先閱讀背面之注意事項再填寫本育)
經濟部智慧財產局員工消費合作社印製 525249
、發明説明( 二甲基矽烷氧化層的低k黏著層714,接著以约50到约 200 A的厚度被沉積於第一微細孔洞内金屬介電層之 上。傳統的氧化矽或氮化矽蝕刻中止層7丨6接著以約5 〇 到約200 A的厚度沉積於黏著層714之上。較好是二甲基 矽烷氧化層的第二低k黏著層718接著以約5〇到約2〇〇 A 的厚度沉積於蝕刻中止層7丨6之上。接著蝕刻中止層7 2 6 和黏著層7 1 4、7 1 8被蝕刻圖案化以定義出接觸窗/介層洞 開口 720並曝露出第一内金屬介電層71〇位於接觸窗/介層 /同的區域,如第1 0C圖中所示。蝕刻中止層7丨6最好利用 傳統之微影製程和蝕刻技術以氟,碳,和氧離子來蝕刻圖 案化。在蝕刻中止層716和黏著層714、718已蝕刻形成 接觸窗/介層洞圖案且光阻層也剥離之後,第二微細孔洞内 金屬介電層722則以約5000到約ιοοοοΑ的厚度·沉積於第 一黏著層7 1 8之上,如第1 〇 d圖中所示。第二微細孔洞内 金屬介電層722接著被圖案化定義出内連線724,且最好 利用傳統的微影製程以光阻層726形成,如第10E圖中所 示。内連線和接觸窗/介層洞接著利用反應性離子蝕刻或其 它的非等向性蝕刻技術加以蝕刻而定義出金屬層結構(也 就疋,内連線和接觸窗/介層洞),如第丨〇F圖中所示。任 何用來圖案化蝕刻中止層716或第二微細孔洞内金屬介電 層7 22的光阻或其它材質則利用氧氣剝離或其它適合的方 法加以移除。 金屬層結構接著利用導電性材料如鋁,銅,鎢或其組 合兀素形成。現在的趨勢為利用銅來形成較細的導線特 _____第 36貝;_ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公—---- (請先閱讀背面之注意事項存填寫本頁)
經濟部智慧財產局員工消費合作社印製 525249 五、發明说明( 徵,真銅具有較低之電阻率(和錯的3.比鲈起來 只有口…命如第1〇G圖中所示的,適當的:障声 728例如氮化备最好先在金屬層圖案中均勾的沉積以避: 銅原子遷移到周圍的矽及/或介電材料層。之後,鋼元素利 用化學氣相沉積法,物理氣相沉積法,電子被覆法,或其 組合方法來形成導電結構。一旦結構中填入銅或其它的金 屬,則其表面將利用化學機械研磨法加以平坦化,如第i〇H 圖中所示。 本發明可藉下列微細孔洞二氧化矽沉積薄膜實施例 作進一步的說明。 實施例_ 下列實例顯示所沉積的微細孔洞氧化矽烷.薄膜層具 有分散的微小氣泡。此範例係利用化學氣相沉積反應室加 以實施’特別是一種稱作’’CENTURA DLK’’的系統,其係 由 Applied Materials,Inc·,Santa Clara, California 所製造 及販賣。 县氫化矽基駔之反應性矽化合物(假設的篏例) 微細孔洞氧化矽烷薄膜層係於0°C、1 ·〇 Torr的反應 室壓力下由蒸發並流入反應器中的反應氣體中沉積出 來,其中反應氣體如下: 1,3,5 -三矽環己烷, 第37頁 私紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) 於 1 25 seem (請先閲讀背面之注意事項再填寫本頁)
525249 A7 B7 五、發明説明() 過氧化氫(50%) 於 1 000 seem 氛,He, 於 200sccm 基材和氣體分配喷灑頭的距離為600miil,並引入反應氣體 2分鐘。之後將基材加熱10分鐘’並以50°C /min的速率 將溫和逐漸升高到400°C以將微細孔洞氧化矽烷薄膜層退 火並固化。 具鼽;κ杳定有機基困之化合物(假設的範例) 微細孔洞氧化矽烷薄膜層係於〇°c、1 ·〇 Torr的反應 室壓力下由蒸發並流入反應器中的反應氣體中沉積出 來,其中反應氣體如下: 雙(甲酸氧基碎)甲燒’ 於 150sccm 過氧化氫(50%) 於 lOOOseem 氦,He, 於 200 seem 基材和氣體分配噴灑頭的距離為600mil,並引入反應氣體 2分鐘。之後將基材加熱1 0分鐘,並以50°C /min的速率 將溫和逐漸升高到400°C以將微細孔洞氧化矽烷薄膜層退 火並固化。 具熱不安定有機基困之反應性矽化合物(假設的簌例) 一微細孔洞氧化矽烷薄膜層係於0°C、1 ·〇 Torr的反 應室壓力下由蒸發並流入反應器中的反應氣體中沉積出 _ __ 第 38 頁__一 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 4.
、1T 經濟部智慧財產局員工消費合作社印製 於 1 50 seem 於 1000 seem 於 200 seem 經濟部智慧財產局員工消費合作社印製 525249 五、發明説明( 來’其中反應氣體如下: 雙(乙醛醯基矽)甲境, 過氧化氫(50〇/〇) 氦,He, 基材和氣體分配噴麗頭的距離為6〇〇mil,並引入反應氣體 2分鐘。之後將基材加熱1〇分鐘,並以⑽/瓜比的速率 將μ和逐漸升问到4〇(TC以將微細孔洞氧化矽烷薄膜層退 火並固化。 皮^尾應性梦之^熱不安定吉;》其佰费 的範例) 一微細孔洞氧化秒烷薄膜層係於0°C、1 ·0 Torr的反 應室壓力下由蒸發並流入反應器中的反應氣體中沉積出 來,其中反應氣體如下: 雙(甲基矽)甲烷, 於 100 seem 縮水酸, 於 50 sem 過氧化氫(50%) 於 1000 seem 氦,He, 於 200 seem 基材和氣體分配噴灑頭的距離為600mil,並引入反應氣體 2分鐘。之後將基材加熱1 0分鐘,並以5 0 °C / m i η的速率 第39頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閲讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 525249 A7 B7 — - _ - _ 一 一—- - 五、發明説明() 將溫和逐漸升高到400°C以將微細孔洞氧化矽烷薄膜層退 火並固化° 内令反應」良矽之组成物及添加熱不安定肴機甚腫(倌甜 的範例立 一微細孔洞氧化碎燒薄膜層係於0°C、1.0 Torr的反 應室壓力下由蒸發並流入反應器中的反應氣體中沉積出 其中反應氣體如下: 1,3,5-三矽環己烷, 於 100 seem 甲基順丁婦二酸酐 , 於 50 sem 過氧化氫(50%) 於 1000 seem 氦,He, 於 200 seem 基材和氣體分配喷灑頭的距離為600mil,並引入反應氣體 3分鐘。之後將基材加熱1 〇分鐘,並以50°C /min的速率 將溫和逐漸升高到4 0 0 °C以將微細孔洞氧化矽烷薄膜層退 火並固化。 上述範例只為本發明的較佳實施例。然而,在不偏離 本發明之基本範疇下仍有許多不同的實施例可據以實 施。而本發明之申請專利範圍則如下所述。 ^紙張尺度適用中國國家標準(CNS ) A4規格 (請先閲讀背面之注意事項再填寫本頁)

Claims (1)

  1. 5252tl告本 六、申請專利範圍 -—' 1 1.一種沉積低介電常數薄膜的方法,該方法至少包含下列 步驟: (請先閲讀背面之注意事項再填寫本頁) 將一過氧化物沉積於一基材表面; 讓該經沉積的過氧化物與一内含熱不穩定基團之化 合物或混合物反應;及 將該基材退火而形成一氧化發薄膜; 於是該氧化矽薄膜中形成了分散的孔洞。 2·如申請專利範圍第1項所述之方法,其中上述内含熱不 穩定基團的化合物或混合物至少包括曱醯氧基(CH(〇)_ 〇-)、乙醛醯基(CH(O)-CO-O-)、或曱醯羰二氧基 (CH(O)-O-CO-O-)基團。 3·如申請專利範圍第2項所述之方法,其中上述内含熱不 穩定基團的化合物或混合物至少包括一選自雙(甲醯氧 基矽)曱烷、雙(乙醛醯基矽)曱烷、雙(曱醯羰基二氧基 矽)甲烷、2,2·雙(甲醯氧基矽)丙烷、1,2-雙(甲醯氧基矽) 乙烷、1,2-雙(乙醛醯基矽)己烷及其氟化之橋鍵碳衍生 物及該等物質之組合的化合物。 經濟部智慧財產局員工消費合作社印製 4·如申請專利範圍第3項所述之方法,其中上述内含繞不 穩定基團的化合物或混合物更包含一非矽物質,該非矽 物質係由甲基順丁烯二酸酐、3-甲醯氧基-2,5-呋喃二 酮、縮水甘油醛、乙醛酸環氧乙烷酯、碳酸二環氧乙烷 第41頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇Χ297公楚) 525249 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 申請專利範圍 西曰、中草酸一環氧乙烧酯及環氧丙酸肝構成之群組中選 出者。5.如申請專利範圍第i項所述之方法,其中上述内含熱不 穩定基團的化合物或混合物至少包括: 一選自下列之矽化合物,包括矽烷、甲基矽烷、二 甲基矽烷、二矽曱烷、雙(甲基矽)甲烷、1>2二矽乙烷、 1,2-雙(曱基矽)乙烷、2,2-二矽丙烷、ns三矽環己烷、 環-i,3,5,7-四矽-2,6_二氧-4,8_二亞甲基、^二甲基·二 矽氧烷、1,3-雙(矽亞甲基)矽氧烷、雙(1_甲基二矽氧烷 基)甲烷及2,2-雙(1-甲基二矽氧烷基)丙烷及其之氟化碳衍生物;及 -選自下列之非矽化合物,包括甲基順丁烯二酸 肝、3-甲酿氧基·2,5·^二網、縮水甘㈣、乙搭酸環 氧乙烧輯、碳酸二環氧乙㈣、中草酸:環氧乙_及環氧丙酸酐。6·如申請專利範圍帛!項所述之方法,其中上述内含熱不 穩定基團的化合物或混合物至少包括135三矽環己 炫、環-1,3,5’7-四梦-2,6-二氧-4,8_二亞甲基、雙(甲醯氧㈣甲炫、或雙(乙搭酿基,)甲燒、或其之敗化橋鍵碳衍生物。 7.如申請專利範圍第1項所述之方法,其中該等 分散孔洞 (請先閲讀背面之注意事項再填寫本頁) •訂·
    第4頂 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公楚) 525249 A8 B8 C8 D8 申請專利範圍 係藉由逐漸升溫的溫度模式使最終溫度至少為400t下 將基材退火後所形成的。 8·如申請專利範圍第1項所述之方法,其中該等内含熱不 穩定基團的化合物或混合物具有非平面環狀結構。 9. 一種在一基材上一圖案化金屬層上沉積一低介電常數薄 膜的製程,該製程至少包含下列步驟: /儿積同形概層於該圖案化金屬層上,其製程氣體 至少包含一或多内含反應性矽的化合物; 沉積一過氧化物於該同形襯層上; 讓該經沉積之過氧化物與一内含一或多含氧之熱不 穩疋基團的化合物或混合物反應;及 (請先閲讀背面之注意事項再填寫本頁)
    -、一叮 洞 ; 孔 膜的 薄散 矽分 化了 氧成 一 形 成中 形膜 以薄 火矽 匕 材氧 基該 該是 將於 10.如申請專利範圍第9項所述之製程,其中上述内含一或 多熱不穩定基團的化合物或混合物係為下列物質組成 之群組所選出者,該群組係由雙(甲醯氧基矽)曱烷、雙 (乙醛醯基矽)甲烷、雙(甲醯羰基二氧基矽)甲烷、2,2_ 雙(曱醯氧基矽)丙烷、1,2-雙(甲醯氧基矽)乙烷、u-雙 (乙醛醯基矽)乙烷及其氟化之橋鍵碳衍生物及該等物質 之組合所組成。 第43頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) Ψ 經濟部智慧財產局員工消費合作社印製 ABCD一 525249 六、申請專利範圍 11·如申請專利範圍第9項所述之製程’其中上述内含一或 多熱不穩定基團的化合物或混合物更包含一選自下列 物質組成之群組的非矽組成物’該群組係由f基順丁婦 二酸酐、3 -甲醯氧基-2,5-呔喃二嗣、縮水甘油醛、乙搭 酸環氧乙烷酯、碳酸二環氧乙燒醋、中草酸二環氧乙燒 酯及環氧丙酸酐所組成β 12·如申請專利範圍第9項所述之製程,其中上述内含一或 多熱不穩定基團的化合物或混合物至少包括: 一由下列物質組成之群組中選出的化合物,該群組 係由矽烷、曱基矽烷、二甲基矽烷、二矽甲烷、雙(甲 基矽)甲烷、1,2-二矽乙烷、1,2-雙(甲基矽)乙烷、2,2-二矽丙烷、1,3,5-三矽環己烷、環-1,3,5,7-四矽-2,6-二氧 -4,8-二亞甲基、1,3-二甲基-二矽氧烷、1,3-雙(矽亞甲基) 矽氧烷、雙(1_甲基二矽氧烷基)甲烷及2,2-雙(1-甲基二 矽氧烷基)丙烷及上述物質之氟化碳衍生物;及 一由下列物質組成之群組中選出的非矽組成物,該 群組包括甲基順丁烯二酸酐、3-甲醯氧基-2,5-呋喃二 酮、縮水甘油醛、乙醛酸環氧乙烷酯、碳酸二環氧乙烷 酯、中草酸二環氧乙烷酯及環氧丙酸酐。 13.如申請專利範圍第9項所述之製程,該製程更包含在該 氧化石夕薄膜上由内含一或多反應性石夕化合物之製程氣 體沉積一遮蓋層的步驟。 第44頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公贊) (請先閲讀背面之注意事項再填寫本頁) -訂·
    經濟部智慧財產局員工消費合作社印製 525249 A8 B8 C8
    經濟部智慧財產局員工消費合作社印製 申清專利範圍 14·如申請專利範圍第9項所述之製程,其中該内含一或多 含氧之熱不穩定基團的化合物或混合物至少包括1,3,5-二矽環己烷、環-1,3,5,7-四矽-2,6-二氧-4,8-二亞曱基、 雙(甲醯氧基矽)甲烷、或雙(乙醛醯基-矽)甲烷、或上述 物質之氟化橋鍵碳衍生物。 15·如申請專利範圍第9項所述之製程,其中該等分散孔洞 係藉由逐漸升溫的溫度模式使最終溫度至少為400°C下 將基材退火後所形成的。 16.如申請專利範圍第9項所述之製程,其中該内含一或多 熱不穩定基團之化合物或混合物具有非平面環狀結 構0 17·—種形成一雙重鑲嵌結構之方法,該方法至少包含下列 , 步驟: 沉積一過氧化物於一基材表面上; 使該經沉積之過氧化物與一内含熱不穩定基團之化 合物或混合物反應; 將該基材退火而形成一第一氧化物石夕薄膜,於是分 散的孔洞便形成於該第一氧化矽薄膜中; 沉積一低k蝕刻中止層於該第一氧化矽薄膜上; 蝕刻該低k蝕刻中止層,以定義出一垂直連線開口, 以將該第一氧化矽薄膜曝露出來; 第45頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇X297公釐) (請先閲讀背面之注意事項再填寫本頁)
    訂 525249 ABCD 、申請專利範圍 沉積該過氧化物於該低k蝕刻中止層擊該經暴露之 第一氧化矽薄膜上; 使該經沉積之過氧化物與該内含熱不穩定基團之化 合物或混合物反應; 退火該基材,以形成一第二氧化矽薄膜,於是該第 第二氧化矽薄膜中便形成分散的孔洞·; 蝕刻該第二氧化矽薄膜,以定義出一水平連線,用 以將該低k蝕刻中止層中的垂直連線開口曝露出來;及 蝕刻該第一氧化矽薄膜直到通過該垂直連線開口, 以定義出一垂直連線。 18·如申請專利範圍第17項所述之方法,其中上述第一及 第二氧化秒薄膜至少包括藉由逐漸升溫之溫度模式並 使最終溫度至少為400°C的條件下將基材退火後所形成 的分散的微鈿孔洞。 19·如申請專利範圍第17項所述之方法,其中上述第一及 第二氧化矽薄膜至少包括藉由讓一經沉積之過氧化物 與一内含氫化矽的化合物或混合物互相反應後所形成 的分散的微細孔洞,其中該内含氫化矽的化合物或混合 物具有非平面環狀結構。 20·如申請專利範圍第1項所述之方法,其中該内含熱不穩 疋基團之化合物或混合物至少具有一或多石夕-氫鍵。 第46頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再塡寫本頁) •訂
    經濟部智慧財產局員工消費合作社印製 525249 ABCD
    申請專利範圍 21·如申請專利範圍第1項所述之方法,其中更包含沉積一 同形襯層於該基材上的步驟,且該同形襯層之沉積係於 沉積該過氧化物至該基材之該表面上之前進行。 22·如申請專利範圍第1項所述之方法,其中該熱不穩定基 團至少包含氧。 23·如申請專利範圍第9項所述含含氧之 熱不穩定基團之化合物或混合物更包含一或多矽-氫 鍵。 (請先閲讀背面之注意事項再填寫本頁) %. 訂·
    經濟部智慧財產局員工消費合作社印製 頁 47 第 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
TW088115438A 1998-10-22 1999-09-07 CVD nanoporous silica low dielectric constant films TW525249B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/177,044 US6171945B1 (en) 1998-10-22 1998-10-22 CVD nanoporous silica low dielectric constant films

Publications (1)

Publication Number Publication Date
TW525249B true TW525249B (en) 2003-03-21

Family

ID=22646954

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088115438A TW525249B (en) 1998-10-22 1999-09-07 CVD nanoporous silica low dielectric constant films

Country Status (6)

Country Link
US (1) US6171945B1 (zh)
EP (1) EP1131846A1 (zh)
JP (1) JP4558206B2 (zh)
KR (1) KR100696035B1 (zh)
TW (1) TW525249B (zh)
WO (1) WO2000024050A1 (zh)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7123216B1 (en) * 1994-05-05 2006-10-17 Idc, Llc Photonic MEMS and structures
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films
WO1999035684A1 (fr) * 1998-01-10 1999-07-15 Tokyo Electron Limited Dispositif a semi-conducteurs presentant une couche isolante constituee d'un film de carbone fluore et procede de production dudit dispositif
JP2921759B1 (ja) * 1998-03-31 1999-07-19 株式会社半導体理工学研究センター 半導体装置の製造方法
WO1999052006A2 (en) * 1998-04-08 1999-10-14 Etalon, Inc. Interferometric modulation of radiation
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP2000164716A (ja) * 1998-11-26 2000-06-16 Seiko Epson Corp 半導体装置及びその製造方法
DE19854803A1 (de) * 1998-11-27 2000-05-31 Bosch Gmbh Robert Verfahren zur Herstellung einer lokal verstärkten metallischen Mikrostruktur
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
KR100283485B1 (ko) * 1998-12-29 2001-11-02 한신혁 반도체 소자의 평탄화 방법
JP2000269204A (ja) * 1999-01-13 2000-09-29 Hitachi Chem Co Ltd 半導体装置
US6319737B1 (en) * 1999-08-10 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for characterizing a semiconductor device
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
WO2003007049A1 (en) 1999-10-05 2003-01-23 Iridigm Display Corporation Photonic mems and structures
US6890640B2 (en) * 1999-12-03 2005-05-10 Caterpillar Inc Patterned hydrophilic-oleophilic metal oxide coating and method of forming
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6458218B1 (en) * 2001-01-16 2002-10-01 Linamar Corporation Deposition and thermal diffusion of borides and carbides of refractory metals
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
DE10146146B4 (de) 2001-09-19 2004-02-05 Infineon Technologies Ag Verfahren zur elektrischen Isolation nebeneinander liegender metallischer Leiterbahnen und Halbleiterbauelement mit voneinander isolierten metallischen Leiterbahnen
US6933586B2 (en) 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
EP1529310A4 (en) * 2001-12-13 2009-06-10 Ibm POROUS INTERCONNECT STRUCTURES WITH LOW DIELECTRIC CONSTANT
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6574033B1 (en) 2002-02-27 2003-06-03 Iridigm Display Corporation Microelectromechanical systems device and method for fabricating same
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US7361313B2 (en) * 2003-02-18 2008-04-22 Intel Corporation Methods for uniform metal impregnation into a nanoporous material
US6989897B2 (en) * 2002-06-12 2006-01-24 Intel Corporation Metal coated nanocrystalline silicon as an active surface enhanced Raman spectroscopy (SERS) substrate
US6970239B2 (en) * 2002-06-12 2005-11-29 Intel Corporation Metal coated nanocrystalline silicon as an active surface enhanced Raman spectroscopy (SERS) substrate
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
US6806185B2 (en) 2002-09-19 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
US7781850B2 (en) * 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US6818966B2 (en) * 2002-09-20 2004-11-16 Texas Instruments Incorporated Method and structure for controlling surface properties of dielectric layers in a thin film component for improved trimming
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film
US7138158B2 (en) * 2003-02-28 2006-11-21 Intel Corporation Forming a dielectric layer using a hydrocarbon-containing precursor
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US6806542B1 (en) * 2003-06-30 2004-10-19 Motorola, Inc. Electronic device having a filled dielectric medium
KR100510915B1 (ko) * 2003-07-18 2005-08-26 매그나칩 반도체 유한회사 반도체 소자의 절연막 형성 방법
TW200506479A (en) * 2003-08-15 2005-02-16 Prime View Int Co Ltd Color changeable pixel for an interference display
US7196016B2 (en) * 2003-09-29 2007-03-27 Hitachi Global Storage Technologies Netherlands, B.V. Fabrication process for preparing recording head sliders made from silicon substrates with SiO2 overcoats
EP1683188A4 (en) * 2003-11-06 2008-08-06 Clear Shape Technologies Inc DELTA INFORMATION DESIGN CLOSURE IN THE MANUFACTURE OF INTEGRATED CIRCUITS
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7476327B2 (en) * 2004-05-04 2009-01-13 Idc, Llc Method of manufacture for microelectromechanical devices
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
TWI233916B (en) * 2004-07-09 2005-06-11 Prime View Int Co Ltd A structure of a micro electro mechanical system
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
KR101354520B1 (ko) * 2004-07-29 2014-01-21 퀄컴 엠이엠에스 테크놀로지스, 인크. 간섭 변조기의 미소기전 동작을 위한 시스템 및 방법
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7373026B2 (en) * 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7944599B2 (en) 2004-09-27 2011-05-17 Qualcomm Mems Technologies, Inc. Electromechanical device with optical function separated from mechanical and electrical function
US7893919B2 (en) * 2004-09-27 2011-02-22 Qualcomm Mems Technologies, Inc. Display region architectures
US7630119B2 (en) * 2004-09-27 2009-12-08 Qualcomm Mems Technologies, Inc. Apparatus and method for reducing slippage between structures in an interferometric modulator
US7372613B2 (en) * 2004-09-27 2008-05-13 Idc, Llc Method and device for multistate interferometric light modulation
US7321456B2 (en) * 2004-09-27 2008-01-22 Idc, Llc Method and device for corner interferometric modulation
US7420725B2 (en) 2004-09-27 2008-09-02 Idc, Llc Device having a conductive light absorbing mask and method for fabricating same
US7527995B2 (en) * 2004-09-27 2009-05-05 Qualcomm Mems Technologies, Inc. Method of making prestructure for MEMS systems
US7554714B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Device and method for manipulation of thermal response in a modulator
US7936497B2 (en) * 2004-09-27 2011-05-03 Qualcomm Mems Technologies, Inc. MEMS device having deformable membrane characterized by mechanical persistence
US7564612B2 (en) * 2004-09-27 2009-07-21 Idc, Llc Photonic MEMS and structures
US7327510B2 (en) * 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US7369296B2 (en) * 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US7719500B2 (en) * 2004-09-27 2010-05-18 Qualcomm Mems Technologies, Inc. Reflective display pixels arranged in non-rectangular arrays
US7289259B2 (en) * 2004-09-27 2007-10-30 Idc, Llc Conductive bus structure for interferometric modulator array
US7304784B2 (en) * 2004-09-27 2007-12-04 Idc, Llc Reflective display device having viewable display on both sides
US8008736B2 (en) * 2004-09-27 2011-08-30 Qualcomm Mems Technologies, Inc. Analog interferometric modulator device
US7302157B2 (en) * 2004-09-27 2007-11-27 Idc, Llc System and method for multi-level brightness in interferometric modulation
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20070299176A1 (en) * 2005-01-28 2007-12-27 Markley Thomas J Photodefinable low dielectric constant material and method for making and using same
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
EP2495212A3 (en) * 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
JP2009509786A (ja) 2005-09-30 2009-03-12 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems装置及びmems装置における相互接続
US7916980B2 (en) 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7652814B2 (en) 2006-01-27 2010-01-26 Qualcomm Mems Technologies, Inc. MEMS device with integrated optical element
US7550810B2 (en) * 2006-02-23 2009-06-23 Qualcomm Mems Technologies, Inc. MEMS device having a layer movable at asymmetric rates
US7643203B2 (en) * 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7369292B2 (en) * 2006-05-03 2008-05-06 Qualcomm Mems Technologies, Inc. Electrode and interconnect materials for MEMS devices
US7649671B2 (en) * 2006-06-01 2010-01-19 Qualcomm Mems Technologies, Inc. Analog interferometric modulator device with electrostatic actuation and release
US7471442B2 (en) * 2006-06-15 2008-12-30 Qualcomm Mems Technologies, Inc. Method and apparatus for low range bit depth enhancements for MEMS display architectures
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7835061B2 (en) * 2006-06-28 2010-11-16 Qualcomm Mems Technologies, Inc. Support structures for free-standing electromechanical devices
US7385744B2 (en) * 2006-06-28 2008-06-10 Qualcomm Mems Technologies, Inc. Support structure for free-standing MEMS device and methods for forming the same
US7527998B2 (en) * 2006-06-30 2009-05-05 Qualcomm Mems Technologies, Inc. Method of manufacturing MEMS devices providing air gap control
US20080012074A1 (en) * 2006-07-14 2008-01-17 Air Products And Chemicals, Inc. Low Temperature Sol-Gel Silicates As Dielectrics or Planarization Layers For Thin Film Transistors
US20080043315A1 (en) * 2006-08-15 2008-02-21 Cummings William J High profile contacts for microelectromechanical systems
JP4799332B2 (ja) * 2006-09-12 2011-10-26 株式会社東芝 エッチング液、エッチング方法および電子部品の製造方法
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7733552B2 (en) * 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080264672A1 (en) * 2007-04-26 2008-10-30 Air Products And Chemicals, Inc. Photoimprintable Low Dielectric Constant Material and Method for Making and Using Same
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
CN101070403B (zh) * 2007-06-07 2010-05-19 聊城华塑工业有限公司 一种吹塑薄膜界面分子膜及其生产工艺
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
KR100855277B1 (ko) * 2007-07-27 2008-09-01 주식회사 하이닉스반도체 저유전막 형성 방법
US7570415B2 (en) * 2007-08-07 2009-08-04 Qualcomm Mems Technologies, Inc. MEMS device and interconnects for same
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US7944604B2 (en) 2008-03-07 2011-05-17 Qualcomm Mems Technologies, Inc. Interferometric modulator in transmission mode
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
JP2013524287A (ja) 2010-04-09 2013-06-17 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド 電気機械デバイスの機械層及びその形成方法
US9134527B2 (en) 2011-04-04 2015-09-15 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
US8963159B2 (en) 2011-04-04 2015-02-24 Qualcomm Mems Technologies, Inc. Pixel via and methods of forming the same
CN102350825B (zh) * 2011-05-30 2014-03-26 周涛 水热法制备含氟高聚物高频线路板材料的工艺方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9130019B2 (en) * 2014-01-08 2015-09-08 Globalfoundries Inc. Formation of carbon-rich contact liner material
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9922818B2 (en) 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US11011384B2 (en) * 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
SG11202001492TA (en) 2017-08-30 2020-03-30 Versum Materials Us Llc Alkoxysilacyclic or acyloxysilacyclic compounds and methods for depositing films using same
US20190134663A1 (en) 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
JP2022548021A (ja) 2019-09-13 2022-11-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー モノアルコキシシラン、及びそれから作られる高密度の有機シリカ膜

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5998726A (ja) 1982-11-26 1984-06-07 Seiko Epson Corp 酸化膜形成法
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4812325A (en) 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
US4900591A (en) 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JPH06163521A (ja) 1992-11-17 1994-06-10 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
JPH0795548B2 (ja) * 1992-09-10 1995-10-11 アプライド マテリアルズ インコーポレイテッド 二酸化珪素膜の気相成長法
JP2884968B2 (ja) 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
JP3281209B2 (ja) * 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3176017B2 (ja) 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5834845A (en) 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH09246375A (ja) * 1996-03-07 1997-09-19 Hitachi Ltd 配線形成方法および半導体装置の製造方法
US5849644A (en) * 1996-08-13 1998-12-15 Micron Technology, Inc. Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
JPH1092808A (ja) * 1996-09-11 1998-04-10 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JPH10116904A (ja) * 1996-10-11 1998-05-06 Sony Corp 半導体装置の製造方法
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5985770A (en) * 1997-08-21 1999-11-16 Micron Technology, Inc. Method of depositing silicon oxides
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6001747A (en) * 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits

Also Published As

Publication number Publication date
JP2002528893A (ja) 2002-09-03
US6171945B1 (en) 2001-01-09
KR100696035B1 (ko) 2007-03-15
WO2000024050A1 (en) 2000-04-27
KR20010080287A (ko) 2001-08-22
JP4558206B2 (ja) 2010-10-06
EP1131846A1 (en) 2001-09-12

Similar Documents

Publication Publication Date Title
TW525249B (en) CVD nanoporous silica low dielectric constant films
JP4723565B2 (ja) 低誘電率ナノ細孔性膜の多段階硬化
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
TW408369B (en) Plasma processes for depositing low dielectric constant films
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
TWI275146B (en) Techniques promoting adhesion of porous low K film to underlying barrier
JP5090430B2 (ja) 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造
US20080105978A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
KR20010069210A (ko) 낮은 수치의 절연 상수를 갖는 필름을 증착하기 위한 방법
KR20010098755A (ko) 산화 플라즈마를 사용하여 저유전체 상수(k)를 갖는박막을 증착시키는 방법
EP1607493A2 (en) Plasma processes for depositing low dielectric constant films
JPH09199501A (ja) SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees