KR20140016203A - 무산소 함유 실리콘계 막 및 이의 형성 방법 - Google Patents

무산소 함유 실리콘계 막 및 이의 형성 방법 Download PDF

Info

Publication number
KR20140016203A
KR20140016203A KR1020130090486A KR20130090486A KR20140016203A KR 20140016203 A KR20140016203 A KR 20140016203A KR 1020130090486 A KR1020130090486 A KR 1020130090486A KR 20130090486 A KR20130090486 A KR 20130090486A KR 20140016203 A KR20140016203 A KR 20140016203A
Authority
KR
South Korea
Prior art keywords
silicon
film
oxygen
based film
precursor
Prior art date
Application number
KR1020130090486A
Other languages
English (en)
Other versions
KR101640153B1 (ko
Inventor
헤더 레지나 보웬
지안헹 리
마크 레오나드 오'넬
만차오 시아오
앤드류 데이비드 존슨
신지안 레이
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20140016203A publication Critical patent/KR20140016203A/ko
Application granted granted Critical
Publication of KR101640153B1 publication Critical patent/KR101640153B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Polymers (AREA)

Abstract

본 발명은 무산소 함유 실리콘계 막, 및 이를 형성시키는 방법에 관한 것이다. 무산소 실리콘계 막은 50 원자% 초과의 실리콘을 함유한다. 일 양태에서, 실리콘계 막은 조성 SixCyHz(여기서, XPS에 의해 측정되는 경우, x는 약 51 내지 100 원자량%이고, y는 0 내지 50 원자량%이고, z는 0 내지 50 원자량%임)을 지닌다. 한 가지 구체예에서, 무산소 실리콘계 막은 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체, 예컨대, 1,4-디실라부탄을 사용하여 증착된다.

Description

무산소 함유 실리콘계 막 및 이의 형성 방법{NON-OXYGEN CONTAINING SILICON-BASED FILMS AND METHODS OF FORMING THE SAME}
관련 출원의 상호 참조
본 특허 출원은 2012년 7월 30일에 출원된 미국 가출원 특허인 일련 번호 61/677,267에 대한 우선권을 주장한다.
기술 분야
본원은 무산소 함유 또는 실질적으로 산소가 없는 실리콘 함유 막 또는 실리콘계 막, 및 이의 형성 방법에 대해 개시한다. 본원에 기재된 실리콘계 막은 다양한 전자 적용에 사용되는 비화학량론적 실리콘 카바이드, 실리콘 카보니트라이드, 실리콘 니트라이드 또는 비정질 실리콘을 비제한적으로 포함한다.
실리콘 외의 다른 성분이 무산소 함유 실리콘계 막에 존재할 수 있다. 이러한 다른 성분은 때때로, 생성되는 막의 적용 또는 원하는 최종-특성에 따라 증착 공정을 거쳐 조성 혼합물에 의도적으로 첨가될 수 있다. 예를 들면, 성분 질소(N)가 실리콘계 막에 첨가되어 보다 낮은 누설 전류와 같은 특정 유전체(dielectric) 성능을 제공할 수 있는 카보니트라이드 또는 실리콘 니트라이드 막을 형성할 수 있다. 그러나, 이의 적용에 따라, 막 내의 특정 성분은 보다 낮은 농도 수준일지라도 바람직하지 않을 수 있다.
참고문헌["Method of depositing a thin film on a semiconductor substrate"라는 제목의 IPCOM000172513D]은 반도체 제조 적용을 위한 기판 상에 막을 증착하는 장치 및 방법의 비제한적 구체예를 개시하며 이에 대해 기재하고 있다. 상기 참고문헌은 2 내지 5Torr/400 내지 550℃의 LPCVD 반응기에서 SixCyNz 막을 수득하기 위해, 전구체로서 트리실릴아민(TSA), 1,4-디실라부탄(1,4-DSB) 및 암모니아(NH3) 중 하나 이상의 사용을 기재한다. 이러한 구체예는 저온 증착(550℃ 미만), 전구체의 고 증기압, 및 반응물/비율을 변경시켜 탄소 함량을 조절하는 능력을 지닌다.
참고문헌["Extra Low-Temperature SiC Deposition"이라는 제목의 IPCOM000168604D]은 열 CVD 체제의 저온(<500℃)에서 SiC 박막의 증착을 개시한다. SiC 공급원은 희석 가스로서의 질소와 함께 오가노실란이다. 상기 오가노실란 가스는 디실라부탄(예컨대, 1,3-디실라부탄) 트리실라헵탄(예컨대, 2,4,6-트리실라헵탄)과 같은 Si 치환된 알칸이다.
미국 특허 제 4,923,716호('716 특허)는 단일 분자 종의 Si 및 C 양자를 함유하는 증기 공급원으로부터 화학 증기 증착에 의해 SiC의 증착을 기재한다. 상기 분자 종은 m이 2n+1 내지 4n+2의 범위이고, n은 2 내지 6인 일반식 CnSinHm을 가지며, Si 및 C 원자 양자를 함유하는 반응성 단편을 생성하는 일차 열분해 메카니즘을 나타낸다. Si 및 C 원자는 등가 및 등속도로 기판 상에 공증착되어 화학량론적으로 증착된 SiC를 생성한다.
미국 특허 제 7,651,725호('725 특허)는 약 10W 내지 약 200W의 일정한 RF 파워 레벨 또는 약 20W 내지 약 500W의 펄스형 RF 파워 레벨에서 오가노실리콘 화합물과 산화 가스의 반응으로 저유전율막을 증착하는 방법 및 장치를 개시한다. 상기 '725 특허는 일부 유기 작용기와 같은 탄소가 산화된 오가노 실란 층에 남아 저유전율 및 우수한 차단 특성에 기여함을 교시한다.
미특 특허 제 7,972,975호 및 미국 공개출원 제 2011/275507호는 저유전율을 지닌 유전체 층의 증착을 개시하며, 상기 층은 특히 직접 회로 기판의 생성 동안에 금속성 상호연결을 분리하는데 사용된다(회로의 BEOL 부분에서 사용됨). 상기 유전체 층은 저유전율 SiC 및/또는 SiOC를 포함하며, 이는 하나 이상의 "Si-"C"n-Si 사슬(n=1)을 포함하는 하나 이상의 전구체로부터 수득된다.
미국 공개출원 제 2010/0143609호는 트렌치(trench)를 지닌 기판 상에 CVD로 저탄소 함량의 Si-함유 막을 형성하는 방법을 개시하며, 상기 방법은 하기의 단계들을 포함한다: 화합물의 분자 내에 3 개의 탄화수소 단위를 가지며 35 내지 220℃의 비점을 지닌 Si-함유 화합물을 도입하는 단계; 가스에 RF 파워를 적용하는 단계; 및 트렌치를 지닌 기판 상에 막을 증착하며, 상기 기판의 온도를 제어하여 Si-함유 화합물의 성분이 기판 상에서 적어도 부분적으로 액화되어 트렌치를 막으로 충전하는 단계.
미국 특허 제 6,858,548호는 평판 디스플레이 상에 저유전율 층(k<3)을 증착하는 방법 및 평판 디스플레이를 개시한다. 상기 방법은 약 0.345 내지 1.265 W/㎠의 RF 파워 레벨에서 하나 이상의 오가노실리콘 화합물을 산소 함유 화합물과 반응시키는 단계를 포함한다. 상기 평판 디스플레이는 제 1 기판, 상기 제 1 기판 상에 증착되는 복수의 배리어, 제 2 기판, 상기 제 2 기판 상에 증착되는 저유전율 층(k<3), 및 상기 배리어와 유전체 층 사이에 형성되는 복수의 접지 전극을 포함한다.
미국 공개출원 제 2003/0194496호는 저유전율 물질을 증착하는 방법을 개시한다. 일 양태에서, 상기 문헌은 저유전율 물질을 증착하는 방법을 기재하며, 상기 방법은 H와 O-함유 오가노실리콘 화합물, 무산소 오가노실리콘 화합물, 또는 이들의 조합물을 포함하는 공정 가스를 공정 챔버 내의 기판 표면에 도입하는 단계, 및 공정 조건에서 상기 공정 가스를 반응시켜 상기 기판 표면 상에 저유전율 물질을 증착하는 단계를 포함하며, 여기서 상기 저 k 유전체 물질은 적어도 Si 및 C를 포함한다. 상기 공정 가스는 불활성 가스, 준안정 화합물, 또는 이들의 혼합물을 추가로 포함할 수 있다. 상기 방법은 저유전율 물질을 H-함유 플라즈마로 처리하는 단계, 증착된 저유전율 물질 또는 이들의 조합물을 어닐링(annealing)하는 단계를 추가로 포함할 수 있다.
미국 공개출원 제 2010/233886호는 Si, 예컨대, Si 옥사이드, Si 옥시카바이드, Si 카바이드, 및 이들의 조합물을 비제한적으로 포함하는 실리콘계 막을 형성하는 방법을 기재하며, 이는 하기의 특성 중 하나 이상을 나타낸다: 저 습식 식각 내성, 6.0 또는 그 미만의 유전율, 및/또는 고온 및 급속 열 어닐링 공정을 견뎌내는 능력. 상기 방법은, 예를 들면, 1,4-디실라부탄과 같은 화학식 R13Si-R2-SiR3를 갖는 Si-함유 전구체, 및 상기 Si 전구체에 대해 1:1 비율보다 적은 몰량으로 제공되는 산소 공급원을 이용한다.
미국 특허 제 6,448,187호 및 6,743,737호는 저유전율 막을 증착하는 방법 및 장치를 기재하며, 이는 약 10W 내지 약 500W의 저 RF 파워 레벨에서 바람직하게는 오가노실리콘 화합물과 산화 가스의 반응으로 실리콘 옥사이드계 막을 증착하는 단계, 상기 실리콘 옥사이드계 막을 물 또는 헥사메틸디실라잔과 같은 소수성-부여 계면활성제에 노출시키는 단계, 및 상승 온도에서 실리콘 옥사이드계 막을 경화시키는 단계를 포함한다.
미국 특허 제 7,745,328호는 플라즈마-강화 화학 기상 증착(PECVD)으로 산소 도핑된 저-k 유전체 배리어 막을 형성하는 방법을 개시한다. 누설 전류가 상당히 감소된 실리콘 카바이드 층이 기판 상에 증착된다. 상기 실리콘 카바이드 층은 배리어 층, 또는 배리어 층을 또한 포함하는 배리어 이중층의 부분으로서 제공된다.
발명의 요약
실질적으로 산소가 없거나, 그 대신에 X-선 광전자 분광법(XPS)로 측정되는 경우 약 0 내지 2 원자량%의 산소를 포함하는 실리콘, 탄소, 임의로 질소, 및 이들의 조합물을 포함하는 무산소 함유 실리콘 물질 또는 막을 형성하는 방법이 본원에 기재되어 있다. 일 양태에서, 실리콘계 막은 조성 SixCyNz을 가지며, XPS로 측정되는 경우, x는 약 51 내지 100 원자량%, y는 0 내지 49 원자량%, 및 z는 0 내지 50 원자량%이다. 다른 양태에서, 실리콘계 막은 조성 SixCy를 가지며, 여기서 x는 약 51 내지 99 원자량%이고 y는 약 1 내지 25 원자량%이다. 본원에 기재된 무산소 실리콘계 막은 X-선 광전자 분광법(XPS)으로 측정되는 경우 50 원자량% 초과의 실리콘을 함유한다. 무산소 실리콘계 막 내의 탄소 및 질소의 원자량%는 온도와 같은 증착 조건을 변경시키고, 물질 또는 막 내의 50 원자량% 초과의 실리콘을 유지하면서 질소원 또는 이들의 조합물을 첨가함으로써 조절될 수 있다.
일 양태에서, 기판의 하나 이상의 표면 상에 무산소 실리콘계 막을 형성하는 방법이 제공되며, 상기 방법은 하기의 단계들을 포함한다:
반응 챔버에 기판의 하나 이상의 표면을 공급하는 단계;
상기 반응 챔버에 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3기를 지닌 하나 이상의 오가노실리콘 전구체를 공급하는 단계; 및
화학 기상 증착(CVD), 저압 화학 증기 증착(LPCVD), 플라즈마 강화 화학 기상 증착(PECVD), 사이클릭 화학 기상 증착(CCVD), 플라즈마 강화 사이클릭 화학 기상 증착(PECCVD), 원자층 증착(ALD), 및 플라즈마 강화 원자층 증착(PEALD)으로 이루어진 군으로부터 선택되는 증착 공정에 의해 하나 이상의 표면 상에 무산소 실리콘계 막을 형성하며, 상기 무산소 실리콘계 막이 XPS로 측정되는 경우 약 51 내지 약 99 원자량%의 실리콘을 포함하는 단계. 일 양태에서, 증착 공정은 LPCVD를 포함한다. 다른 양태에서, 증착 공정은 PECVD를 포함한다.
다른 양태에서, XPS로 측정되는 경우 x가 약 51 내지 100 원자량%, y가 0 내지 50 원자량%, 및 z가 0 내지 50 원자량%인 화학식 SixCyHz을 갖는 무산소 실리콘계 막을 기판의 하나 이상의 표면 상에 형성하는 방법이 제공되며, 상기 방법은 하기의 단계들을 포함한다.
반응 챔버에 기판의 하나 이상의 표면을 공급하는 단계;
상기 반응 챔버에 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3기를 지닌 하나 이상의 오가노실리콘 전구체를 공급하는 단계;
임의로, 상기 반응 챔버에, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 1차 아민, 2차 아민, 3차 아민, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 질소 함유 전구체를 공급하는 단계; 및
저압 화학 기상 증착(LPCVD)을 포함하는 증착 공정에 의해 하나 이상의 표면 상에 무산소 실리콘계 막을 형성하는 단계. 특정 구체예에서, 상기 LPCVD 증착은 약 200 내지 약 600℃ 범위의 하나 이상의 온도에서 수행된다. 상기 또는 다른 구체예에서, 무산소 실리콘계 막 내의 탄소 및 질소의 원자량%는 온도와 같은 LPCVD 증착 조건을 변경시키고, 질소 함유 전구체, 또는 이들의 조합물을 첨가함으로써 조절될 수 있다. 질소 함유 전구체가 사용되는 구체예에서, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소 함유 전구체의 양의 유량비(R)가 0.25 내지 20의 범위인 LPCVD 공정이 수행될 수 있다.
추가 양태에서, 기판의 하나 이상의 표면 상에 무산소 실리콘계 막을 형성하는 방법이 제공되며, 상기 방법은 하기의 단계들을 포함한다:
반응 챔버에 기판의 하나 이상의 표면을 공급하는 단계;
상기 반응 챔버에 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3기를 지닌 하나 이상의 오가노실리콘 전구체를 공급하는 단계;
임의로, 상기 반응 챔버에, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 1차 아민, 2차 아민, 3차 아민, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 질소 함유 전구체를 공급하는 단계; 및
플라즈마 강화 화학 기상 증착(PECVD)을 포함하는 증착 공정에 의해 하나 이상의 표면 상에 무산소 실리콘계 막을 형성하며, 상기 무산소 실리콘계 막이 XPS로 측정되는 경우 약 51 내지 약 99 원자량%의 실리콘을 포함하는 단계. 질소 전구체가 사용되는 구체예에서, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소 함유 전구체의 양의 유량비(R)가 0.25 내지 20 범위이거나 상기 R이 1 이하인 LPCVD가 수행될 수 있다.
또 다른 양태에서, 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3기를 지닌 하나 이상의 오가노실리콘 전구체를 포함하는, 무산소 실리콘계 막을 증착하기 위한 전구체를 전달하는데 사용되는 용기가 기재되어 있다. 일 특정 구체예에서, 상기 용기는 증착 공정용 반응 챔버에 하나 이상의 전구체의 전달을 허용하는 적절한 밸브 및 부속품이 장착된 하나 이상의 가압가능한 용기(바람직하게는, 스테인리스 스틸로 만들어짐)을 포함한다. 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기, 예컨대 비제한적으로 C2 연결기를 지닌 둘 이상의 SiH3기를 지닌 하나 이상의 오가노실리콘 전구체가 하기의 화학식으로 이루어진 군으로부터 선택된다.
Figure pat00001
도 1은 표 2의 실험 11에서 1,4-디실라부탄으로부터 증착되고 표 3에서 보고된 것과 같은 특성을 지닌 실리콘이 풍부한 카바이드 막의 X-선 회절 분광도이다. 점선(연한색) 곡선은 증착된 막에 대한 것이고, 직선(진한색) 곡선은 650℃에서 어닐링한 후의 것이다.
도 2는 본원에 기재되고 300℃에서 PECVD에 의해 증착된 실리콘계 막에 대한 누설-항복 측정 곡선이다.
산소가 실질적으로 없는, 실리콘계 막 및 실리콘계 막을 형성하는 방법이 본원에 기재되어 있다. 본원에서 사용된 상기 용어 "실질적으로 없는"은 XPS로 측정되는 경우 2 원자량% 이하의 산소를 포함하는 막을 의미한다. 무산소 함유 실리콘계 막은 다음 특성들의 적어도 하나 이상을 나타낸다: 열 실리콘 옥사이드(예컨대, HF를 희석하고자 노출된 경우)와 비교한 경우 상대적으로 보다 낮은 습식 식각비, 보다 낮은 누설 전류, 웨이퍼의 다른 지역(예컨대, 5 포인트 맵)에서의 측정 및 표준 편차 측정에 의해 얻을 수 있는 웨이퍼 내에서의 우수한 균일성, 등각성(conformality), 가스상 공정(예컨대, 예를 들면, 산화성 플라즈마)에 대한 내성, 및 이들의 조합. 후자의 특성들에 있어서, 상기 무산소 함유 실리콘계 막은 열 실리콘 옥사이드에 비해 특성 및 막 구조에 있어서 변화가 상대적으로 거의 없다.
또한, XPS로 측정되는 경우 실질적으로 산소가 없거나 2 원자량% 이하의 산소를 함유하는 무산소 함유 막이 전구체에 증착된 경우에, 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌, 바람직하게는 에틸렌 브릿지와 같이 C2 연결기를 지닌 둘 이상의 SiH3기를 지닌 오가노실리콘 화합물의 용도가 본원에 기재되어 있다. 상기 C2 -3 연결기는 알칸-1,2-디일, 알칸-1,3-디일, 사이클릭 알칸-1,2-디일, 및 사이클릭 알칸-1,3-디일로 이루어진 군으로부터 선택되는 디라디칼(diradical) 사슬이다. 알칸-1,2-디일 및 알칸-1,3-디일의 예는, 에틸렌(-CH2CH2-),치환된 에틸렌(-CHMeCH2-, -CH(Me)CH(Me)-), 프로필렌(-CH2CH2CH2-), 및 치환된 프로필렌을 비제한적으로 포함한다. 사이클릭 알칸-1,2-디일 및 사이클릭 알칸-1,3-디일의 예는 사이클로헥산-1,2-디일, 사이클로헥산-1,3-디일, 사이클로펜탄-1,2-디일, 사이클로펜탄-1,3-디일을 포함한다. 오가노실리콘 전구체의 예는 1,4-디실라부탄("1,4-DSB") 및 유사한 구조를 지닌 기타 오가노실리콘 화합물을 포함한다. 전구체로부터 증착된 실리콘계 막은 실리콘의 풍부함(예컨대, 50 원자량% 초과의 Si를 지님)과 같은 고유의 막 특성, 및 실리콘 카바이드 막 내의 Si, C를 조절하거나 실리콘 카보니트라이드 내의 Si, C, 및 N 함량을 조절하는 능력을 지님을 보여주었다.
실질적으로 산소가 없는, 실리콘, 탄소, 및 임의로 질소를 포함하는 유전체 막을 형성하기 위해서, 오가노실리콘 전구체가 산소가 없는 것이 바람직하다. 특정 구체예에서, 상기 전구체가 상대적으로 저온(예컨대, 600℃ 이하)에서 막을 증착시킬만큼 충분히 반응성이 있는 것이 또한 바람직하다. 전구체의 높은 반응성이 바람직할지라도, 상기 전구체는 또한, 시간이 흐름에 따라 어느 정도의 크기(예컨대, 매년 1% 미만의 변화)로 변화하거나 분해되지 않을 만큼 충분히 안정해야 한다. 이론에 결부되지 않고, 실리콘 원자들 사이에 에틸렌 브릿지를 포함하는 1,4-디실라부탄과 같은 본원에 기재된 오가노실리콘 화합물은 고온의 증착 온도(예컨대, 약 450℃ 이상)에서 C-Si 결합을 절단하는 특별한 경향을 나타낸다. 어떠한 실리콘기가 에틸렌 브릿지로부터 떨어져 나가는 경우에, 자유 라디칼 또는 양이온이 브릿지-헤드(bridge-head) 탄소 원자 상에 형성된다. β-위치에 놓여진 다른 실리콘은 하이퍼컨쥬게이션을 통해 상기 라디칼 또는 양이온에 안정성을 제공하거나, 비어있거나 단일 차지된 p-오비탈에 전자를 제공하는 채워진 σ-오비탈의 Si-C 결합이다. 이것은 또한 β-실리콘 효과로도 알려져 있다. 상기 하이퍼콘쥬게이트된 중간체는 추가로 제 2 Si-C 결합의 절단으로 인해 분해된다. 최종 결과는 휘발성 부산물인 에틸렌 브릿지의 제거, 및 서로 연속적으로 반응하여 기판 상에 본원에 기재된 무산소 실리콘계 막을 증착하는 화학적으로 반응성인 실리콘 종의 발생이다. 본원에 기재된 상기 실리콘계 막은 탐지가능한 산소를 함유하지 않으며, 본원의 실시예 1에서 제공되는 데이터에 나타나는 것처럼 시간에 따른 주변의 분해(예컨대, 산화, 가수분해 등)에 안정하다. 추가로, 상기 실리콘계 막은 실리콘이 풍부하거나, XPS로 측정되는 경우 약 50 원자량% 초과의 실리콘을 가진다.
실리콘 원자들 사이에 하나 이상의 C2 -3 연결기, 바람직하게는 C2 연결기를 지닌 둘 이상의 SiH3기를 갖는 오가노실리콘 화합물의 비제한적 예가 하기에 나타나 있다.
Figure pat00002
무산소 함유 실리콘계 막, 물질, 또는 코팅은 증착 공정을 거쳐 형성된다. 본원에 개시된 방법을 위한 적합한 증착 공정의 예는, 저압 화학 기상 증착(LPCVD), 사이클릭 CVD(CCVD), MOCVD(금속 유기 CVD), 열 화학 기상 증착, 플라즈마 강화 화학 기상 증착("PECVD"), 고밀도 PECVD, 광자 보조 CVD, 플라즈마-광자 보조("PPECVD"), 극저온 화학 기상 증착, 화학 보조 기상 증착, 고온 필라멘트 화학 기상 증착, 액상 폴리머 전구체의 CVD, 초임계 유체로부터의 증착, 및 저 에너지 CVD(LECVD)를, 비제한적으로 포함한다. 일 양태에서, 상기 증착 공정은 LPCVD를 포함한다. 다른 양태에서, 상기 증착 공정은 PECVD를 포함한다.
특정 구체예에서, 금속 함유 막은 플라즈마 강화 ALD(PEALD) 또는 플라즈마 강화 사이클릭 CVD(PECCVD) 공정을 통해 증착된다. 본원에 사용되는 용어 "화학 기상 증착 공정"은 기판이 상기 기판 표면 상에서 반응하고/하거나 분해되는 하나 이상의 휘발성 전구체에 노출되어 원하는 증착을 생성하는 어떠한 공정을 나타낸다. 본원에 사용되는 용어 "원자층 증착 방법"은 가변적 조성물 기판 상에 물질의 등각막을 증착하는 자기 제한적(예컨대, 각각의 반응 사이클에서 증착된 막 물질의 양이 일정함), 연속 표면 화학을 나타낸다. 본원에 사용되는 전구체, 시료 및 원료가 때로는 "가스"로 기재될지라도, 직접 기화, 버블링(bubbling) 또는 승화를 통해 반응기로 불활성 가스와 함께 또는 불활성 가스 없이 전달될 수 있는 액체 또는 고체일 수 있음이 이해된다. 일부 경우에서, 증발된 전구체는 플라즈마 발생기를 통과할 수 있다. 일 구체예에서, 실리콘계 막은 ALD 공정을 사용하여 증착된다. 다른 구체예에서, 실리콘계 막은 CVD 공정을 사용하여 증착된다. 추가 구체예에서, 실리콘계 막은 열 CVD 공정을 사용하여 증착된다.
특정 구체예에서, 증착 방법에 따라, 하나 이상의 실리콘계 전구체가 예정된 몰 부피, 또는 약 0.1 내지 약 1000 마이크로몰로 반응기에 도입될 수 있다. 이러한 또는 다른 구체예에서, 실리콘계 전구체는 예정된 시간, 또는 약 0.001 내지 약 500 초 동안 반응기에 도입될 수 있다.
본원에 기재된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징하는데 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 불활성 가스의 예로는 Ar, N2, He, 네온, H2 및 이들의 혼합물을 포함하나, 이로 제한되는 것은 아니다. 특정 구체예에서, Ar과 같은 퍼지 가스가 약 0.1 내지 1000 초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기에 공급되고, 이로써 반응기에 잔류할 수 있는 미반응 물질 및 어떠한 부산물을 퍼징시킨다.
특정 구체예에서, 오가노실리콘 전구체는 고형물을 형성하는 특정 증착 조건 하에 순수하게, 또는 추가의 반응물 또는 캐리어 가스 없이 도입된다. 이러한 또는 그 밖의 구체예에서, 아르곤 및/또는 그 밖의 가스의 흐름은 전구체 펄싱 동안 하나 이상의 실리콘계 전구체의 증기를 반응 챔버에 전달하는 것을 돕기 위한 캐리어 가스로서 사용될 수 있다.
하나 이상의 실리콘 전구체는 여러 가지 방식으로 사이클릭 CVD 또는 ALD 반응기와 같은 반응 챔버에 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안의 구체예에서, 예를 들어, MSP 코포레이션(MSP Corporation, Shoreview, MN)에 의해 제작된 터보 기화기와 같은 조합된 액체 전달 및 플래쉬 기화 공정 유닛이 사용되어 저 휘발성 물질이 용량 체적으로 전달될 수 있게 할 수 있으며, 이는 전구체의 열 분해 없이 재현가능한 전달 및 증착을 유도한다. 액체 전달 포뮬레이션에 있어서, 본원에 기재된 전구체는 순수한 액체형으로 전달될 수 있거나, 대안적으로 본원에 기재된 전구체를 포함하는 용매 포뮬레이션 또는 조성물로 사용될 수 있다. 따라서, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 막을 형성시키기 위해 제시된 최종 용도 적용에 바람직하고 유리할 수 있음에 따라 적합한 특성의 용매 성분(들)을 포함할 수 있다.
반응 챔버에서의 증착 온도는 100℃ 내지 600℃의 범위이다. 증착 온도의 예로는 하기 종말점 중 하나 이상을 포함한다: 100℃, 150℃, 200℃, 250℃, 300℃, 350℃, 400℃, 450℃, 500℃, 550℃, 및 600℃. 증착 온도(들)의 적합한 범위의 예로는 비제한적으로 100℃ 내지 400℃, 200°내지 450℃, 또는 300°내지 600℃를 포함한다.
특정 구체예에서, 반응 챔버 내 증착 공정 동안 압력은 약 0.5 내지 약 6 Torr, 또는 약 2 내지 약 4 Torr, 또는 약 3 내지 약 5 Torr의 범위이다. PECVD 증착 공정에 대해, 증착 공정 동안의 압력은 약 2 내지 약 6 Torr의 범위일 수 있다. LPCVD 증착 공정에 대해, 증착 공정 동안의 압력은 약 0.25 내지 약 1.25 Torr 또는 약 1 Torr 범위일 수 있다.
반응을 유도하고, 기판 상에 실리콘계 막 또는 코팅을 형성시키기 위해, 전구체, 그 밖의 비산소 공급원, 환원제, 그 밖의 전구체 또는 이들의 조합물에 에너지가 가해진다. 이러한 에너지는 열, 플라즈마, 펄스 플라즈마(pulsed plasma), 헬리콘 플라즈마(helicon plasma), 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자(photon), 및 원격 플라즈마 방법에 의해 제공될 수 있으며, 이러한 방법으로 제한되는 것은 아니다. 특정 구체예에서, 2차 RF 주파수원이 사용되어 기판 표면에서 플라즈마 특성을 변형시킬 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-생성 공정은 플라즈마가 반응기 내에서 직접 생성되는 직접 플라즈마-생성 공정, 또는 플라즈마가 반응기 외부에서 생성되어 반응기에 공급되는 원격 플라즈마-생성 공정을 포함할 수 있다.
전형적인 ALD 또는 CVD 공정에 있어서, 산화실리콘 기판과 같은 기판이 초기에 실리콘계 전구체예 노출되는 반응 챔버 내 가열기 스테이지 상에서 가열되어 착물이 기판 표면 상에 화학적으로 흡착하도록 한다.
본원에 기재된 방법의 단계들은 다양한 순서로 수행될 수 있으며, 순차적으로 또는 동시에 (예를 들어, 또 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이들의 어떠한 조합으로 수행될 수 있는 것으로 이해해야 한다. 전구체 및 나머지 공급 가스(N-공급원; C-공급원)를 공급하기 위한 각각의 단계는 형성되는 실리콘계 막의 화학량론적 조성을 변경시키기 위해 이들을 공급하는 기간을 변경함으로써 수행될 수 있다.
다성분 실리콘계 막에 있어서, 대안적으로 하나 이상의 그 밖의 전구체, 예컨대 실리콘계 전구체, 질소 함유 전구체, 환원제, 또는 그 밖의 시약이 반응 챔버에 도입될 수 있다.
질소 함유 전구체는 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 일차 아민, 이차 아민, 삼차 아민 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다. 예시적인 모노알킬하이드라진으로는 메틸하이드라진, 및 3차-부틸하이드라진을 포함하나, 이로 제한되는 것은 아니다. 예시적인 디알킬하이드라진으로는 1,1-디메틸하이드라진을 포함하나, 이로 제한되는 것은 아니다. 예시적인 일차 아민으로는 메틸아민, 에틸아민, 이소-프로필아민, 3차-부틸아민을 포함하나, 이로 제한되는 것은 아니다. 예시적인 이차 아민으로는 디메틸아민, 디에틸아민, 디-이소-프로필아민을 포함하나, 이로 제한되는 것은 아니다. 예시적인 삼차 아민으로는 트리메틸아민, 트리에틸아민, 피리딘을 포함하나, 이로 제한되는 것은 아니다.
비 "R"은 하나 이상의 오가노실리콘 전구체의 양에 대한 하나 이상의 그 밖의 전구체 또는 시약, 예컨대 질소 함유 전구체의 양에 대한 유량 비로서 정의된다. 따라서, R은 증착 또는 반응 챔버로의 하나 이상의 오가노실리콘 전구체의 유량에 대한 하나 이상의 그 밖의 시약 또는 전구체의 유량이다. 예를 들어, R은 질소 함유 전구체가 CVD 및 LPCVD 공정에 대해 첨가되는 경우, 약 0.25 내지 약 20의 범위일 수 있다. PECVD 공정이 사용되는 구체예에 있어서, R은 1 또는 그 미만이거나 약 0.25 내지 약 1이다.
특정 구체예에서, 형성되는 실리콘계 막 또는 코팅은 증착후 처리, 예컨대, 플라즈마 처리, 화학적 처리, UV광 노출, 전자 빔 노출, 및/또는 하나 이상의 막 특성에 영향을 미치는 그 밖의 처리로 노출될 수 있으나, 이들 처리로 제한되는 것은 아니다.
1,4-디실라부탄에 의해 증착된 막은 그 밖의 공지된 알킬실란에 비해 특이적인 막 특성을 나타낸다. 1,4-디실라부탄으로 증착된 LPCVD의 실리콘계 막은 소정의 탄소 도핑에 의한 주로 비정질 실리콘이다. 이러한 실리콘계 막의 굴절률(RI)은 동일 조건 하에서 증착되는 경우에 2.3의 RI를 지닌 1,3-디실라부탄을 사용하여 증착된 탄화실리콘와 같은 종래 기술에 보고된 탄화실리콘의 범위를 초과하는데, 1,4-디실라부탄을 사용하는 실리콘계 막은 대략 3.2의 RI를 지닌다. LPCVD를 사용하여 증착된 막과 같은 특정 구체예에서, 1,4-디실라부탄으로부터 증착된 막은 암모니아 없이 1,4-디실라부탄으로부터 증착된 실리콘 카바이드, 또는 암모니아와 함께 1,4-디실라부탄으로부터 증착된 실리콘 카보니트라이드에 대해 50 원자% 초과의 실리콘을 유지한다. 수소의 존재 하에서 1,4-디실라부탄으로 증착된 실리콘계 막의 PECVD는 또한 50 원자% 초과의 실리콘을 나타내었다.
또 다른 구체예에서, 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체를 포함하는 실리콘계 막을 증착시키기 위한 용기가 본원에 기재된다. 한 가지 특정 구체예에서, 용기는 CVD, LPCVD 또는 ALD 공정을 위해 하나 이상의 오가노실리콘 전구체를 반응기에 전달하도록 하는 적절한 밸브 및 부속품이 장착된 (바람직하게는 스테인레스 스틸의) 하나 이상의 가압가능한 용기를 포함한다. 이러한 또는 그 밖의 구체예에서, 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체는 스테인레스 스틸로 구성된 가압가능한 용기에 제공되며, 전구체의 순도는 대다수의 반도체 적용에 적합한 98 중량% 또는 그 초과 또는 99.5% 또는 그 초과이다. 특정 구체예에서, 이러한 용기는 또한 하나 이상의 오가노실리콘 전구체를 요망에 따라 하나 이상의 추가의 전구체와 혼합하기 위한 수단을 포함할 수 있다. 이들 또는 그 밖의 구체예에서, 용기(들)의 내용물은 추가의 전구체와 예비혼합될 수 있다. 대안적으로, 하나 이상의 오가노실리콘 전구체 및/또는 그 밖의 전구체는 저장 동안과 별개의 오가노아미노실란 전구체 및 그 밖의 전구체를 유지시키기 위한 분리 수단을 지닌 단일 용기에서 또는 분리된 용기에서 유지될 수 있다.
하나 이상의 오가노실리콘 전구체(들)이 용매 및 본원에 기재된 하나 이상의 오가노실리콘 전구체를 포함하는 조성물로 사용되는 그러한 구체예에서, 선택된 용매 또는 이들의 혼합물은 실리콘 전구체와 반응하지 않는다. 조성물 중 용매의 중량 %의 양은 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 또는 그 밖의 구체예에서, 용매는 하나 이상의 오가노실리콘의 비점(b.p.)과 유사한 비점(b.p.)을 갖거나, 용매의 b.p.과 하나 이상의 오가노실리콘 전구체의 b.p. 간의 차는 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 또는 10℃ 또는 그 미만이다. 대안적으로, 비점 간의 차는 하기 종말점 중 어느 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차의 적합한 범위의 예는 비제한적으로 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물 중 적합한 용매의 예로는 에테르(예컨대 1,4-디옥산, 디부틸 에테르), 삼차 아민(예컨대, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예컨대, 벤조니트릴), 알칸(예컨대 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예컨대, 톨루엔, 메시틸렌), 3차 아미노에테르(예컨대, 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함하나, 이로 제한되는 것은 아니다.
앞서 언급된 바와 같이, 하나 이상의 오가노실리콘 전구체(들)의 순도 수준은 신뢰성있는 반도체 제작을 위해 허용되도록 충분히 높다. 특정 구체예에서, 본원에 기재된 하나 이상의 오가노실리콘는 2 중량% 미만, 또는 1 중량% 미만, 또는 0.5 중량% 미만의 하기 불순물 중 하나 이상을 포함한다: 유리 아민, 유리 할라이드 또는 할로겐 이온, 및 보다 높은 분자량의 화학종. 본원에 기재된 오가노실리콘 전구체의 보다 높은 순도 수준이 하기 공정 중 하나 이상을 통해 얻어질 수 있다: 정제, 흡착, 및/또는 증류. 둘 이상의 SiH3 기를 지닌 오가노실리콘 전구체의 불순물은 사용되는 원료, 사용되는 용매, 부반응 또는 부산물로부터 형성될 수 있다. 예를 들어, 1,4-DSB는 용매 중 금속 하이드라이드 또는 리튬 알루미늄 테트라하이드라이드의 존재 하에 1,1,1,4,4,4-헥사클로로디실라부탄 또는 1,4-알콕시디실라부탄의 환원을 통해 제조될 수 있다. 특정 구체예에서, 산소 함유 용매, 예컨대 테트라하이드로푸란, 글라임(gylime) 또는 어떠한 그 밖의 부산물은, 형성되는 실리콘계 막으로 어떠한 가능성있는 산소 혼입을 제거하기 위해 정제 공정을 통해 제거되어야 한다. 일부 경우에, 부산물은 실리콘계 막을 증착시키기 위한 도펀트로서 사용될 수 있는 오가노실리콘 화합물일 수 있다.
특정 구체예에서, 형성되는 실리콘 함유 막 또는 코팅은 증착후 처리, 예컨대, 플라즈마 처리, 화학적 처리, UV광 노출, 전자 빔 노출, 및/또는 하나 이상의 막 특성에 영향을 미치는 그 밖의 처리로 노출될 수 있으나, 이들 처리로 제한되는 것은 아니다. 한 가지 특정 구체예에서, 실리콘계 막은 약 500 내지 약 1000℃ 범위의 하나 이상의 온도에서 열적 어닐링(annealing) 처리된다. 특정 구체예에서, 본원에 기재된 실리콘 함유 막의 유전 상수는 10 또는 그 미만, 9 또는 그 미만, 7 또는 그 미만, 6 또는 그 미만, 또는 5 또는 그 미만이다. 그러나, 막의 요망하는 최종 용도에 의거하여 그 밖의 유전 상수(예를 들어, 보다 높거나 보다 낮은)를 지닌 막이 형성될 수 있는 것으로 여겨진다. 본원에 기술된 오가노실리콘 전구체 및 방법을 사용하여 형성되는 실리콘을 함유하는 막 또는 실리콘 함유 막의 예는 화학식 SixCyNz을 지니며, 여기서 예를 들어, XPS 또는 그 밖의 수단에 의해 측정되는 경우, Si는 약 51 원자량% 내지 약 100원자량% 또는 약 55원자량% 내지 약 85원자량%의 범위; C는 약 0 원자량% 내지 약 50 원자량% 또는 약 5 원자량% 내지 약 25원자량%의 범위; N은 약 0원자량% 내지 약 50 원자량% 또는 약 0 원자량% 내지 25원자량%이고, x+y+z = 100 원자량%이다.
본원에서 기재되는 막은 부동화층(passivation layer) 또는 희생층(sacrificial layer), 예컨대, 비제한적으로 에치 스탑(etch stop) 또는 허메틱 배리어(hermetic barrier)로서 사용하기에 적합하다. 또한, 본원에서 기재되는 막은 고체 전자 소자(solid state electronic device), 예컨대, 로직(logic), 메모리(memory), 발광 다이오드(light emitting diodes)(LED), 플레이너 소자(devices planar), 패턴드(patterned), 컴퓨터 칩(computer chip), 광학 소자(optical device), 자기 정보 저장 매체(magnetic information storage), 지지 물질 또는 기판 상의 코팅, 마이크로기전 시스템(microelectromechanical system), 박막 트랜지스터(thin 막 transistor)(TFT), 및 액정 디스플레이(LCD)에 사용될 수 있다.
앞서 언급된 바와 같이, 본원에 기재되는 방법은 기판의 적어도 일부 상에 실리콘 함유 막을 증착시키는데 사용될 수 있다. 적합한 기판의 예로는 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트(photoresist), 가요성 기판(flexible substrate), 유기 폴리머, 다공성 유기 및 무기 물질, 예컨대, 구리 및 알루미늄, 및 확산 방지층(diffusion barrier layer), 예컨대 이로 제한되는 것은 아닌 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN를 포함하나, 이로 제한되는 것은 아니다. 막은 다양한 후속 공정 단계, 예를 들어, 화학적 기계적 평탄화(chemical mechanical planarization)(CMP) 및 이방성 식각 공정(anisotropic etching process)과 상용성이다.
하기 실시예는 오가노아미노디실란 전구체를 제조하고, 뿐만 아니라 본원에 기재된 실리콘 함유 막을 증착시키는 방법을 예시한다.
실시예
실시예 1: 저압 화학 기상 증착( Low - Pressure Chemical Vapor Deposition ) - LPCVD
독일의 ATV Inc에 의해 제작된 LPCVD 노를 사용하여, 1,4-디실라부탄을 사용하는 실리콘계 막을 다양한 온도에서 증착시키고, 순수한 전구체로서, 또는 암모니아의 존재 하에 노에 도입하였다. 모든 실리콘 함유 막을 중간 저항(8-12 Ωcm)의 단결정 실리콘 웨이퍼 기판 상에 증착시켰다. 각 막 증착에 대한 데이터가 표 1 및 표 2에 요약된다. 표에서, "ND"는 검출되지 않음을 의미한다. 하기 실시예에서, 두께 및 광학 성질, 예컨대, 648nm에서 막의 굴절률은 표준 반사계측법 또는 타원 계측법 측정 시스템, 예를 들어, FilmTek 2000SE 타원계(ellipsometer)로, 널리 공지되어 있는 데이터 피팅 기술(data fitting technique)을 사용하여 수행되었다. 모든 상기 분석에 대한 전형적인 막 두께는 약 20 내지 약 150 나노미터 범위였다(막 두께가 너무 낮아 막 조성 분석을 수행할 수 없었던 실험 16 및 17은 제외함). 막의 화학적 조성의 특징화를 다-채널판 검출기(multi-channel plate detector) (MCD) 및 Al 단색 X-선원(monochromatic x-ray source)이 구비된, Physical Electronics 5000VersaProbe XPS Spectrometer를 사용하여 달성하였다. XPS 데이터를 Alkα X-선 여기(25 mA 및 15 kV)를 사용하여 수집하였다. 표 1의 모든 밀도 및 결정도(crystallinity) 측정은 X-선 반사율(x-ray reflectivity)(XRR)을 사용하여 달성하였다
1,4-디실라부탄의 노 전달은 증기 유도(vapor draw)를 통해서 이루어졌으며, 증기 유량을 계측하기 위해 질량 유량 제어기(mass flow controller)(MFC)를 사용하였다. 실험 동안 노 압력은 200 mT 내지 1750 mT에서 달라지며, 전형적인 챔버 압력은 표 2에 기재된 바와 같이 1000mT(1T)였다. 증착 동안 노 내로 유입되는 1,4-디실라부탄의 전형적인 유량은 전형적으로 표 2에 기재된 바와 같이 10 내지 33의 표준 세제곱미터(standard cubic centimeter)(sccm)이었다.
표 1
Figure pat00003
표 1은 ATV LPCVD 노를 사용한 1,4-디실라부탄의 7 개의 연속적인 실험에 대한 데이터를 요약한 것이다. 표 1에 제시된 데이터에 있어서, 1,4-디실라부탄의 전구체 유량은 27 sccm로 일정하게 유지되었고, 노 온도 및 증착 시간은 변동되었고, 일부 실험에 대해 증착이 암모니아 가스를 첨가하면서 일어났다(실험 1 내지 3). 암모니아에 의한 실험에 있어서, 증착 동안 20 sccm로 첨가되었다. 노 압력은 모든 실험에 대해 1Torr에서 일정하게 유지되었다.
550℃에서, 순수한 전구체로서, 실험 4에 대해, 형성된 1,4-디실라부탄의 막은 일부 탄소 혼입이 있는 주로 비정질 실리콘이었다. 이는 전형적으로 2.8인 실리콘 카바이드에 대한 굴절율 범위를 크게 초과하는 3.63 내지 3.68의 매우 높은 RI에 의해 나타났다.
노 온도가 감소함에 따라, RI가 475℃에서 3.29 또는 3.32로 떨어지면서 막 내 탄소 함량이 감소하고, 벌크 RI가 떨어졌다.
암모니아 도입은 일부 질소가 막에 혼입되게 하였지만, 막은 여전히 RI가 500℃에서 2.8(실험 1) 내지 550℃에서 3.5(실험 2) 범위인 주로 실리콘 풍부 상태(예를 들어, 50 원자량% Si 초과인)로 존재하였다.
그러한 결과는 막 특성이 증착 온도, 암모니아 첨가 또는 이 둘 모두를 조절함으로써 변경될 수 있음을 나타냈다. 예를 들어, 암모니아 첨가는 보다 많은 질소가 막에 혼입되게 하였고, 온도 감소는 보다 적은 탄소가 막에 혼입되게 하였으나, 막은 여전히 실리콘 카바이드 또는 실리콘 카보니트라이드 막에서 50 원자% 초과의 실리콘으로 유지되었다.
50 원자% 초과의 실리콘은 표 1에 제시된 조성 데이터로 알 수 있으며, 여기서 원자%는 수소를 빼고 계산된 것이며(XPS에 의해 검출될 수 없었음), 100%로 표준화되었다. 막의 조성은 XPS에 의해 측정되었다. 결과는, 막이 약간의 탄소, 또는 탄소 및 질소 혼입이 있는, 주로 실리콘 함유 막임을 나타냈다.
순수한 전구체(실험 4)에 의해 나타난 굴절률은 실리콘이 매우 풍부한 막이 실리콘 카바이드가 아님을 나타내었으며, 또한 XPS는 막의 높은 Si:C 비를 입증하였다. 500℃의 온도에서, Si:C 비는 3:1(실험 6 표 1)에 가까웠으며, 이는 증착 온도 감소로 인한 보다 적은 탄소 혼입과 일치하였다.
X-선 회절(XRD)은 막이 특성 상 결정질이 아니라 비정질임을 나타냈다. 암모니의 첨가가 있는 증착은 막에 질소를 첨가시켰다. 최종 막 특성은 암모니아의 첨가 및 탄소 및 질소 혼입을 조절하기 위해 증착 온도 조절에 기초하여 조절될 수 있다.
표 2는 전구체 유량, 노 압력, 증착 온도, 막 조성에 대한 암모니아 유량 변동의 효과를 조사한, 제 2의 막 증착 세트에 대한 결과를 나타낸다. 표 2와 관련하면, 증착이 없었던 실험 16 및 XPS 측정에 대해 지나치게 얇은 0.45nm인 실험 17을 제외하고, 표 2의 모든 웨이퍼에 대한 막 두께는 50nm 초과였다.
표 2
Figure pat00004
표 2에서 상기 기재된 바와 같이, 온도가 증착률에 대해 가장 큰 변화를 가졌다. 이와 관련하여, 증착 온도가 강하됨에 따라, 증착률이 느려졌다. 노 압력(실험 11, 15, 16 및 18)이 또한 막에 어느 정도 영향을 미쳤으나, 가능하게는, 노 내 그러한 압력 방식 하에서 전구체 및 가스에 대한 공명 시간(resonance time)의 부족으로 인해, 증착이 달성되지 않은, 250mT의 초저 압력(실험 16)은 예외이다.
막 내 질소 함량의 조절은 증착 동안 1,4-디살라부탄에 대한 암모니아의 양을 변동시킴으로써, 즉, 비 R을 변동시킴으로써 달성될 수 있다. 1,4-디살라부탄에 대한 암모니아의 높은 유량(높은 R)은 막 내 보다 많은 질소를 형성시켰다. 그러나, 1,4-디살라부탄에 대한 암모니아의 높은 비만이 상당한 질소가 막에 혼입될 수 있다. 10의 NH3:1,4-디실라부탄 비(R)(표 2에서 실험 14에서와 같이 기재된 10:1 유량)의 경우, 질소는 XPS에 의해 검출된 약 28% N으로 증착 막에서 가장 높은 수준에 도달하였다. 그러나, 암모니아의 양을 변경시킴에도 불구하고, 실리콘은 여전히 막 내 50 원자량% 초과로 잔류하였다.
1,4-디실라부탄 및 암모니아으로 증착된 실리콘 막은 여전히 2.8 내지 3.1에서 변동하는 RI를 갖는 전형적인 실리콘 니트라이드 또는 실리콘 카바이드보다 높은 RI를 나타냈다. 순수한 전구체 증착의 경우에서와 같이, 증착된 막의 XPS는 여전히 탄소(2.2:1) 및 질소(9.5:1) 둘 모두에 대해 매우 높은 실리콘 비를 나타냈다. XRD는 또한 막이 주로 비정질 실리콘임을 나타냈다. XRD로 측정된 막 밀도는 저온 CVD 증착에 대해 예상되는 범위 내에 있었으며, 밀도는 대략 7 E+22 at/cc 였다.
X-선 광전자 분광법(X-ray photoelectron spectroscopy)(XPS)을 사용하여 표 1의 실험 번호 2, 4 및 6에 대해 1,4-디실라부탄으로부터 증착된 막에 대한 막 조성을 분석하였다. 스펙트럼을 30% 가우시안 분포(Gaussian distribution)로 CasaXPS를 사용하여 곡선 피팅하였다. 사용된 FWHM는 화합물에 대해 표준인 것이었다.
제 1 스퍼터 간격 이후, Si-C 성분이 우세하면서 Si 2p 영역에 보여지는 성분들의 수는 하나 또는 일부 경우에 2개로 감소한 반면, 산소는 존재하지 않았고(검출되지 않음), 질소는 미정 비의 실리콘 니트라이드로서 존재할 수 있다. C 1s 영역에서 주 성분은 매우 협소하였다. 이는 탄소가 카바이드계이라기 보다 그라파이트계임을 의미할 수 있다. 실험 2 샘플의 C 1s에서 주 성분의 FWHM는 카바이드 피크와 일치하는 1.1 eV이었다. 실험 4 및 실험 6의 C 1s 영역에서 주 성분의 FWHM는 1.0 eV이었으며, 이는 카바이드 피크에 대해서는 약간 좁고, 그라파이트 피크에 대해서는 약간 넓었다.
도 1은 10 sccm의 1,4-디실라부탄을 사용하여 500℃에서 증착된 표 2로부터의 실험 조건 11 하에 생성된 실리콘계 막의 XRD를 나타낸다. 스침 입사(Grazing Incidence) XRD(GI-XRD)를 사용하여 막 내 결정상을 발견하지 못하였으며, 이는 막이 비정질임을 나타낸다. 또한, 도 1은 존재하는 결정질 SiCN, SiC, SiN, 또는 SiO2 상이 없음을 나타낸다.
표 3은 전방 수소 산란(forward hydrogen scattering)(FHS)에 의해 어닐링하기 전 및 후의 도 1에 사용된 막의 조성(이는 또한 표 2의 증착 실험 11임)을 나타낸다. 막의 수소 함량은 어닐링에 의해 감소하였고, XRD에 의해 측정된 바와 같이 막 밀도에서 약간의 변화가 있었다. 막 밀도의 감소는 막이 열적 어닐링에 대해 상당히 안정함을 나타내는 것이다. 또한, 어닐링은 결정 상을 생성하지 않았다. 증착되고, 어닐링된 막의 막 안정성은 매우 안정하였고, 산화 또는 가수분해에 대해 민감하지 않았다. 무가습 제어 랩(lab) 분위기로의 노출 후 XPS 또는 FHS에서 막 중에 산소가 검출되지 않았다.
표 3
Figure pat00005
두개의 실리콘 원자가 메틸렌에 의해 연결되는 유사한 실리콘 전구체 1,3-디실라부탄 (1,3-DSB)로 증착된 막과 비교한 경우, 1,4-디실라부탄(1,4-DSB)로부터 증착된 막의 특이성이 나타났다. 동일한 조건 하에서 증착되는 경우, 1,3-디실라부탄으로부터 형성된 막은 전형적인 실리콘 카바이드 막과 더욱 흡사한 것으로 입증되었고, 종래 기술에 개시된 것, 즉, 화학량론적 실리콘 카바이드의 형성과 일치하는 주로 비정질 실리콘 막을 형성하지 않았다.
표 4는 두 개의 막을 직접 비교하고 있다. 1,3-디실라부탄으로부터 증착된 막은 RI가 2.58인 전형적인 실리콘 카바이드를 제공한 반면, 1,4-디실라부탄은 동일한 증착 조건 하에서 RI가 3.68인 주로 실리콘-풍부 카바이드 막을 생성하였다. 두 개의 전구체가 암모니아와 함께 증착된 경우, 1,3-디실라부탄으로부터 증착된 막의 굴절률은 전형적인 화학량론적 실리콘 카바이드보다 낮았지만, 1,4-디실라부탄 막의 RI는 실리콘 카바이드 또는 카보니트라이드의 RI보다 높았고, 질소 혼입이 있음에도 여전히 실리콘이 풍부하였다(예를 들어, 50 원자량% 초과를 지님).
표 4. 1,4-DSB 대 1,3-DSB의 비교
Figure pat00006
그 밖의 막을 550℃의 증착 온도에서, 그리고 암모니아와 함께 또는 없이 표 4에 기재된 바와 같은 동일한 증착 조건을 사용하여 1,4-디살라부탄과 비교하기 위해 전구체 2,4-디실라펜탄(2,4-DSP)을 사용하여 증착시켰다. 550℃에서, 그리고 50 Å/min 초과의 증착률로 증착된 1,4-디실라부탄과는 달리, 전구체 2,4-DSP는 막을 전혀 생성하지 않았다. 노 온도를 600℃로 상승시킨 경우, 2,4-DSP는 대략 2.61 Å/min로 증착되었고, 650℃에서, 증착률은 13 Å/min에 달하였다. 2,4-DSP 및 20 sccm NH3을 사용하여 증착된 막의 굴절률은 각각 600℃에서 2.18이었으며, 650℃에서 2.15였다. 2,4-DSP를 사용하여 증착된 막은 1,4-DSB로 제조된 것들과 유사한 막 특성을 생성하지 않았다. 이는 두 개의 실리콘 원자 사이에 에틸렌 브릿지를 지닌 오가노실리콘 전구체가 두 개의 실리콘 원자 사이에 메틸렌 브릿지를 지닌 그 밖의 전구체, 예컨대 1,3-DSB 및 2,4-DSP에 비해 보다 우수한 증착 거동, 막 특성, 또는 이 둘 모두를 지닌, 실리콘계 막을 형성하는 경향이 있음을 입증한다. 또한, 1,3-DSB 및 2,4-DSP 막 둘 모두에 대한 굴절률은 막 중 실리콘의 원자량%가 50% 이하이거나, 그렇지 않으면 이들 막이 보다 높은 RI를 나타낼 것임을 나타낸다.
실시예 2: 플라즈마 강화 화학 기상 증착( Plasma Enhanced Chemical Vapor Deposition )- PECVD
실리콘 함유 막을 중간 저항 (8-12 Ωcm)의 단결정 실리콘 웨이퍼 기판 상에 증착시켰다. 모든 증착은 실란 또는 TEOS 공정 키트를 사용하여, Astron EX 원격 플라즈마 생성기가 장착된 200 mm DXZ 챔버 내 Applied Materials Precision 5000 시스템으로 수행하였다. PECVD 챔버는 직접 액체 주입 전달 능력을 갖추었다. 실란을 제외하고, 모든 전구체는 전구체의 비점에 의존하는 전달 온도로 액체였다. 전형적인 액체 전구체 유량의 범위는 100 내지 800 mg/min이고; 플라즈마 파워 밀도 범위는 0.75 내지 2.5 W/cm2이고; 압력 범위는 0.75 내지 8 Torr였다. 두께 및 632 nm 에서의 굴절률(RI)을 굴절계로 측정하였다. 전형적인 막 두께의 범위는 100 내지 1000 nm였다. 실리콘 함유 막의 수소 함량 결합 특성(Si-H, C-H 및 N-H)을 측정하고, 니콜렛 전이(Nicolet transmission) 푸리에 변환 적외선 분광 측정(Fourier transform infrared spectroscopy)(FTIR) 기구에 의해 분석하였다. 모든 밀도 측정은 X-선 반사율(XRR)을 사용하여 달성하였다. X-선 광전자 분광법(X-ray Photoelectron Spectroscopy)(XPS) 및 러더퍼드 후방산란 분광법(Rutherford Backscattering Spectrometry)(RBS) 분석을 수행하여 막의 원소 조성을 측정하였다. 습식 식각비(wet etch rate)(WER)를 10:1 완충된 옥사이드 에치(Buffered Oxide Etch)(BOE) 용액 및 희석된 HF(5% 이하) 용액 중에서 측정하였다. 유전 상수, 누설 전류 및 파괴 장(breakdown field)을 포함하는 전기적 특성을 위해 수은 프로브를 채택하였다.
오가노실리콘 전구체를 하기 표 5 및 6에서 요약된 방법론을 사용하여 증착시켰다. 무산소 실리콘 함유 막을 전구체로서 1,4-디실라부탄, 전구체, 50 내지 100 sccm의 전구체 유량, 25 sccm 내지 50 sccm의 NH3 유량(750 sccm에서 H2 일정), 2 내지 6 torr의 챔버 압력, 300 내지 800 W의 RF 파워 (13.56 MHz)를 사용하여 증착시켰으며, 증착 온도는 200 및 300℃로 설정되었다. 300 sccm 유량의 헬륨 캐리어를 모든 조건에 첨가하였다.
표 5. 1,4-디실라부탄을 사용하는 실리콘-풍부 카바이드의 PECVD
Figure pat00007
표 1. 증착 실험 # 1에서, 실리콘계 막 내 Si-H 결합의 함량은 1.33x1022cm- 3였으며, C-H는 1.23x1022cm- 3였다. 이 막에 대한 파괴 전압은 1.9 MV/cm였다.
표 6. 1,4-디실라부탄을 사용하는 실리콘-풍부 카보니트라이드의 PECVD
Figure pat00008

SiCN 막에 있어서, Si-풍부 막에 유리할 수 있는 조건은 보다 낮은 파워, 보다 낮은 압력, 보다 낮은 암모니아 유량, 및 상대적으로 보다 높은 온도를 포함하는 것으로 나타났다. 예를 들어, 증착 실험 #17에서, 실리콘계 막 내 Si-H 결합의 함량은 1.74x1022cm- 3였으며, C-H는 1.35x1022cm- 3였고, N-H는 8.38x1020cm- 3였다. 파괴 전압은 도 2에 기재된 바와 같이 3.43 MV/cm이었다. 이 파괴 전압은 표 5의 증착 실험 #1에서 1.9 MV/cm인 SiC 막과 비교한 경우 현저히 개선된 것이다.
열적 어닐링은 700℃의 온도에서 1,4-디실라부탄을 사용하여 증착되어 지는 실리콘계 막의 일부에 대해 수행되었다. 열적 어닐링 결과는 50 원자량% 미만의 실리콘을 지닌 실리콘계 막이 50 원자량% 초과의 실리콘을 지닌 실리콘계 막보다 더욱 열적으로 안정하였음을 나타낸다. 이들 결과는 LPCVD 증착된 실리콘계 막으로 나타난 것과는 다르다.
PECVD 증착에 대해 표 6에서 상기 기재된 바와 같이, 50 원자량% 초과의 실리콘 함량은 1,4-디실라부탄과 NH3 간의 비가 1 이상:1인 경우에만 얻어졌다. 증착 실험 #20은 보다 낮은 비가 Si-결핍된 SiCN 막을 생성함을 나타낸다. 이는 LPCVD 증착에 대한 실시예 1에서 나타난 것과는 다르다.

Claims (21)

  1. 기판의 하나 이상의 표면 상에 무산소 실리콘계 막을 형성시키는 방법으로서,
    기판의 하나 이상의 표면을 반응 챔버에 공급하는 단계;
    실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체를 반응 챔버에 공급하는 단계;
    화학 기상 증착(chemical vapor deposition)(CVD), 저압 화학 기상 증착(low pressure chemical vapor deposition)(LPCVD), 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition)(PECVD), 사이클릭 화학 기상 증착(cyclic chemical vapor deposition)(CCVD), 플라즈마 강화 사이클릭 화학 기상 증착(plasma enhanced cyclic chemical vapor deposition)(PECCVD, 원자층 증착(atomic layer deposition)(ALD), 및 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition)(PEALD)으로 이루어진 군으로부터 선택된 증착 공정에 의해 하나 이상의 표면 상에 무산소 실리콘계 막을 형성시키는 단계를 포함하며,
    무산소 실리콘계 막이 X-선 광전자 분광법(X-ray photoelectron spectroscopy)(XPS)에 의해 측정되는 경우, 약 51 내지 약 99 원자량%의 실리콘을 포함하는 방법.
  2. 제 1항에 있어서, 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체가
    Figure pat00009

    로 이루어진 군으로부터 선택되는 방법.
  3. 제 2항에 있어서, 하나 이상의 실리콘 전구체가 1,4-디실라부탄인 방법.
  4. 제 1항에 있어서, 증착 단계가 약 100℃ 내지 650℃ 범위의 하나 이상의 온도에서 수행되는 방법.
  5. 제 1항에 있어서, 무산소 실리콘계 막이 실리콘 카바이드 막, 실리콘 니트라이드 막, 및 실리콘 카보니트라이드 막으로 이루어진 군으로부터 선택되는 방법.
  6. 제 1항에 있어서, 증착 공정이 LPCVD를 포함하는 방법.
  7. 제 6항에 있어서, 하나 이상의 온도를 변경함으로써 무산소 실리콘계 막 내 탄소의 원자%를 조절하는 단계를 추가로 포함하는 방법.
  8. 제 6항에 있어서, 무산소 실리콘계 막이 비정질 실리콘-풍부 막인 방법.
  9. 제 1항에 있어서, 증착 공정이 PECVD를 포함하는 방법.
  10. 제 9항에 있어서, 질소 함유 전구체를 공급하는 단계를 추가로 포함하며, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소 함유 전구체의 양의 비가 약 0.25 내지 약 1의 범위인 방법.
  11. 기판의 하나 이상의 표면 상에 화학식 SixCyHz(여기서, XPS에 의해 측정되는 경우, x는 약 51 내지 100원자량%이고, y는 0 내지 50원자량%이고, z는 0 내지 50 원자량%임)을 지닌 무산소 실리콘계 막을 형성시키는 방법으로서,
    기판의 하나 이상의 표면을 반응 챔버에 공급하는 단계;
    실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체를 반응 챔버에 공급하는 단계;
    임의로 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 일차 아민, 이차 아민, 삼차 아민, 및 이들의 혼합물로 이루어진 군으로부터 선택된 질소 함유 전구체를 반응 챔버에 공급하는 단계; 및
    저압 화학 기상 증착(LPCVD)을 포함하는 증착 공정에 의해 하나 이상의 표면 상에 무산소 실리콘계 막을 형성시키는 단계를 포함하는 방법.
  12. 제 11항에 있어서, 실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체가
    Figure pat00010

    로 이루어진 군으로부터 선택되는 방법.
  13. 제 12항에 있어서, 하나 이상의 실리콘 전구체가 1,4-디실라부탄인 방법.
  14. 제 11항에 있어서, 증착 단계가 약 100℃ 내지 650℃ 범위의 하나 이상의 온도에서 수행되는 방법.
  15. 제 11항에 있어서, 질소 함유 전구체를 공급하는 단계를 추가로 포함하며, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소 함유 전구체의 양의 비가 약 0.25 내지 20의 범위인 방법.
  16. 제 11항에 있어서, 무산소 실리콘계 막이 실리콘 카바이드, 실리콘 니트라이드, 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택되는 방법.
  17. 제 11항에 있어서, 무산소 실리콘계 막이 비정질 실리콘-풍부 막인 방법.
  18. 제 11항에 있어서, 어닐링 단계를 추가로 포함하는 방법.
  19. 기판의 하나 이상의 표면 상에 무산소 실리콘계 막을 형성시키는 방법으로서,
    기판의 하나 이상의 표면을 반응 챔버에 공급하는 단계;
    실리콘 원자들 사이에 하나 이상의 C2 -3 연결기를 지닌 둘 이상의 SiH3 기를 지닌 하나 이상의 오가노실리콘 전구체를 반응 챔버에 공급하는 단계;
    임의로 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 일차 아민, 이차 아민, 삼차 아민, 및 이들의 혼합물로 이루어진 군으로부터 선택된 질소 함유 전구체를 반응 챔버에 공급하는 단계; 및
    플라즈마 강화 화학 기상 증착(PECVD)을 포함하는 증착 공정에 의해 하나 이상의 표면 상에 무산소 실리콘계 막을 형성시키는 단계를 포함하며,
    무산소 실리콘계 막이 XPS에 의해 측정되는 경우, 약 51 내지 약 99 원자량%의 실리콘을 포함하는 방법.
  20. 제 19항에 있어서, 반응 챔버내 반응 온도가 약 100℃ 내지 650℃ 범위인 방법.
  21. 제 19항에 있어서, 질소 함유 전구체를 공급하는 단계를 포함하며, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소 함유 전구체의 양의 비가 약 0 내지 약 1의 범위인 방법.
KR1020130090486A 2012-07-30 2013-07-30 무산소 함유 실리콘계 막 및 이의 형성 방법 KR101640153B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261677267P 2012-07-30 2012-07-30
US61/677,267 2012-07-30
US13/949,420 US9243324B2 (en) 2012-07-30 2013-07-24 Methods of forming non-oxygen containing silicon-based films
US13/949,420 2013-07-24

Publications (2)

Publication Number Publication Date
KR20140016203A true KR20140016203A (ko) 2014-02-07
KR101640153B1 KR101640153B1 (ko) 2016-07-15

Family

ID=48917373

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130090486A KR101640153B1 (ko) 2012-07-30 2013-07-30 무산소 함유 실리콘계 막 및 이의 형성 방법

Country Status (6)

Country Link
US (1) US9243324B2 (ko)
EP (1) EP2692897B1 (ko)
JP (2) JP5788932B2 (ko)
KR (1) KR101640153B1 (ko)
CN (1) CN103572251B (ko)
TW (1) TWI504775B (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
JP5855691B2 (ja) 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6340251B2 (ja) * 2014-05-30 2018-06-06 東京エレクトロン株式会社 SiCN膜の成膜方法
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
CN107002236B (zh) 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
EP3254303B1 (en) * 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9799511B2 (en) 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20190055645A1 (en) * 2016-02-26 2019-02-21 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
WO2020242868A1 (en) * 2019-05-24 2020-12-03 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010040901A (ko) * 1998-02-11 2001-05-15 조셉 제이. 스위니 저 유전 상수 필름을 증착하는 플라즈마 방법
US20060008661A1 (en) * 2003-08-01 2006-01-12 Wijesundara Muthu B Manufacturable low-temperature silicon carbide deposition technology
KR20070007937A (ko) * 2004-04-19 2007-01-16 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
KR20130044140A (ko) * 2011-10-21 2013-05-02 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4863755A (en) * 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4923716A (en) 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JPH073822A (ja) 1993-06-19 1995-01-06 Kyushu Sefutei:Kk 柱または壁用孔付コンクリート製品およびその型枠
KR960012710B1 (ko) 1993-10-11 1996-09-24 한국화학연구소 단일 유기규소 화합물을 이용한 탄화규소 막의 제조
TW285753B (ko) 1995-01-04 1996-09-11 Air Prod & Chem
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6858548B2 (en) 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP2013531899A (ja) 2010-07-02 2013-08-08 マシスン トライ−ガス インコーポレイテッド Si−含有材料および置換的にドーピングされた結晶性si−含有材料の選択エピタキシー
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8921014B2 (en) * 2011-10-14 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and method of forming a lithography mask

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010040901A (ko) * 1998-02-11 2001-05-15 조셉 제이. 스위니 저 유전 상수 필름을 증착하는 플라즈마 방법
US20060008661A1 (en) * 2003-08-01 2006-01-12 Wijesundara Muthu B Manufacturable low-temperature silicon carbide deposition technology
KR20070007937A (ko) * 2004-04-19 2007-01-16 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
KR20130044140A (ko) * 2011-10-21 2013-05-02 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체

Also Published As

Publication number Publication date
JP2014027285A (ja) 2014-02-06
EP2692897A1 (en) 2014-02-05
JP6356630B2 (ja) 2018-07-11
CN103572251A (zh) 2014-02-12
TW201404918A (zh) 2014-02-01
JP2015146461A (ja) 2015-08-13
EP2692897B1 (en) 2018-12-05
CN103572251B (zh) 2016-08-24
TWI504775B (zh) 2015-10-21
KR101640153B1 (ko) 2016-07-15
JP5788932B2 (ja) 2015-10-07
US20140030448A1 (en) 2014-01-30
US9243324B2 (en) 2016-01-26

Similar Documents

Publication Publication Date Title
KR101640153B1 (ko) 무산소 함유 실리콘계 막 및 이의 형성 방법
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
KR102243988B1 (ko) 3d 소자를 제작하기 위한 장치 및 전구체
EP2228465B1 (en) Methods for making dielectric films comprising silicon
KR20190034356A (ko) 표면 특징부를 충전하기 위한 저-k 필름의 제조를 위한 전구체 및 유동성 cvd 방법
EP3023514B1 (en) Silicon-based films and methods of forming the same
KR20190058698A (ko) 표면 피처의 충전용 저-k 필름을 제조하기 위한 전구체 및 유동가능 cvd 방법
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190617

Year of fee payment: 4