KR20070007937A - 낮은 k 유전체의 전도성 재료들에 대한 접착 개선 - Google Patents

낮은 k 유전체의 전도성 재료들에 대한 접착 개선 Download PDF

Info

Publication number
KR20070007937A
KR20070007937A KR1020067024129A KR20067024129A KR20070007937A KR 20070007937 A KR20070007937 A KR 20070007937A KR 1020067024129 A KR1020067024129 A KR 1020067024129A KR 20067024129 A KR20067024129 A KR 20067024129A KR 20070007937 A KR20070007937 A KR 20070007937A
Authority
KR
South Korea
Prior art keywords
plasma
silicon
compound
introducing
process chamber
Prior art date
Application number
KR1020067024129A
Other languages
English (en)
Other versions
KR101230326B1 (ko
Inventor
나가라잔 라자고파란
메이예 섹
알버트 리
안나마라이 라크쉬맨안
리-쿤 엑시아
젠지앙 쿠이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/828,023 external-priority patent/US20050233555A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070007937A publication Critical patent/KR20070007937A/ko
Application granted granted Critical
Publication of KR101230326B1 publication Critical patent/KR101230326B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

전도성 재료와 유전체층 사이에 접착층을 증착하기 위한 기판 처리 방법들이 제공된다. 하나의 관점에서, 본 발명은 기판 표면상에 배치된 전도성 재료를 갖는 기판을 배치하는 단계, 상기 기판 표면을 환원 화합물, 실리콘 기반 화합물, 또는 둘 모두에 노출시키는 단계, 기판 표면의 적어도 일부를 환원 화합물, 실리콘 기반 화합물, 또는 둘 모두와 반응시키는 단계, 및 진공 상태에서 실리콘 탄화물층을 증착하는 단계를 포함하는 기판 처리 방법을 제공한다.

Description

낮은 k 유전체의 전도성 재료들에 대한 접착 개선{ADHESION IMPROVEMENT FOR LOW k DIELECTRICS TO CONDUCTIVE MATERIALS}
본 발명은 집적 회로의 제작에 관한 것이며, 기판상에 유전체층들을 증착하는 공정 및 상기 유전체층에 의해 형성된 구조물들에 관한 것이다.
현대의 반도체 소자들의 제작에 있어서 주요한 단계들 중 하나는 가스의 화학 반응에 의해 기판상에 금속층들 및 유전체층들을 형성하는 것이다. 이러한 증착 공정들은 화학 기상 증착 또는 CVD로 불린다. 종래의 열 CVD 공정들은 반응성 가스들을 원하는 층을 생산하기 위하여 열-유도 화학 반응들이 발생하는 기판 표면에 활성화 가스를 가한다.
반도체 소자 구조들은 몇 십년 전에 이러한 소자들이 처음으로 도입된 이래로 크기가 극적으로 감소되어 왔다. 그 후로, 집적 회로들은 일반적으로 칩 상에 들어맞는 소자들의 개수가 2년마다 2배가 된다는 2년/½크기 법칙(종종 무어의 법칙으로 불린다)에 따라왔다. 오늘날 제작 설비들은 일반적으로 0.35㎛의, 심지어 0.18㎛ 피처 크기를 갖는 소자들을 생산하며, 미래의 설비들은 더욱 작은 구조를 갖는 소자들을 생산하게 될 것이다.
집적 회로들 상의 소자들의 크기를 더욱 줄이기 위하여, 낮은 저항력을 갖는 전도성 재료들을 사용하고, 낮은 유전 상수(유전 상수<4.0)를 갖는 절연체를 사용하며, 인접한 금속 라인들 간에 용량성 결합을 감소시키는 것은 필수적이 되었다. 이러한 낮은 k 유전체 재료로는 도핑되지 않은 유리(USG) 또는 플루오르-도핑된 실리콘 유리(FGS)와 같은 스핀-온(spin-on) 유리가 있으며, 이는 반도체 제조 공정에서 갭 충전층(fill layer)으로서 증착될 수 있다. 또다른 낮은 k 유전체 재료로는 다마신 피처 제작에 있어서 유전체층으로 사용될 수 있는 실리콘 옥시탄화물이 있다.
허용 가능한 전도성 재료로는 구리 및 구리의 합금들이 있으며, 이는 구리가 알루미늄보다 낮은 저항력(알루미늄의 3.1μΩ-cm와 비교하여 1.7 μΩ-cm임), 높은 전류 및 높은 수송력을 갖기 때문에 서브-쿼터-마이크론(sub-quarter-micron) 상호 연결 기술을 위한 선택적 재료가 될 수 있다. 이러한 특징들은 높은 레벨의 직접도 및 증가된 소자 속도를 나타내는 높은 전류 밀도들을 지지하는데 중요하다. 또한, 구리는 우수한 열 전도성을 가지며 매우 순수한 상태에서 사용 가능하다.
반도체 소자들에 구리를 사용하는데 있어 문제점 중 하나는 구리가 정밀한 패턴을 얻도록 에칭하기 힘들다는 점이다. 상호 연결들을 형성하기 위하여 종래의 증착/에칭 공정을 이용하여 구리를 에칭하는 것은 만족도가 낮았다. 따라서, 구리 함유 재료들 및 낮은 k 유전체 재료들을 갖는 상호 연결들을 제작하는 새로운 방법이 개발되고 있다.
수직 및 수평 상호 연결들을 형성하는 방법으로는 다마신 또는 이중 다마신 방법이 있다. 다마신 방법에서, 낮은 k 유전체 재료들과 같은 하나 이상의 유전체 재료들은 예컨대 비아(via)들과 같은 수직 상호접속부들 및 라인들과 같은 수평 상호접속부들을 형성하기 위하여 증착되고 패턴 에칭된다. 구리 함유 재료들과 같은 전도성 재료들 및 구리 함유 재료들이 주변의 낮은 k 유전체로 확산되는 것을 방지하기 위해 사용되는 배리어층 재료들과 같은 다른 재료들이 그 후 에칭된 패턴으로 박아넣어진다(inlaid). 기판의 필드 상에서처럼 에칭된 패턴과 무관한 임의의 과도한 구리 함유 재료들 및 과도한 배리어층 재료가 그 후 제거되고, 평탄화된 표면이 형성된다. 절연층 또는 배리어층과 같은 유전체층은 다마신 구조물의 제2층의 형성과 같은 다음 공정을 위하여 구리 피처 상에 형성된다.
그러나, 구리 평탄화와 순차적인 유전체층 증착 사이에서, 구리 재료가 공정 챔버들 간에 또는 프로세싱 툴들(tools) 간의 노출을 통해 산화 반응을 겪는 것이 관찰된다. 산화 환경에의 노출은 구리 재료상에 표면 산화물의 형성을 초래한다. 산화물은 그 위에 증착되는, 예를 들어 유전체층과 같은 다음 층들의 접착을 억제한다.
따라서, 낮은 k 유전체층들 사이에 삽입층 접착의 개선을 위한 공정이 요구된다.
본 발명의 관점들은 일반적으로 전도성 재료와 유전체층 사이에 접착층을 증착하는 방법을 제공한다. 하나의 관점에서, 본 발명은 공정 챔버에 기판을 배치하는 단계-상기 기판 내부에는 하나 이상의 패터닝된 낮은 k 유전체층들 및 형성된 전도성 재료가 형성됨-, 공정 챔버 내에 실리콘 기반 화합물을 유입시키는 단계, 전도성 재료의 규화물층을 형성하는 단계 및 진공 상태에서 규화물층 상에 실리콘 탄화물층을 증착하는 단계를 포함하는 기판 프로세싱 방법을 제공한다.
본 발명의 다른 관점에서, 공정 챔버에 기판을 배치하는 단계-상기 기판 내부에는 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성됨-, 공정 챔버 내로 실리콘 기반 화합물 및 환원 화합물(reducing compound)을 유입시키는 단계, 전도성 재료의 규화물층을 형성하는 단계, 실리콘 기반 화합물 및 환원 화합물의 플라즈마를 개시하는 단계, 실리콘 질화물층을 증착하는 단계 및 진공 상태에서 실리콘 질화물층 상에 실리콘 탄화물층을 증착하는 단계를 포함하는 기판 프로세싱 방법이 제공된다.
본 발명의 또 다른 관점에서, 공정 챔버에 기판을 배치하는 단계-상기 기판 내부에는 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성됨-, 질소 및 수소를 포함하는 환원 화합물을 공정 챔버로 유입시키는 단계, 공정 챔버에서 환원 화합물의 플라즈마를 개시하는 단계, 환원 화합물의 플라즈마에 전도성 재료를 노출시키는 단계, 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계, 유기실리콘 선구물질을 환원 화합물과 반응시키는 단계, 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료상에 질소 도핑된 실리콘 탄화물 유전체 재료를 증착하는 단계 및 진공 상태에서 도핑된 실리콘 탄화물층 상에 실리콘 탄화물층을 증착하는 단계를 포함하는 기판 프로세싱 방법이 제공된다.
본 발명의 또 다른 관점에서, 공정 챔버에 기판을 배치하는 단계-상기 기판 내부에는 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성됨-, 질소 및 수소를 포함하는 환원 화합물을 공정 챔버로 유입시키는 단계, 공정 챔버에서 환원 화합물의 제1 플라즈마를 개시하는 단계, 환원 화합물의 플라즈마에 전도성 재료를 노출시키는 단계, 제1 플라즈마를 중단하고 환원 화합물을 제거하는 단계, 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계, 공정 챔버에서 유기실리콘 선구물질의 제2 플라즈마를 개시하는 단계, 유기실리콘 화합물과 함께 환원 화합물을 유입시키는 단계 및 진공 상태에서 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료상에 질소 도핑된 실리콘 탄화물 유전체 재료를 증착하는 단계를 포함하는 기판 프로세싱 방법이 제공된다.
본 발명의 또 다른 관점에서, 공정 챔버에 기판을 배치하는 단계-상기 기판 내부에는 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성됨-, 질소 및 수소를 포함하는 환원 화합물을 제1 유속으로 공정 챔버로 유입시키는 단계, 공정 챔버에서 환원 화합물의 제1 플라즈마를 개시하는 단계, 환원 화합물의 플라즈마에 전도성 재료를 노출시키는 단계, 제1 플라즈마를 중단하는 단계, 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계, 환원 화합물을 제1 유속보다 큰 제2 유속으로 유입시키는 단계, 공정 챔버에서 유기실리콘 선구물질 및 환원 화합물의 제2 플라즈마를 개시하는 단계, 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료상에 탄소 도핑된 실리콘 질화물 유전체 재료를 증착하는 단계, 제2 플라즈마를 중단하는 단계, 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계, 환원 화합물을 제2 유속보다 낮은 제3 유속으로 유입시키는 단계, 공정 챔버에서 유기실리콘 선구물질 및 환원 화합물의 제3 플라즈마를 개시하는 단계, 진공 상태에서 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료상에 질소 도핑된 실리콘 탄화물 유전체 재료를 증착하는 단계를 포함하는 기판 프로세싱 방법이 제공된다.
본 발명의 상기 관점들이 달성되고 더 자세히 이해될 수 있도록, 상기 간략히 요약된 본 발명의 더욱 상세한 설명은 첨부된 도면들에 도시된 그 실시예들에 참조될 수 있다.
그러나, 첨부된 도면들은 단지 본 발명의 대표적인 실시예들을 도시하는 것이고, 따라서 그 범위를 한정하도록 고려되어서는 안 될 것이며, 다른 동일한 효과를 가지는 실시예들을 허용할 것이다.
도 1a-1d는 본 발명의 이중 다마신 증착 순서의 일 실시예를 도시하는 단면도이고;
도 2a-2c는 본 발명의 개선된 삽입층 접착의 일 실시예를 도시하는 단면도이며,
도 3a-3c는 본 발명의 개선된 삽입층 접착 순서의 일 실시예를 도시하는 단면도이다.
본 발명의 더 나은 이해를 위하여, 다음의 상세한 설명을 참조해야 한다.
본 명세서에 기재된 본 발명의 관점들은 전도성 재료들의 유전체층들에 대한 삽입층 접착을 개선하기 위한 방법 및 장치로 참조된다. 삽입층 접착을 개선하는 것은 다음의 유전체층을 증착하는 단계 이전에 전도성 재료를 표면 처리하는 단계, 다음의 유전체층을 증착하는 단계 이전에 중간 재료들을 형성하는 단계, 또는, 다음의 유전체층을 증착하는 단계 이전에 접착이 개선된 중간층을 증착하는 단계를 포함할 수 있다. 개시층(initiation layer)은 실리콘, 탄소 및 선택적으로 산소를 포함할 수 있다. 이러한 공정들은 재오염을 최소화하고 기판 재료처리량을 개선하기 위하여 인 시튜(in situ)로 수행되는 것이 바람직하다.
본 명세서에서 사용되는 단어 및 문장들은 다른 별다른 정의가 없다면, 당업자들에 의해 정의된 일반적이고 보편적인 의미로 간주된다. 인 시튜는 광범위하게 해석되어야 하며, 제한되지는 않지만, 플라즈마 챔버와 같은 주어진 챔버에서 또는 통합된 클러스터 툴 장치와 같은 시스템에서 툴 내에서의 공정 단계들 또는 챔버 간에 진공 파손과 같은 중간 오염 환경에 재료들이 노출되지 않는 의미를 포함한다. 인 시튜 공정은 일반적으로 다른 공정 챔버들 또는 구역들에 대하여 기판을 재배치하는 것에 비교하여 공정 시간 및 가능한 오염을 최소화한다.
다음의 설명은 이중 다마신 구조물을 위한 전도성 재료 및 유전체 재료 사이에 삽입층 접착의 사용을 상술하지만, 다른 구조물들의 형성 공정들 및 연이은 증착 공정들이 본 명세서에 기재된 접착의 관점들을 이용하여 수행될 수 있음이 예상되므로, 본 발명은 도시된 실시예들로 해석되거나 그에 의해 한정되어서는 안 된다.
이중 다마신 구조물의 증착
도 1a에 도시된 바와 같이, 내부의 기판 표면 재료(105)에 형성된 금속 피처들(107)을 갖는 기판을 사용하여 형성된 다마신 구조물은 공정 챔버로 제공된다. 제1 실리콘 탄화물 배리어층(110)은 기판과 그 후에 증착된 재료 사이의 상호-레벨(inter-level) 확산을 제거하기 위하여 일반적으로 기판 표면상에 증착된다. 배리어층 재료들은 약 9까지의, 바람직하게는 약 2.5 내지 약 4 미만의 유전 상수들을 가질 수 있다. 실리콘 탄화물 배리어층들은 약 5 또는 그 미만의, 바람직하게는 약 4 미만의 유전 상수들을 가질 수 있다.
제1 실리콘 탄화물 배리어층(110)의 실리콘 탄화물 재료는 질소 및/또는 산소로 도핑될 수 있다. 도시되지는 않았지만, 질소 프리(free) 실리콘 탄화물 또는 실리콘 산화물의 캐핑층은 배리어층(110)상에 증착될 수 있다. 질소 프리 실리콘 탄화물 또는 실리콘 산화물 캐핑층은 공정 가스의 조성물을 조정함으로써 인 시튜 증착될 수 있다. 예를 들어, 질소 프리 실리콘 탄화물의 캐핑층은 질소 소스 기체를 최소화하거나 또는 제거함으로써 제1 실리콘 탄화물 배리어층(110)상에 인 시튜(in situ)로 증착될 수 있다. 대안적으로, 미도시되었지만, 개시층은 제1 실리콘 탄화물 배리어층(112) 상에 증착될 수 있다. 개시층들은 2004년 3월 15일자로 출원된 미국 특허 제10/801,190호에 보다 완벽하게 설명되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다.
제1 유전체층(112)은 제작되는 구조물의 크기에 따라, 트리메틸실레인 및/또는 옥타메틸시클로테트라실록산을 포함할 수 있는 유기실리콘 화합물의 산화에 의하여, 약 5,000 내지 약 15,000 Å의 두께로 실리콘 탄화물 배리어층(110)상에 증착된다. 제1 유전체층(112)은 그 후 플라즈마 또는 e-빔 공정으로 후-처리될 수 있다. 선택적으로, 실리콘 산화물 캡층(미도시)은 증착된 재료로부터 탄소를 제거 하기 위하여, 본 명세서에 기재된 실리콘 옥시탄화물 증착 공정에서 산소 밀도를 증가시킴으로써 제1 유전체층(112)상에 인 시튜로 증착될 수 있다. 제1 유전체층은 또한 파랄린을 포함하는 낮은 중합체 재료와 같은 다른 낮은 k 유전체 재료 또는 도핑되지 않은 실리콘 유리(USG) 또는 플루오르-도핑된 실리콘 유리(FSG)와 같은 낮은 k 스핀-온 유리를 포함할 수 있다. 제1 유전체층은 그 후 본 명세서에 기재된 플라즈마 공정에 의해 처리될 수 있다.
실리콘 탄화물의 에칭 스톱(또는 제2 배리어층)(114)은 질소 또는 산소로 도핑될 수 있으며, 그 후 제1 유전체층(112)상에 증착될 수 있다. 에칭 스톱(114)은 약 100Å 내지 약 1,000Å의 두께로 제1 유전체층(1120)상에 증착될 수 있다. 낮은 k 에칭 스톱(114)은 실리콘 탄화물 재료들 또는 실리콘 옥시탄화물 재료들을 위하여 본 명세서에 기재된 바와 같이 플라즈마 처리될 수 있다. 에칭 스톱(114)은 그 후 콘택들/비아들(116)의 개방부들을 한정하고 콘택들/비아들이 형성되는 영역에서 제1 유전체층(112)을 노출하기 위하여 패턴 에칭된다. 낮은 k 에칭 스톱(114)은 플루오르, 탄소 및 산소 이온들을 이용한 종래의 포토리소그래피(photolithography) 및 에칭 공정들로 패턴 에칭되는 것이 바람직하다. 도시되지 않았으나, 약 100 Å과 약 500 Å 사이의 질소 프리 실리콘 탄화물 또는 실리콘 산화물 캐핑층은, 그 이상의 재료들을 증착하기에 앞서, 낮은 k 에칭 스톱(114)상에 증착될 수 있다.
도 1b에 도시된 바와 같이, 레지스트 재료가 제거된 후, 산화 유기실레인 또는 유기실록산의 제2 유전체층(118)은 패터닝된 에칭 스톱(114)상에 증착된다. 제 2 유전체층(118)은 트리메틸실레인과 같은, 본 명세서에 기재된 산화 유기실레인 또는 유기실록산으로부터의 실리콘 옥시탄화물을 포함할 수 있으며, 약 5,000 내지 약 15,000 Å의 두께로 증착된다. 제2 유전체층(118)은 그 후 플라즈마 또는 e-빔 처리되고/처리되거나 상기 기재된 공정에 의하여 그 위에 배치된 실리콘 산화물 캡 재료를 가질 수 있다.
레지스트 재료(122)는 그 후 제2 유전체층(118)(또는 캐핑층)상에 증착되고, 도 1b에 도시된 바와 같이 상호접속부 라인들(120)을 한정하기 위하여 바람직하게는 종래 포토리소그래피 공정들을 이용하여 패터닝된다. 레지스트 재료(122)는, Massachusetts, Marlborough의 Shipley Company Inc.로부터 상업적으로 제공 가능한, 높은 활성화 에너지 레지스트 재료인 UV-5와 같은 종래 기술 분야에서 알려진 재료들을 포함한다. 상기 상호접속부들 및 콘택들/비아들은 그 후 도 1c에 도시된 바와 같이 금속화 구조물을 한정하기 위하여(즉, 상호 접속부 및 콘택/비아) 반응성 이온 에칭 또는 다른 이방성 에칭 기술들을 이용하여 에칭된다. 에칭 스톱(114) 또는 제2 유전체층(118)을 패터닝하기 위해 사용된 어떤 래지스트 재료 또는 다른 재료라도 산소 스트립 또는 다른 적절한 공정을 이용하여 제거된다.
금속화 구조물은 그 후 알루미늄, 구리, 텅스텐 또는 이들의 조합물들과 같은 전도성 재료를 이용하여 형성된다. 현재는 구리의 낮은 저항성(알루미늄의 3.1 mΩ-cm과 비교하여 1.7mΩ-cm)으로 인하여 더 작은 피처들을 형성하기 위하여 구리를 사용하는 추세이다. 도 2g에 도시된 바와 같이, 구리가 주변의 실리콘 및/또는 유전체 재료로 이동하는 것을 막기 위하여 탄탈 질화물과 같은 적합한 금속 배리어 층이 먼저 금속화 패턴에 컨포멀하게(conformally) 증착되는 것이 바람직하다. 이후 구리는 전도성 구조물을 형성하기 위하여 화학 기상 증착, 물리 기상 증착, 전기 도금 또는 그 결합들 중 어느 하나를 사용하여 증착된다. 일단 이러한 구조물이 구리 또는 다른 전도성 금속으로 채워지면, 그 표면은 화학 기계적 연마를 이용하여 평탄화되고, 도 1d에 도시된 바와 같이, 전도성 금속 피처(126)의 표면이 노출된다.
접착 공정 및 유전체층 증착
하나의 관점에서, 삽입층 접착은 실리콘 탄화물층과 같은 유전체층을 증착하기에 앞서 산화물들을 제거하거나 또는 실리사이드층 또는 실리사이드 재료를 형성하기 위하여 전도성 재료를 반응성 화합물에 노출시킴으로써 개선될 수 있다. 삽입층 접착 개선 및 유전체층 증착은 동일한 공정 챔버 또는 공정 시스템에서 인 시튜로 수행될 수 있다.
다음의 증착 공정들은 300 mm Producer™ 이중 증착 스테이션 공정 챔버를 사용하는 것을 설명하고, 이에 따라 해석되어야 한다. 예를 들어, 유속 및 전체 유속들은 상기 챔버에서 각 증착 단계에서의 공정 유속들을 설명하기 위해 둘로 나누어져야 한다. 또한, 각각의 파라미터들은 다양한 챔버들에서 플라즈마 공정들을 수행하기 위하여, 그리고 200 mm 기판들과 같이 상이한 기판 크기들을 위하여 수정될 수 있음을 유념하라. 더 나아가, 다음 공정은 구리 및 실리콘 탄화물에 대하여 설명되는 반면, 본 발명은 이러한 공정이 반도체 제작에 사용되는 다른 전도성 재료들 및 유전체 재료들을 이용하여 사용될 수 있음을 예상한다.
삽입층 접착은 도 2a에 도시된 바와 같이, 내부에 형성된 어떤 산화물들(128)을 제거하기 위하여 전도성 재료를 갖는 기판상에 수소 및 질소 함유 선구물질의 환원 화합물을 공정 챔버로 유입시키고, 그 후 도 2b에 도시된 바와 같이 질산화층(nitrated layer)(130)을 형성하기 위하여 환원 화합물과 반응하는 유기실리콘 화합물을 유입시킴으로써 개선될 수 있다. 제거되는 산화물들은 또한 배리어층(124)의 노출된 부분들과 같이, 배리어 재료들상에 형성될 수 있다. 질산화충(130)은 기판의 노출된 표면상에 연속적 또는 비연속적일 수 있다. 유기실리콘 화합물은 기계적 배기에 의해 환원 화합물을 제거하지 않고 유입되는 것이 바람직하다. 유기실리콘 화합물은 도 2c에 도시된 바와 같이 질산화층상에 실리콘 탄화물층(132)을 형성하기 위해 공정 챔버로 부가적으로 및/또는 연속적으로 유입될 수 있다.
환원 화합물은 열적 및/또는 플라즈마 강화 공정에 의하여 노출된 전도성 재료와 반응할 수 있다. 바람직한 환원 화합물들은 수소 및 질소 함유 기체들, 예를 들어, 암모니아, 수소(H2) 및 질소(N2)의 기체 혼합물, 히드라진(N2H2), 아민, 아민 유도체들, 또는 이들의 조합물들을 포함한다.
환원 화합물을 이용한 플라즈마 개선된 공정의 일 실시예는 약 50 sccm 내지 약 2,000 sccm의 유속, 예를 들어 약 100 sccm 내지 약 1,6000 sccm의 유속으로 공정 챔버로 환원 화합물을 제공하는 단계를 포함하며, 선택적으로, 약 100 sccm 내지 약 25,000 sccm, 예를 들어 약 1,000 sccm 내지 약 20,000 sccm의 유속에서 질 소와 같은 질소-함유 캐리어 기체를 공정 챔버로 제공하는 단계, 약 1 Torr 내지 약 12 Torr, 예를 들어 약 2.5 Torr 내지 약 9 Torr의 챔버 압력을 유지하는 단계, 약 100 ℃ 내지 약 500 ℃, 예를 들어 약 250 ℃ 내지 약 450 ℃의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 기판 표면으로부터 약 200mils 및 약 1,000mils, 예를 들어 약 300mils 내지 약 500mils에 배치하는 단계 및 플라즈마를 생성하는 단계를 포함한다. 플라즈마 처리는 약 3초 내지 약 120초, 예를 들어 바람직하게는 약 5초 내지 약 40초로 수행될 수 있다.
플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 1,000 W의 전력 레벨인, 약 0.03 W/cm2 내지 약 3.2 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 1,000 W의 전력 레벨인, 약 0.01 W/cm2 내지 약 1.4 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 대안적으로, 플라즈마는 본 명세서에 기재된 바와 같이 이중-주파수 RF 전력 소스에 의해 발생될 수 있다. 대안적으로, 모든 플라즈마 발생은 증착된 재료의 플라즐마 처리 또는 재료층의 증착을 위해 공정 챔버로 유입되는 발생 래디컬(radical)들을 이용하여 원격으로 수행될 수 있다.
환원 화합물은 약 50 sccm 내지 약 3,000 sccm 사이의, 예컨대 약 100 sccm 내지 약 2,000 sccm 사이의 유속으로 암모니아 또는 히드라진과 같은 단일 성분을 공정 챔버로 공급하는 단계를 포함할 수 있다. 단일 성분 방출(delivery)은 약 100 sccm 내지 약 10,000 sccm 사이의, 예컨대 약 1,000 sccm 내지약 5,000 sccm 사이의 유속에서 질소, 헬륨 또는 아르곤과 같은 캐리어 또는 비활성 기체를 더 포함할 수 있다. 멀티-성분 시스템에서, 질소 기체 및 수소 기체의 혼합물과 같은 것은 약 50 sccm 내지 약 5,000 sccm 사이의, 예컨대 약 100 sccm 내지 약 1,000 sccm 사이의 유속으로 공정 챔버로 질소를 공급하는 단계 및 약 50 sccm 내지 약 5,000 sccm 사이의, 예컨대 약 100 sccm 내지 약 1,500 sccm 사이의 유속으로 수소를 공급하는 단계를 포함하는 공정에 의해 공정 챔버로 제공될 수 있다.
플라즈마 처리 공정의 일 실시예는, 약 700 sccm의 유속으로 공정 챔버로 암모니아를 공급하는 단계, 약 1,200 sccm의 유속으로 공정 챔버로 헬륨을 공급하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 20초간 13.56 MHz의 고 주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생시키는 단계를 포함한다.
플라즈마 처리 공정의 또 다른 실시예는, 약 160 sccm의 유속으로 공정 챔버로 암모니아를 공급하는 단계, 약 18,000 sccm의 유속으로 공정 챔버로 질소를 공급하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 20초간 13.56 MHz의 고 주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플 라즈마를 발생시키는 단계를 포함한다.
플라즈마 처리 공정의 또 다른 실시예는, 약 75 sccm의 유속으로 공정 챔버로 암모니아를 공급하는 단계, 약 5,000 sccm의 유속으로 공정 챔버로 질소를 공급하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 15초간 13.56 MHz의 고 주파수에서 약 150 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생시키는 단계를 포함한다.
질산화층(130)은 도 2a에 도시된 바와 같이 환원 기체의 면전에서 공정 챔버로 유기실리콘 화합물을 유입시킴으로써 기판 및 그 위에 노출된 전도성 재료상에 증착될 수 있다. 이것은 인 시튜 증착을 위한 플라즈마 처리 중에 또는 그 후 즉시 공정 챔버로 유기실리콘 화합물을 유입시킴으로써 달성될 수 있으며, 유기실리콘 화합물은 예컨대 질소 도핑된 실리콘 탄화물(SiCN:H) 또는 질소 도핑된 실리콘 옥시탄화물(SiCON:H) 등의 질산화층을 형성하기 위하여 환원 기체로부터 질소와 반응할 수 있다. 환원 화합물은 유기실리콘 화합물로 제공될 수 있거나, 유기실리콘 화합물은 플라즈마 처리로부터 환원 화합물의 잔여량과 반응할 수 있다. 환원 화합물과 유기실리콘 화합물 사이의 반응은 열적인 또는 플라즈마 강화된 증착 공정일 수 있다. 적합한 유기실리콘 화합물들의 예는 트리메틸실레인(TMS) 및 디메틸페닐실레인(DMPS)을 포함한다.
질산화 실리콘 탄화물층(130)의 증착의 일 실시예는, 유기실리콘 선구물질을 약 10 sccm 내지 약 1,000 sccm 사이의, 예컨대 약 50 sccm 내지 약 500 sccm 사이 의 유속으로 유입시키는 단계, 환원 화합물을 약 100 sccm 내지 약 2.500 sccm 사이의, 예컨대 약 500 sccm 내지 약 2.000 sccm 사이의 유속으로 공정 챔버로 유입시키는 단계, 및 선택적으로 약 1 sccm 내지 약 10,000 sccm 사이 유속으로 희가스(noble gas)를 공급하는 단계, 약 100 milliTorr와 약 100 Torr 사이의, 예컨대 약 2.5 Torr 내지 약 9 Torr의 챔버 압력을 유지하는 단계, 약 100 ℃와 약 500 ℃ 사이의, 예컨대 약 250 ℃와 약 450 ℃ 사이의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 기판 표면으로부터 약 200 mils 내지 약 1,000 mils 사이에, 예컨대 약 200 mils 내지 약 400 mils 사이에 배치하는 단계 및, 선택적으로, 플라즈마를 발생시키는 단계를 포함한다.
플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 500 W 내지 약 1,100 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 2,000 W의 전력 레벨인, 약 0.03 W/cm2 내지 약 6.4 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 500 W 내지 약 1,100 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 2,000 W의 전력 레벨인, 약 0.01 W/cm2 내지 약 2.8 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다.
전력은 약 200 watts 내지 약 1,000 watts 범위의 전력에서 약 10 MHz 내지 약 30 MHz 범위의 주파수를 갖는 제1 RF 전력 및 적어도 약 1 watt 내지 약 200 watts 범위의 전력과 마찬가지로 약 100 KHz 내지 약 500 KHz 범위의 주파수를 갖 는 제2 RF 전력을 갖는 이중-주파수 RF 전력 소스로부터 적용될 수 있다. 개시층은 약 1 초 내지 약 60 초 사이의, 예컨대 2 초와 같은, 약 1 내지 약 5초 사이의 시간 주기 동안 증착될 수 있다.
질산화층(130)의 증착의 일 실시예는, 700 sccm의 유속으로 공정 챔버로 암모니아를 유입시키는 단계, 1200 sccm의 유속으로 공정 챔버로 헬륨을 유입시키는 단계, 약 350 sccm의 유속으로 트리메틸실레인(TMS)을 유입시키는 단계, 약 350 ℃의 히터 온도로 챔버를 유지하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 280 mils로 기체 분배기를 배치하는 단계 및 13.56 MHz에서 약 900 watts의 RF 전력을 적용하는 단계를 포함한다.
다음의 실리콘 탄화물층(132)은 본 명세서에 기재된 바와 같이 증착될 수 있고, 예를 들어, 연속적으로 상기 기재된 유기실리콘 화합물을 유입시키거나 또는 실리콘 탄화물 선구물질 기체 유속들 및 어떤 도펀트들, 캐리어 기체들, 또는 원하는 특성들을 갖는 실리콘 탄화물층을 증착하기 위하여 본 명세서에 기재된 바와 같은 다른 화합물들을 조정함으로써 증착될 수 있다. 환원 화합물 처리 공정 중에 또는 그 후 즉시 유기실리콘 선구물질의 계속적인 흐름은 산화물들, 질산화층의 형성 및 인 시튜로 형성되는 실리콘 탄화물층의 증착의 제거를 고려한다. 증착 공정의 일 실시예가 본 명세서에 개시된 실시예 #2에 의해 제공된다.
추가적으로, 공정 기체 안정화 단계들은 증착 공정들 사이뿐만 아니라, 플라즈마 처리 공정과 증착 공정들 사이에 수행될 수 있다. 이러한 안정화 단계들은 일반적으로 처리 또는 증착 공정에 요구됨에 따라 생성된 새로운 플라즈마를 갖는 플라즈마-프리 공정들을 포함한다.
선택적으로, 본 명세서에 기재된 질소 도핑된 실리콘 탄화물 재료들을 포함하는, 본 명세서에 기재된 모든 증착된 실리콘 탄화물 재료들의 후-증착 플라즈마 처리는 증착 재료들의 특성을 향상시키기 위하여, 또는 오염물질들을 제거하기 위하여, 그렇지 않으면 그 위에 재료들의 다음 증착에 앞서 실리콘 탄화물층의 노출된 표면을 세척하기(clean) 위하여 수행될 수 있다. 후-증착 플라즈마 처리는 증착 공정을 이용하여 인 시튜로 수행될 수 있다.
비활성 기체, 환원 기체 또는 이들의 조합물의 후-증착 플라즈마 처리가 적용될 수 있다. 플라즈마 비활성 기체는 헬륨, 아르곤, 네온, 제논, 크립톤 또는 이들의 조합물들을 포함하며, 헬륨인 것이 바람직하다. 환원 기체는 수소, 암모니아 또는 이들의 조합물들을 포함하며, 암모니아인 것이 바람직하다. 후-증착 플라즈마 처리는 공정 챔버를 배기하는 동안 하나를 제외한 모든 기체들이 중지되는 플라즈마 정화(purge) 단계의 생성물일 수 있다.
플라즈마 처리 공정의 일 실시예는, 약 950 sccm의 유속으로 공정 챔버로 암모니아를 공급하는 단계, 약 350 ℃의 히터 온도로 챔버를 유지하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 기체 분배기를 기판 표면으로부터 약 280 mils에 배치하는 단계 및 약 2초간 13.56 MHz에서 약 300 watts의 RF 전력 레벨을 적용하는 단계를 포함한다.
플라즈마 처리 및 증착 공정의 일 실시예에서, 트리메틸실레인과 같은 유기실리콘 화합물의 플라즈마는 플라즈마 처리 후에, 그리고 증착 공정 전에 수행될 수 있다. 유기실리콘 화합물의 플라즈마는 증착된 실리콘 탄화물층에 대한 접착을 개선하기 위하여 플라즈마 처리된 표면상에 규화물을 형성하는 것으로 여겨진다. 유기실리콘의 변경된 공정의 플라즈마를 포함하는 공정 단계들은, 예를 들어, 본 명세서에 기재된 것과 같은 플라즈마 처리에 기판 표면을 노출시키는 단계, 유기실리콘 화합물을 위한 안정화 단계, 유기실리콘 화합물의 플라즈마를 발생시키는 단계 및 그 후 질소 도핑된 실리콘 탄화물의 증착 단계를 포함한다.
환원 화합물을 이용한 플라즈마 개선된 공정의 일 실시예는 약 50 sccm 내지 약 1,500 sccm 사이의 유속, 예를 들어 약 400 sccm 내지 약 500 sccm 사이의 유속으로 공정 챔버로 유기실리콘 화합물을 제공하는 단계를 포함하며, 선택적으로, 약 100 sccm 내지 약 20,000 sccm 사이의, 예를 들어 약 800 sccm 내지 약 15,000 sccm 사이의 유속에서 헬륨과 같은 비활성 기체를 공정 챔버로 제공하는 단계, 약 1 Torr 내지 약 12 Torr 사이의, 예를 들어 약 2.5 Torr 내지 약 9 Torr 사이의 챔버 압력을 유지하는 단계, 약 100 ℃ 내지 약 500 ℃ 사이의, 예를 들어 약 250 ℃ 내지 약 450 ℃ 사이의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 기판 표면으로부터 약 200mils 및 약 1,000mils, 예를 들어 약 300mils 내지 약 500mils에 배치하는 단계 및 플라즈마를 생성하는 단계를 포함한다. 플라즈마 처리는 약 1초 내지 약 10초, 예를 들어 바람직하게는 약 1초 내지 약 5초로 수행될 수 있다.
플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 1,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 내지 약 3.2 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 1,000 W 사이의 RF 전력 레벨인, 약 0.01 W/cm2 내지 약 1.4 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 대안적으로, 플라즈마는 본 명세서에 기재된 바와 같이 이중-주파수 RF 전력 소스에 의해 발생될 수 있다. 대안적으로, 모든 플라즈마 발생은 증착된 재료의 플라즐마 처리 또는 재료층의 증착을 위해 공정 챔버로 유입되는 발생 래디컬들을 이용하여 원격으로 수행될 수 있다. 일 실시예는 본 명세서에 기재된 실시예 #3에 의해 제공된다.
플라즈마 처리 및 증착 공정의 또 다른 실시예에서, 실리콘 질화물층은 트리메틸실레인과 같은 유기실리콘 화합물에 의해 플라즈마 처리 후에, 그리고 증착 공정 전에 수행될 수 있다. 공정 단계들은, 예를 들어, 본 명세서에 기재된 것과 같은 플라즈마 처리에 기판 표면을 노출시키는 단계, 실리콘 질화물 증착 공정을 위한 안정화 단계, 실리콘 질화물층을 증착하는 단계, 질소 도핑된 실리콘 탄화물 증착 공정을 위한 안정화 단계 및 그 후 질소 도핑된 실리콘 탄화물 화합물의 증착 단계를 포함한다. 실리콘 질화물 재료는 탄소 도핑된 실리콘 질화물일 수 있다.
실리콘 질화물 증착 공정의 일 실시예는 약 50 sccm 내지 약 1,000 sccm 사이의 유속, 예를 들어 약 250 sccm 내지 약 500 sccm 사이의 유속으로 공정 챔버로 유기실리콘 화합물을 제공하는 단계, 약 500 sccm 내지 약 25,000 sccm 사이의, 예를 들어 약 1,200 sccm 내지 약 17,50 sccm 사이의 유속으로 본 명세서에 기재된 환원 화합물들과 같은 질소-함유 화합물을 공정 챔버로 제공하는 단계, 선택적으로, 약 100 sccm 내지 약 20,000 sccm 사이의, 예를 들어 약 15,000 sccm 내지 약 19,000 sccm 사이의 유속으로 헬륨 또는 질소와 같은 비활성 기체를 공정 챔버로 제공하는 단계, 약 1 Torr 내지 약 12 Torr 사이의, 예를 들어 약 2.5 Torr 내지 약 9 Torr 사이의 챔버 압력을 유지하는 단계, 약 100 ℃ 내지 약 500 ℃ 사이의, 예를 들어 약 250 ℃ 내지 약 450 ℃ 사이의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 기판 표면으로부터 약 200mils 및 약 1,000mils, 예를 들어 약 300mils 내지 약 500mils에 배치하는 단계 및 플라즈마를 생성하는 단계를 포함한다. 플라즈마 처리는 약 1초 내지 약 10초, 예를 들어 바람직하게는 약 1초 내지 약 5초로 수행될 수 있다.
플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 1,200 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 내지 약 6.4 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.01 W/cm2 내지 약 2.8 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있 다. 대안적으로, 플라즈마는 본 명세서에 기재된 바와 같은 이중-주파수 RF 전력 소스에 의해 발생될 수 있다. 대안적으로, 모든 플라즈마 발생은 증착된 재료의 플라즈마 처리 또는 재료층의 증착을 위해 공정 챔버로 유입되는 발생 래디컬들을 이용하여 원격으로 수행될 수 있다. 일 실시예는 본 명세서에 기재된 실시예 #4에 의해 제공된다.
규화물 형성
도 3a-3c를 참조하여, 본 명세서에 기재된 처리 및 증착 공정의 또 다른 실시예에서, 삽입층 접착은 도 3a에 도시된 바와 같이 전도성 재료(126)를 갖는 기판상에 실리콘 기반 화합물을 유입시키는 단계 및 그 후 도 3b에 도시된 바와 같이 산화된 부분들(128)을 가질 수 있는 전도성 재료들(140)상에 규화물(142)을 형성하기 위하여 실리콘 기반 화합물을 전도성 재료와 반응시키는 단계에 의하여 개선될 수 있다. 실리콘 증착(142)은 규화물 형성 중에 유전체 재료들 상에 발생할 수 있다. 유기실리콘 화합물은 그 후 도 3c에 도시된 바와 같이 기판 표면 및 규화물층들(142)상에 증착된 실리콘 탄화물층(144) 및 공정 챔버로 유입될 수 있다. 암모니아를 포함하는, 질소 함유 화합물들과 같은 도펀트들은 전도성 재료와 함께 니트로실레인(nitrosilane)들을 형성하기 위하여 사용될 수 있다. 부가적으로, 실레인(SiH4)과 같은 적합한 실리콘 기반 화합물들은 전도성 재료들 상에 형성된 어떤 산화물들을 제거하기 위하여 환원 화합물로 작용할 수 있다. 또한, 비활성 플라즈마 처리는 실리콘 기반 화합물을 유입시키는 단계에 앞서, 기판 표면상에 수행될 수 있다.
실리콘 기반 화합물은 실레인, 디실레인 및 그 유도체들을 포함하는 탄소-프리 실리콘 화합물을 포함할 수 있다. 실리콘 기반 화합물은 또한 본 명세서에 기재된, 예를 들어 트리메틸실레인(TMS) 및/또는 디메틸페닐 실레인(DMPS)와 같은 유기실리콘 화합물들을 포함하는 탄소-함유 실리콘 화합물을 포함할 수 있다. 실리콘 기반 화합물은 열적으로 및/또는 플라즈마 강화된 공정에 의해 노출된 전도성 재료와 반응할 수 있다. 산소 및 질소와 같은 도펀트들은 본 명세서에 기재된 바와 같이 실리콘 기반 화합물과 함께 사용될 수 있다. 부가적으로, 헬륨 및 아르곤을 포함하는 희 가스와 같은 비활성 기체는 규화물 공정 중에 사용될 수 있으며, 열적 공정을 위한 캐리어 기체로서, 또는 플라즈마 강화 규화물 형성 공정을 위한 부가적인 플라즈마 종류로서 사용되는 것이 바람직하다. 실리콘 함유 화합물은 니트로규화물을 형성하기 위하여 본 명세서에 기재된 환원 화합물과 같은 도펀트를 더 포함할 수 있다. 이러한 일 실시예에서, 환원 화합물은 본 명세서에 기재된 바와 같이 전달될 수 있다.
본 명세서에 기재된 실리콘 기반 화합물들을 이용한 규화물 공정의 일 실시예는 약 10 sccm 내지 약 1,000 sccm 사이의 유속, 예를 들어 약 75 sccm 내지 약 200 sccm 사이의 유속으로 공정 챔버로 실리콘 기반 화합물들을 제공하는 단계, 약 1 Torr 내지 약 12 Torr 사이의, 예를 들어 약 2.5 Torr 내지 약 9 Torr 사이의 챔버 압력을 유지하는 단계, 약 100 ℃ 내지 약 500 ℃ 사이의, 예를 들어 약 250 ℃ 내지 약 450 ℃ 사이의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드" 를 기판 표면으로부터 약 200mils 및 약 1,000mils, 예를 들어 약 200mils 내지 약 400mils에 배치하는 단계를 포함한다.
규화물 형성 공정은 플라즈마를 생성하는 단계에 의해 더욱 강화될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 내지 약 6.4 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.01 W/cm2 내지 약 2.8 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 대안적으로, 플라즈마는 본 명세서에 기재된 바와 같은 이중-주파수 RF 전력 소스에 의해 발생될 수 있다. 대안적으로, 모든 플라즈마 발생은 증착된 재료의 플라즈마 처리 또는 재료층의 증착을 위해 공정 챔버로 유입되는 발생 래디컬들을 이용하여 원격으로 수행될 수 있다. 플라즈마는 약 1초 내지 약 60초 사이의, 예를 들어 바람직하게는 규화물층을 형성하기 위해 사용되는 약 1초 내지 약 5 초 사이에 발생될 수 있다.
규화물 공정의 일 실시예는, 약 125 sccm의 유속으로 공정 챔버로 실레인을 제공하는 단계, 약 400 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 325 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 3 Torr의 챔버 압 력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 2.5초동안, 기체 분배기, 또는 "샤워헤드"를 약 300 mils에 배치하는 단계를 포함한다.
선택적으로, 규화물 형성 후에, 기판 표면은 본 명세서에 기재된 바와 같이 환원 화합물의 플라즈마에 노출될 수 있다. 환원 플라즈마 노출은 질소 도핑된 규화물층을 형성하는 것으로 여겨진다. 구리, 구리 실리콘 질화물(CuSiN)과 같은 금속 실리콘 질화물, 질소 도핑된 규화물층은 또한 삽입층 접착을 개선하는 것으로 여겨진다.
다음의 실리콘 탄화물층은 실리콘 탄화물 증착을 위하여 본 명세서에 기재된 바와 같이 증착될 수 있다. 실리콘 탄화물 증착은 또한 규화물 공정을 위하여 동일한 탄소-함유 실리콘 기반 화합물을 사용하여 수행될 수 있으며, 동일한 챔버에서 수행될 수 있다. 실리콘 탄화물층은 본 명세서에 기재된 바와 같은 후-증착 플라즈마에 노출될 수 있다.
규화물 형성 공정의 일 실시예에서, 기판은 본 명세서에 기재된 바와 같은 환원 화합물의 플라즈마 처리되고, 규화물을 형성하기 위해 실리콘 함유 화합물에 노출되며, 그 후 질소 도핑된 실리콘 탄화물층은 그 위에 증착된다. 공정 단계들은 규화물 형성 공정에 앞서 안정화 단계를 더 포함할 수 있다.
규화물 공정의 또 다른 실시예에서, 실리콘 질화물층은 규화물 형성 후에, 그리고 증착 공정 전에 수행될 수 있다. 공정 단계들은, 예를 들어, 본 명세서에 기재된 것과 같은 플라즈마 처리에 기판 표면을 노출시키는 단계, 규화물 형성을 위한 안정화 단계, 실리콘 질화물층을 증착하는 단계 및 그 후 질소 도핑된 실리콘 탄화물층의 증착 단계를 포함한다. 공정 단계들은 규화물 형성 공정에 앞서 안정화 단계를 더 포함할 수 있다. 실리콘 질화물 재료는 탄소 도핑된 실리콘 질화물일 수 있다.
실리콘 질화물 증착 공정의 일 실시예는 약 50 sccm 내지 약 1,000 sccm 사이의 유속, 예를 들어 약 50 sccm 내지 약 300 sccm 사이의 유속으로 공정 챔버로 실레인을 제공하는 단계, 약 10 sccm 내지 약 1,000 sccm 사이의, 예를 들어 약 50 sccm 내지 약 150 sccm 사이의 유속으로 본 명세서에 기재된 환원 화합물들과 같은 질소-함유 화합물을 공정 챔버로 제공하는 단계, 선택적으로, 약 10 sccm 내지 약 20,000 sccm 사이의, 예를 들어 약 2,000 sccm 내지 약 10,000 sccm 사이의 유속으로 헬륨 또는 질소와 같은 비활성 기체를 공정 챔버로 제공하는 단계, 약 1 Torr 내지 약 12 Torr 사이의, 예를 들어 약 2.5 Torr 내지 약 9 Torr 사이의 챔버 압력을 유지하는 단계, 약 100 ℃ 내지 약 500 ℃ 사이의, 예를 들어 약 250 ℃ 내지 약 450 ℃ 사이의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 기판 표면으로부터 약 200mils 및 약 1,000mils, 예를 들어 약 300mils 내지 약 600mils에 배치하는 단계 및 플라즈마를 생성하는 단계를 포함한다. 플라즈마 처리는 약 1초 내지 약 10초, 예를 들어 바람직하게는 약 1초 내지 약 5초로 수행될 수 있다.
플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 내지 약 6.4 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 100 W 내지 약 400 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.01 W/cm2 내지 약 2.8 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다. 대안적으로, 플라즈마는 본 명세서에 기재된 바와 같은 이중-주파수 RF 전력 소스에 의해 발생될 수 있다. 대안적으로, 모든 플라즈마 발생은 증착된 재료의 플라즈마 처리 또는 재료층의 증착을 위해 공정 챔버로 유입되는 발생 래디컬들을 이용하여 원격으로 수행될 수 있다.
실리콘 질화물 증착 공정의 일 실시예는, 약 220 sccm의 유속으로 공정 챔버로 실레인을 제공하는 단계, 약 5,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 75 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 약 300 mils에 배치하는 단계 및 약 2초간 약 440 watts 내지 약 450 watts 사이의 전력 레벨에서 플라즈마를 발생하는 단계를 포함한다.
다음의 실리콘 탄화물층은 실리콘 탄화물 증착을 위하여 본 명세서에 기재된 바와 같이 증착될 수 있다. 실리콘 탄화물 증착은 또한 규화물 공정을 위하여 동일한 탄소-함유 실리콘 기반 화합물을 사용하여 수행될 수 있으며, 동일한 챔버에 서 수행될 수 있다.
실시예들
다음의 실시예들은 개선된 삽입층 접착을 예증하기 위하여 표준 삽입층 적층과 비교되어 본 명세서에 기재된 접착 공정의 다양한 실시예들을 설명한다. 샘플들은 Producer™ 300 mm 공정 챔버들을 이용하여 착수되며, 이는 캘리포니아, 산타 클라라의 Applied Materials Inc.에 의해 제조되고 판매되는 2-피스(two-piece) 석영 공정 키트를 갖는 고체-상태 이중 주파수 RF 매칭 장치를 포함한다.
테스트 샘플들은 다음과 같이 준비된다. 유전체 층들의 적층은 다음과 같이 실리콘 기판상에 증착된다. 기판은 그 위에 증착된 약 1,000 Å의 실리콘 산화물, 상기 실리콘 산화물상에 배치된 약 250 Å의 탄탈, 상기 탄탈상에 배치된 약 4,500 Å의 구리를 포함하고, 그 후 본 명세서에 기재된 접착 공정들이 상기 기판상에 수행되며, 일반적으로 구리층 상에 배치된 약 2,000Å의 질소 도핑된 실리콘 탄화물을 얻는다.
접착력 테스트는 다음과 같은 테스트 샘플들상에 수행된다. 공지된 균열 특성들을 갖는 약 120 ㎛ 내지 약 150 ㎛ 사이의 에폭시 재료가 테스트 샘플들상에 증착된다. 그 위에 실리콘층이 증착된다. 테스트 샘플들은 그 후 약 190 ℃에서 한 시간 동안 베이킹되고 건조되며, 그 후 1 cm씩의 샘플들로 쪼개지고, 액체 질소를 이용하여 -170 ℃까지 냉각된다. 샘플들은 그 후, 지정된 온도에서 가장 약한 삽입층 공간에서 일어나는 균열을 판단하기 위해 관찰된다. 지정된 온도에서 에폭시의 수축은 필링을 유도하기 위해 요구되는 힘들과 관련된다. 이러한 관찰로부 터, 접착에 대한 판단이 이루어질 수 있다. 접착력(Gc)은 공식 σ√(h/2)에 기초하며, 여기서 h는 에폭시 두께이고 σ는 잔여 응력이다.
실시예 #1에 있어서, 접착 공정은 안정화 공정을 수반하는 암모니아 플라즈마 처리 및 그 후 기판 표면상에 질소 도핑된 실리콘 탄화물 증착을 포함한다. 이러한 공정은 다음과 같이 수행된다.
플라즈마 처리 공정은, 약 160 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 18,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 20초간, 13.56 MHz의 고주파수에서 약 300 watts 사이의 전력 레벨을 적용함으로써 플라즈마를 발생하는 단계를 포함한다.
안정화 공정은 약 350 sccm의 유속으로 공정 챔버로 트리메틸실레인을 제공하는 단계, 약 700 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 1,200 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 약 280 mils에 배치하는 단계를 포함한다. 이러한 안정화 공정은 약 5초간 수행될 수 있다. 질소 도핑된 실리콘 탄화물층은 약 63초간 13.56 MHz의 고주파수에서 약 900 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생시켜 유기실리콘 화합물의 플라즈마를 개시하는 단계에 의하여 증착된다.
실시예 #1의 기판의 측정 접착력(Gc)은 약 3.8 +/- 0.6 J-m2이다.
실시예 #2에 있어서 접착 공정은 기판 표면상에 질산화 실리콘 탄화물층의 형성을 수반하는 암모니아 플라즈마 처리를 포함한다. 이러한 공정은 다음과 같이 수행된다.
플라즈마 처리 공정은, 약 700 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 12,000 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기, 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 20초간, 13.56 MHz의 고주파수에서 약 300 watts의 RF 전력 레벨을 적용함으로써 플라즈마를 발생하는 단계를 포함한다.
질산화층은 700 sccm의 유속으로 공정 챔버로 암모니아를 유입시키는 단계, 약 1,200 sccm의 유속으로 공정 챔버로 헬륨을 유입시키는 단계, 약 350 sccm의 유속으로 트리메틸실레인(TMS)을 유입시키는 단계, 약 350 ℃의 히터 온도를 챔버를 유지하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 280 mils에 기체 분배기를 배치하는 단계 및 13.56 MHz에서 약 900 watts의 RF 전력을 공급하는 단계에 의하여 증착된다.
실시예 #2의 기판의 측정 접착력(Gc)은 약 3.2 +/- 0.3 J-m2이다.
실시예 #3에 있어서, 접착 공정은 유기실리콘 플라즈마 처리에 대한 안정화 공정을 수반하는 암모니아 플라즈마 처리 및 그 후 기판 표면상에 질소 도핑된 실 리콘 탄화물 증착을 포함한다. 이러한 공정은 다음과 같이 수행된다.
플라즈마 처리 공정은, 약 160 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 18,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 20초간, 13.56 MHz의 고주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생하는 단계를 포함한다.
안정화 공정은 약 450 sccm의 유속으로 공정 챔버로 트리메틸실레인을 제공하는 단계, 약 1,200 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 5초간, 기체 분배기 또는 "샤워헤드"를 약 280 mils에 배치하는 단계를 포함한다. 유기실리콘 화합물의 플라즈마는 약 2초간 13.56 MHz의 고주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생시키는 단계에 의하여 시작된다.
질소 도핑된 실리콘 탄화물층은 700 sccm의 유속으로 공정 챔버로 암모니아를 유입시키는 단계, 약 1,200 sccm의 유속으로 공정 챔버로 헬륨을 유입시키는 단계, 약 350 sccm의 유속으로 트리메틸실레인(TMS)을 유입시키는 단계, 약 350 ℃의 히터 온도로 챔버를 유지하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 기판 표면으로부터 약 280 mils에 기체 분배기를 배치하는 단계 및 13.56 MHz에서 약 900 watts의 RF 전력을 적용하는 단계에 의하여 증착된다.
실시예 #3의 기판의 측정 접착력(Gc)은 약 4.0 +/- 0.2 J-m2이다.
실시예 #4에 있어서, 접착 공정은 암모니아 플라즈마 처리, 질화물 증착에 대한 안정화 공정, 약 100 Å의 질화물 증착, 질소 도핑된 실리콘 탄화물을 위한 질화물 증착에 대한 안정화 공정, 및 그 후 기판 표면상에 질소 도핑된 실리콘 탄화물 증착을 포함한다. 이러한 공정은 다음과 같이 수행된다.
플라즈마 처리 공정은, 약 160 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 18,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 20초간, 13.56 MHz의 고주파수에서 약 300 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생하는 단계의 플라즈마 처리 공정을 포함한다.
안정화 공정은 약 350 sccm의 유속으로 공정 챔버로 트리메틸실레인을 제공하는 단계, 약 1,500 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 18,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 5초간, 기체 분배기 또는 "샤워헤드"를 약 280 mils에 배치하는 단계를 포함한다. (탄소 도핑된)실리콘 질화물층은 약 약 2초 내지 약 3초 사이에 13.56 MHz의 고주파수에서 약 900 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생시켜 유기실리콘 화합물의 플라즈마를 개시하는 단계에 의하여 증착된다.
안정화 공정은 약 350 sccm의 유속으로 공정 챔버로 트리메틸실레인을 제공하는 단계, 약 700 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 1,200 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 3.7 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 5초간, 기체 분배기 또는 "샤워헤드"를 약 280 mils에 배치하는 단계를 포함한다. 질소 도핑된 실리콘 탄화물층은 약 60초간 13.56 MHz의 고주파수에서 약 900 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생시켜 유기실리콘 화합물의 플라즈마를 개시하는 단계에 의하여 증착된다.
실시예 #4의 기판의 측정 접착력(Gc)은 약 2.9 +/- 0.3 J-m2이다.
실시예 #5에 있어서, 접착 공정은 암모니아 플라즈마 처리, 규화물 형성에 대한 안정화 공정, 규화물 형성 및 그 후 기판 표면상에 질소 도핑된 실리콘 탄화물 증착을 포함한다. 이러한 공정은 다음과 같이 수행된다.
플라즈마 처리 공정은, 약 75 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 5,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 15초간, 13.56 MHz의 고주파수에서 약 150 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생하는 단계의 플라즈마 처리 공정을 포함한다.
안정화 공정은 약 325 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단 계, 약 400 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 3 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 10초간, 기체 분배기 또는 "샤워헤드"를 약 300 mils에 배치하는 단계를 포함한다.
규화물은 약 125 sccm의 유속으로 공정 챔버로 실레인을 제공하는 단계, 약 400 sccm의 유속으로 공정 챔버로 헬륨을 제공하는 단계, 약 325 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 3 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 2.5초간, 기체 분배기 또는 "샤워헤드"를 약 300 mils에 배치하는 단계에 의하여 형성된다.
질소 도핑된 실리콘 탄화물층은 160 sccm의 유속으로 공정 챔버로 트리메틸실레인을 제공하는 단계, 약 325 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 400 sccm의 유속으로 헬륨을 제공하는 단계, 약 3 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 300 mils에 배치하는 단계 및 약 76초간, 13.56 MHz의 고주파수에서 약 300 W 내지 약 310 W 사이의 RF 전력을 적용함으로써 플라즈마를 발생하는 단계에 의하여 증착될 수 있다.
실시예 #4의 기판의 측정 접착력(Gc)은 약 3.9 +/- 0.5 J-m2이다.
실시예 #6에 있어서, 접착 공정은 암모니아 플라즈마 처리, 규화물 형성에 대한 안정화 공정, 규화물 형성, 약 100 Å의 실리콘 질화물의 증착 및 그 후 기판 표면상에 질소 도핑된 실리콘 탄화물 증착을 포함하는 규화물 공정을 포함한다. 이러한 공정은 다음과 같이 수행된다.
플라즈마 처리 공정은, 약 75 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 5,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 약 15초간, 13.56 MHz의 고주파수에서 약 150 W의 RF 전력 레벨을 적용함으로써 플라즈마를 발생하는 단계를 포함한다.
안정화 공정은 약 75 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 5,000 sccm의 유속으로 공정 챔버로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 약 5초간, 기체 분배기 또는 "샤워헤드"를 약 350 mils에 배치하는 단계 및 를 포함한다. 규화물은 약 2.5초간, 약 125 sccm의 유속으로 공정 챔버로 실레인을 제공하는 단계에 의하여 형성된다.
실리콘 질화물은 220 sccm의 유속으로 공정 챔버로 실레인을 제공하는 단계, 약 75 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 5,000 sccm의 유속으로 질소를 제공하는 단계, 약 4.2 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 495 mils에 배치하는 단계 및 약 2초간, 13.56 MHz의 고주파수에서 약 440 W 내지 약 450 W 사이의 RF 전력을 적용함으로써 플라즈마를 발생하는 단계에 의하여 증착될 수 있다.
질소 도핑된 실리콘 탄화물은 160 sccm의 유속으로 공정 챔버로 트리메틸실레인을 제공하는 단계, 약 325 sccm의 유속으로 공정 챔버로 암모니아를 제공하는 단계, 약 400 sccm의 유속으로 헬륨을 제공하는 단계, 약 3 Torr의 챔버 압력을 유지하는 단계, 약 350 ℃의 히터 온도를 유지하는 단계, 기체 분배기 또는 "샤워헤드"를 약 300 mils에 배치하는 단계 및 약 72초간, 13.56 MHz의 고주파수에서 약 300 W 내지 약 310 W 사이의 RF 전력을 적용함으로써 플라즈마를 발생하는 단계에 의하여 증착될 수 있다.
실시예 #4의 기판의 측정 접착력(Gc)은 약 5.3 J-m2이다.
층 증착:
실리콘 탄화물층은 탄소-실리콘 결합들 및 약 4 미만의 유전체 상수를 포함하는 유전체층을 형성하기 위하여 유기실리콘 화합물 반응에 의하여 증착된다. 실리콘 탄화물층은 비결정 수소화 실리콘 탄화물인 것이 바람직하다. 실리콘 탄화물층은 비활송 기체, 수소 기체 또는 두 가지 모두의 플라즈마에서 증착될 수 있다. 실리콘 탄화물 유전체층은 도핑된 실리콘 탄화물층일 수 있다. 실리콘 탄화물층은 전도성 재료 또는 유전체층에 인접하여 배치된 배리어 층으로서 증착될 수 있으며, 하나 이상의 유전체층들 간에 증착된 에칭 스톱일 수 있다.
실리콘 탄화물 증착을 위하여 본 명세서에서 사용된 적합한 유기실리콘 화합물들의 예들은 바람직하게는 다음과 같은 구조를 포함한다:
|
R-Si-
|
여기서, R은 그 기능성 유도체들에 더하여, 알킬, 알케닐, 시클로헥세닐 및 아릴 그룹들을 포함하는 유기 기능 그룹들을 포함한다. 유기 선구물질들은 실리콘 원자에 부착된 하나 이상의 R 그룹을 가질 수 있으며, 본 발명은 Si-H 결합들을 갖는 또는 갖지 않는 유기실리콘 선구물질들의 사용을 기대한다.
유기실리콘 화합물들은 적어도 하나의 실리콘-탄소 결합을 갖는 지방족 유기실리콘 화합물들, 고리형 유기실리콘 화합물들 또는 이들의 조합물들을 포함하며, 선택적으로 그 구조물은 산소를 포함할 수 있다. 고리형 유기실리콘 화합물들은 일반적으로 3개 이상의 실리콘 원자들을 포함하는 고리를 갖는다. 지방족 유기실리콘 화합물들은 하나 이상의 실리콘 원자들 및 하나 이상의 탄소 원자들을 포함하는 선형 또는 브랜치형(branched) 구조물들을 갖는다. 상업적으로 제공 가능한 지방족 화합물들은 실리콘 원자들 사이에 산소를 포함하지 않는 유기실레인들 및 산소 도핑된 실리콘 탄화물층에 대해, 둘 이상의 실리콘 원자들 사이에 산소를 포함하는 유기실록산들을 포함한다. 본 명세서에 기재된 유기실리콘 화합물들의 플루오르화 유도체들은 또한 본 명세서에 기재된 실리콘 탄화물 및 실리콘 옥시탄화물 층들을 증착하기 위하여 사용될 수 있다.
적합한 지방족 및 고리형 유기실리콘 화합물들의 예들은, 예를 들어, 하나 이상의 다음 화합물들을 포함한다.:
메틸실레인, CH3-SiH3
디메틸실레인, (CH3)2-SiH2
트리메틸실레인(TMS), (CH3)3-SiH
에틸실레인, CH3-CH2-SiH3
디실라노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, CH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄, CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판, SiH3-(C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2CH2-)3- (cyclic)
디에틸실레인 (C2H5)2SiH2
프로필실레인 C3H7SiH3
비닐메틸실레인 (CH2=CH)(CH3)SiH2
디비닐디메틸실레인(DVDMS) (CH2=CH)2(CH3)2Si
1,1,2,2-테트라메틸디실레인 HSi(CH3)2-Si(CH3)2H
헥사메틸디실레인 (CH3)3Si-Si(CH3)3
1,1,2,2,3,3-헥사메틸트리실레인 H(CH3)2Si-Si(CH3)2-SiH(CH3)2
1,1,2,3,3-펜타메틸트리실레인 H(CH3)2Si-SiH(CH3)2-SiH(CH3)2
디메틸디실라노에탄 CH3-SiH2-(CH2)2-SiH2-CH3
디메틸디실라노프로판 CH3-SiH2-(CH2)3-SiH2-CH3
테트라메틸디실라노에탄 (CH)2-SiH-(CH2)2-SiH-(CH)2
테트라메틸디실라노프로판 (CH)2-SiH-(CH2)3-SiH-(CH)2
상기 리스트는 예를 든 것이며, 본 발명의 범위를 한정하도록 해석되어서는 안 될 것이다.
페닐 함유 유기실리콘 화합물들은 또한 실리콘 탄화물 재료들의 증착을 위해 사용될 수 있으며, 일반적으로 다음과 같은 구조물을 포함할 수 있다:
|
R-Si-H
|
여기서 R은 페닐기 그룹이다. 예를 들어, 적합한 페닐기 함유 유기실리콘 화합물들은 일반적으로 공식 SiHa(CH3)b(C6H5)C를 포함하고, 여기서 a는 0 내지 3, b는 0 내지 3, c는 1 내지 4이며, a+b+c는 4와 같다. 이러한 공식으로부터 유도된 적합한 선구물질들의 예들은 디페닐실레인, 디메틸페닐실레인, 디페닐메틸실레인, 페닐메틸실레인 및 이들의 조합물들을 포함한다. b는 1 내지 3이고 c는 1 내지 3인 페닐 함유 유기실리콘 화합물들이 사용되는 것이 바람직하다. 배리어층 재료로서 증착을 위한 가장 바람직한 유기실리콘 화합물들은 공식 SiHa(CH3)b(C6H5)c를 갖는 유기실리콘 화합물들을 포함하고, 여기서 a, b 및 c는 1 또는 2이다. 바람직한 선구물질들의 예들은 디메틸페닐실레인 및 디페닐메틸실레인을 포함한다.
일반적으로, 유기실리콘 화합물들은 질소(N2)와 같은 상대적인 비활성 기체 및 헬륨 또는 아르곤과 같은 희가스들를 포함하는 플라즈마에서 반응된다. 증착된 실리콘 탄화물층들은 약 5 이하의 유전체 상수들을 가지고, 도핑된 실리콘 탄화물층들은 약 3 이하의 유전체 상수들을 가질 수 있다. 공정 기체는 또한 수소 기체를 포함할 수 있다. 수소 기체는 일반적으로 약 1:1 내지 약 6:1 사이와 같은, 약 1:1 내지 약 10:1 사이의 유기실리콘 화합물 대 수소 기체의 몰 비(molar ratio)에서 첨가된다. 유기실리콘 화합물들 및 수소 기체에 대한 바람직한 증착 공정들은 유기실리콘 화합물 대 수소 기체의 약 1:1 내지 약 1.5:1 사이의 몰 비를 갖는다.
일 실시예에서, 바람직한 실리콘 탄화물층은 약 10 milligrams/min(mgm) 내지 약 5,000 milligrams/min(mgm) 사이의 유속으로 플라즈마 공정 챔버로 트리메틸실레인을 공급함으로써 증착된다. milligrams/minutes의 standard cubic centimeters per minute(sccm)로의 변환은 유기실리콘 화합물들 사이에서 변화할 수 있기 때문에, 유기실리콘 화합물들에 있어서 milligrams/min이 사용되는 것이 바람직하다. 헬륨, 아르곤 또는 이들의 조합물들과 같은 비활성 기체는 또한 약 50 sccm 내지 약 5,000 sccm 사이의 유속으로 챔버로 공급된다. 챔버 압력은 약 100 milliTorr 내지 약 15 Torr 사이로 유지된다. 기판 표면 온도는 증착 공정 중에 약 100 ℃ 내지 약 450 ℃ 사이로 유지된다. 실리콘 탄화물층을 증착하는 공정의 일예가 2003년 3월 25일자로 발행된 미국 특허 제 6,537,733호에 개시되고, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다.
실리콘 탄화물층은 또한 산소, 질소, 보론, 인 또는 이들의 조합물들을 포함하는 도핑된 실리콘 탄화물층일 수 있다. 도핑된 실리콘 탄화물은 일반적으로 15 이하의 원자 퍼센트(원자 %) 또는 하나 이하 또는 이상의 도펀트들을 포함한다. 도펀트들은 약 1:5 내지 약 1:100 사이와 같은, 약 1:5 이상의 도펀트 대 유기실리콘 화합물 비로 공정 기체들에서 사용될 수 있다.
산소 소스 또는 질소 소스는 산소 도핑된 및/또는 질소 도핑된 실리콘 탄화물 층들을 형성하기 위하여 반응 중에 사용될 수 있다. 산소 소스들의 예들은 산소, 오존, 일산화탄소, 이산화탄소, 질소 산화물 및 산소 함유 유기실리콘 선구물질과 같은 산화 기체, 또는 일산화탄소 및 산소 함유 유기실리콘 선구물질과 같은 이들의 조합물을 포함한다. 산소 도핑된 실리콘 탄화물은 일반적으로 약 15 이하의 원자 퍼센트(원자 %)의, 바람직하게는 약 10 원자 % 이하의 산소를 포함한다.
산소 함유 유기실리콘 화합물들은 예를 들어, 다음을 포함한다.:
디메틸디메톡시실레인(DMDMOS), (CH3)2-Si-(OCH3)2
디에톡시메틸실레인(DEMS) (CH3)2-SiH-(OCH3)2
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산(TMDSO), (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산(HMDS), (CH3)3-Si-O-Si-(CH3)3
헥사메틸디실록산(HMDSO), (CH3O)3-Si-O-Si-(OCH3)3
1,3-비스(실라노메틸렌)디실록산, (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록사닐)메탄, (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
1,3,5,7-테트라메틸시클로테트라실록산(TMCTS), -(-SiHCH3-O-)4-(cyclic)
옥타메틸시클로테트라실록산(OMCTS), -(-Si(CH3)2-O-)4-(cyclic)
2,4,6,8,10-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(cyclic)
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, -(-SiH2-CH2-SiH2-O-)2-(cyclic)
헥사메틸시클로트리실록산, -(-Si(CH3)2-O-)3-(cyclic)
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
헥사메톡시디실록산(HMDOS), (CH2O)3-Si-O-Si-(OCH3)3
및 그 플루오르화 유도체들.
질소 도핑된 실리콘 탄화물은 20 원자 %까지의 질소를 포함할 수 있으며, 예를 들어, 암모니아, 질소 기체, 질소 및 수소 기체의 혼합물 및 실라제인(silazane) 화합물들과 같은 Si-N-Si 결합 그룹들을 갖는 화합물들을 포함하는 질소 함유 화합물들의 첨가에 의하여 증착될 수 있다. 적합한 실리제인 선구물질들의 예들은 헥사메틸시클로트리실라제인과 같은 고리형 화합물들뿐 아니라, 헥사메틸디실라제인 및 디비닐테트라메틸디실리제인과 같은 지방성 화합물들을 포함한다.
예를 들어, 도핑된 실리콘 탄화물층은 산소 소스 및/또는 질소 소스 또는 다른 도펀트를 약 50 sccm 내지 약 10,000 sccm 사이의 유속으로 공정 챔버로 유입시킴으로써 증착될 수 있다. 예를 들어, 질소 함유 또는 질소 도핑된 실리콘 탄화물층은 실리콘 탄화물층의 증착 중에 암모니아, 질소, 질소 및 수소의 혼합물 또는 이들의 조합물들과 같은 질소 소스를 유입시킴으로써 증착될 수 있다.
낮은 k 실리콘 탄화물층의 인 및/또는 보론 도핑은 증착 공정 중에 인화수소(PH3) 또는 보란(BH3), 또는 디보란(B2H6)과 같은 그 보란 유도체들을 상기 챔버로 유입시킴으로써 수행될 수 있다. 도펀트는 증착된 실리콘 탄화물 재료의 유전체 상수를 감소시킬 수 있는 것으로 알려졌다. 인 및/또는 보론 도펀트들은 약 50 sccm 내지 약 10,000 sccm 사이의 유속으로 공정 챔버로 유입될 수 있다.
지방성 탄화수소 화합물들과 같은 유기 화합물들은 또한 증착된 실리콘 탄화물 재료들의 탄소 함유량을 증가시키기 위하여 공정 기체에서 사용될 수 있다. 적 합한 지방성 탄화수소 화합물들은 1 내지 약 20 사이의 인접 탄소 원자들을 갖는 화합물들을 포함한다. 탄화수소 화합물들은 단일, 이중, 삼중 결합들의 어떤 결합물에 의해 결합된 인접 탄소 원자들을 포함할 수 있다.
질소 함유 실리콘 탄화물층을 증착하는 공정의 예는 2000년 7월 28일, 2001년 2월 23일자로 출원된 미국 특허 제 09/627,667호 및 2003년 3월 25일자로 발행된 미국 특허 제 6,537,733호에 개시되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다. 산소 함유 실리콘 탄화물층을 증착하는 공정의 예는 2002년 7월 15일자로 출원된 미국 특허 제 10/196,498호에 개시되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다. 보론 및/또는 인 실리콘 탄화물층을 증착하는 공정의 예는 2003년 1월 13일자로 발행된 미국 특허 제 10/342,079호에 개시되며, 이는 본 명세서에 기재된 청구항 및 명세를 벗어나지 않는 정도까지 참조로 통합된다.
일반적으로, 유기실리콘 화합물, 비활성 기체 및 선택적 도펀트는 실리콘 탄화물층이 증착되는 기판으로부터 약 200 밀리미터(mm) 내지 약 600 밀리미터 사이로 배치된 기체 분배기 판을 통하여 공정 챔버로 유입된다.
전력은 단일 또는 이중 주파수 RF 전력 소스에 가해질 수 있다. 예를 들어, 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 500 W 내지 약 1,100 W 사이의, 200 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.03 W/cm2 내지 약 6.4 W/cm2 사이 범위의 전 력 밀도를 적용함으로써 발생될 수 있다. 플라즈마는 13 MHz 내지 14 MHz 사이의, 예컨대 13.56 MHz와 같은 고 주파수에서, 예컨대 약 500 W 내지 약 1,100 W 사이의, 300 mm 기판에 대하여 약 10 W 내지 약 2,000 W 사이의 RF 전력 레벨인, 약 0.01 W/cm2 내지 약 2.8 W/cm2 사이 범위의 전력 밀도를 적용함으로써 발생될 수 있다.
이러한 전력은 이중-주파수 RF 전력 소스로부터 적용될 수 있다. 혼합된 RF 전력의 이중-주파수 소스는 약 100 KHz 내지 약 500 KHz 사이 범위의, 예를 들어 약 350 KHz의 저 주파수 전력뿐만 아니라, 약 10 MHz 내지 약 30 MHz 사이 범위의, 예를 들어 약 13.56 MHz의 고 주파수 전력을 제공한다. 혼합 주파수 RF 전력 어플리케이션의 일예는 약 200 watts 내지 약 1,000 watts 범위의 전력에서 약 10 MHz 내지 약 30 MHz 범위의 주파수를 갖는 제1 RF 전력 및 적어도 약 1 watt 내지 약 200 watts 범위의 전력과 마찬가지로 약 100 KHz 내지 약 500 KHz 사이 범위의 주파수를 갖는 제2 RF 전력을 포함할 수 있다. 상기 제2 RF 전력 대 전체 혼합 주파수 전력의 비는 약 0.2 내지 1.0 미만인 것이 바람직하다.
추가적으로, 기체 혼합물에서 실리콘 소스 대 도펀트의 비는 약 1:1 내지 약 100:1 사이의 범위여야 한다. 상기 공정 파라미터들은 캘리포니아 산타클라라에 위치한 Applied Materials, Inc.로부터 제공 가능한 증착 챔버에서 200 mm(밀리미터) 기판상에 수행될 때 약 100 Å/min 내지 약 3,000 Å/min 사이 범위로 실리콘 탄화물층에 대한 증착율을 제공한다.
본 명세서에 기재된 실리콘 탄화물층들의 증착에 대한 실시예들은 본 발명을 설명하기 위하여 제공되며, 기재된 특정 실시예들은 본 발명의 영역을 제한하기 위하여 사용되어서는 안 될 것이다. 본 발명은 실리콘 탄화물층들을 증착하기 위하여 사용된 다른 공정들 및 재료들 또한 고려한다.
전술한 것은 본 발명의 바람직한 실시예들에 관한 것이나, 다음의 청구항들에 의하여 결정되는 그 기본 원칙을 벗어나지 않는 범위에서 본 발명의 다른 실시예들이 고안될 수 있으며, 그 범위는 다음의 청구항에 의하여 결정될 것이다.

Claims (47)

  1. 기판 처리 방법으로서,
    내부에 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성된 상기 기판을 공정 챔버에 배치하는 단계;
    상기 공정 챔버로 실리콘 기반 화합물을 유입시키는 단계;
    상기 전도성 재료의 규화물층을 형성하는 단계; 및
    진공 상태에서 상기 규화물층상에 실리콘 탄화물층을 증착하는 단계
    를 포함하는 기판 처리 방법.
  2. 제1항에 있어서,
    상기 규화물은 열 강화 공정, 플라즈마 강화 공정, 또는 상기 두 공정 모두에 의하여 상기 실리콘 기반 화합물과 상기 전도성 재료를 반응시킴으로써 형성되는 것을 특징으로 하는 기판 처리 방법.
  3. 제1항에 있어서,
    상기 실리콘 탄화물층의 증착에 앞서, 상기 규화물층을 질소 및 수소를 포함하는 환원 화합물의 플라즈마에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  4. 제1항에 있어서,
    상기 실리콘 기반 화합물은 탄소-프리 실리콘 기반 화합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  5. 제4항에 있어서,
    상기 탄소-프리 실리콘 기반 화합물은 실레인을 포함하는 것을 특징으로 하는 기판 처리 방법.
  6. 제1항에 있어서, 상기 실리콘 탄화물층은,
    트리메틸실레인, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸페닐실레인, 디페닐메틸실레인 및 이들의 조합물들의 그룹으로부터 선택되는 유기실리콘 화합물을 유입시키는 단계; 및
    상기 유기실리콘 화합물의 플라즈마를 발생시키는 단계
    에 의하여 증착되는 것을 특징으로 하는 기판 처리 방법.
  7. 제6항에 있어서,
    상기 실리콘 탄화물층의 증착 중에 비활성 기체, 환원 화합물, 실리콘 기반 화합물 또는 이들의 조합물들을 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  8. 제1항에 있어서,
    상기 실리콘 기반 화합물은 탄소-함유 실리콘 기반 화합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  9. 제8항에 있어서,
    상기 탄소-함유 실리콘 기반 화합물은 트리메틸실레인, 디메틸페닐실레인, 디페닐메틸실레인 및 이들의 조합물들을 포함하는 것을 특징으로 하는 기판 처리 방법.
  10. 제9항에 있어서,
    상기 탄소-함유 실리콘 기반 화합물과 함께 비활성 기체를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  11. 제10항에 있어서,
    상기 비활성 기체는 헬륨, 아르곤 또는 이들의 조합물들을 포함하는 것을 특징으로 하는 기판 처리 방법.
  12. 제10항에 있어서,
    상기 규화물은 비활성 기체의 제공하에 플라즈마 강화 공정에 의하여 상기 실리콘 탄소-함유 실리콘 기반 화합물과 상기 전도성 재료를 반응시킴으로써 형성 되는 것을 특징으로 하는 기판 처리 방법.
  13. 제1항에 있어서,
    질소 및 수소를 포함하는 환원 화합물을 상기 공정 챔버로 유입시키는 단계;
    상기 공정 챔버에서 상기 환원 화합물의 플라즈마를 개시하는 단계; 및
    상기 실리콘 기반 화합물을 상기 공정 챔버로 유입시키는 단계에 앞서, 상기 전도성 재료를 상기 환원 화합물의 플라즈마에 노출시키는 단계
    를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  14. 제13항에 있어서,
    상기 환원 화합물은 암모니아 또는 질소 기체 및 수소 기체의 혼합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  15. 제13항에 있어서,
    상기 환원 화합물과 함께 비활성 기체를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 제1항에 있어서,
    상기 실리콘 기반 화합물과 함께 질소 및 수소를 포함하는 환원 화합물을 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  17. 기판 처리 방법으로서,
    내부에 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성된 상기 기판을 공정 챔버에 배치하는 단계;
    상기 공정 챔버 내로 실리콘 기반 화합물 및 환원 화합물을 유입시키는 단계;
    상기 전도성 재료의 규화물층을 형성하는 단계;
    상기 실리콘 기반 화합물 및 환원 화합물의 플라즈마를 개시하는 단계;
    실리콘 질화물층을 증착하는 단계; 및
    진공 상태에서 상기 실리콘 질화물층 상에 실리콘 탄화물층을 증착하는 단계
    를 포함하는 기판 처리 방법.
  18. 제17항에 있어서,
    상기 규화물은 열 강화 공정, 플라즈마 강화 공정, 또는 상기 두 공정 모두에 의하여 상기 실리콘 기반 화합물과 상기 전도성 재료를 반응시킴으로써 형성되는 것을 특징으로 하는 기판 처리 방법.
  19. 제17항에 있어서,
    상기 실리콘 기반 화합물은 탄소-프리 실리콘 기반 화합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  20. 제19항에 있어서,
    상기 탄소-프리 실리콘 기반 화합물은 실레인을 포함하는 것을 특징으로 하는 기판 처리 방법.
  21. 제17항에 있어서, 상기 실리콘 탄화물층은,
    트리메틸실레인, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸페닐실레인, 디페닐메틸실레인 및 이들의 조합물들의 그룹으로부터 선택되는 유기실리콘 화합물을 유입시키는 단계; 및
    상기 유기실리콘 화합물의 플라즈마를 발생시키는 단계
    에 의하여 증착되는 것을 특징으로 하는 기판 처리 방법.
  22. 제21항에 있어서,
    상기 실리콘 탄화물층의 증착 중에 비활성 기체, 환원 화합물, 실리콘 기반 화합물 또는 이들의 조합물들을 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  23. 제17항에 있어서,
    상기 실리콘 기반 화합물은 탄소-함유 실리콘 기반 화합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  24. 제17항에 있어서,
    상기 탄소-함유 실리콘 기반 화합물은 트리메틸실레인, 디메틸페닐실레인, 디페닐메틸실레인 및 이들의 조합물들을 포함하는 것을 특징으로 하는 기판 처리 방법.
  25. 제24항에 있어서,
    상기 탄소-함유 실리콘 기반 화합물과 함께 비활성 기체를 유입시키는 단계를 더 포함하며, 상기 비활성 기체는 헬륨, 아르곤 또는 이들의 조합물들을 포함하는 것을 특징으로 하는 기판 처리 방법.
  26. 제17항에 있어서,
    상기 실리콘 탄화물층의 증착에 앞서, 상기 규화물층을 질소 및 수소를 포함하는 환원 화합물의 플라즈마에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  27. 제25항에 있어서,
    상기 규화물은 비활성 기체의 제공하에 플라즈마 강화 공정에 의하여 상기 실리콘 탄소-함유 실리콘 기반 화합물과 상기 전도성 재료를 반응시킴으로써 형성되는 것을 특징으로 하는 기판 처리 방법.
  28. 제17항에 있어서,
    질소 및 수소를 포함하는 환원 화합물을 상기 공정 챔버로 유입시키는 단계;
    상기 공정 챔버에서 상기 환원 화합물의 플라즈마를 개시하는 단계; 및
    상기 실리콘 기반 화합물을 상기 공정 챔버로 유입시키는 단계에 앞서, 상기 전도성 재료를 상기 환원 화합물의 플라즈마에 노출시키는 단계
    를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  29. 제28항에 있어서,
    상기 환원 화합물은 암모니아 또는 질소 기체 및 수소 기체의 혼합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  30. 제28항에 있어서,
    상기 환원 화합물과 함께 비활성 기체를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  31. 기판 처리 방법으로서,
    하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성된 상기 기판을 공정 챔버에 배치하는 단계;
    질소 및 수소를 포함하는 환원 화합물을 상기 공정 챔버로 유입시키는 단계;
    상기 공정 챔버에서 상기 환원 화합물의 플라즈마를 개시하는 단계;
    상기 전도성 재료를 상기 환원 화합물의 플라즈마에 노출시키는 단계;
    상기 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계;
    상기 유기실리콘 선구물질을 상기 환원 화합물과 반응시키는 단계;
    하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료상에 질소 도핑된 실리콘 탄화물 유전체 재료를 증착하는 단계; 및
    진공 상태에서 상기 도핑된 실리콘 탄화물층 상에 실리콘 탄화물층을 증착하는 단계
    를 포함하는 기판 처리 방법.
  32. 제31항에 있어서,
    상기 환원 화합물은 암모니아 또는 질소 기체 및 수소 기체의 혼합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  33. 제31항에 있어서,
    상기 환원 화합물과 함께 비활성 기체를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  34. 제31항에 있어서,
    상기 유기실리콘 화합물은, 트리메틸실레인, 2,4,6,8-테트라메틸시클로테트 라실록산, 옥타메틸시클로테트라실록산, 디메틸페닐실레인, 디페닐메틸실레인 및 이들의 조합물들의 그룹으로부터 선택되는 것을 특징으로 하는 기판 처리 방법.
  35. 제31항에 있어서,
    상기 유기실리콘 화합물과 함께 비활성 기체, 수소 기체, 상기 환원 화합물, 또는 이들의 조합물들을 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  36. 제31항에 있어서,
    플라즈마를 개시하는 단계는 단일-주파수 RF 전력 소스 또는 이중-주파수 RF 전력 소스에 의하여 플라즈마를 발생시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  37. 제31항에 있어서,
    상기 전도성 재료는 구리, 도핑된 구리, 또는 구리 합금을 포함하는 것을 특징으로 하는 기판 처리 방법.
  38. 제31항에 있어서,
    상기 하나 이상의 패터닝된 낮은 k 유전체층들은 실리콘 탄화물, 도핑된 실리콘 탄화물, 실리콘 옥시탄화물, 또는 이들의 조합물들을 포함하는 것을 특징으로 하는 기판 처리 방법.
  39. 기판 처리 방법으로서,
    내부에 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성된 상기 기판을 공정 챔버에 배치하는 단계;
    질소 및 수소를 포함하는 환원 화합물을 상기 공정 챔버로 유입시키는 단계;
    상기 공정 챔버에서 상기 환원 화합물의 제1 플라즈마를 개시하는 단계;
    상기 전도성 재료를 상기 환원 화합물의 상기 플라즈마에 노출시키는 단계;
    상기 제1 플라즈마를 종료하고 환원 화합물을 제거하는 단계;
    상기 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계;
    상기 공정 챔버에서 상기 유기실리콘 선구물질의 제2 플라즈마를 개시하는 단계;
    상기 유기실리콘 화합물과 함께 상기 환원 화합물을 유입시키는 단계; 및
    진공 상태에서 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료 상에 질소 도핑된 실리콘 탄화물 유전체 재료를 증착하는 단계
    를 포함하는 기판 처리 방법.
  40. 제39항에 있어서,
    상기 환원 화합물은 암모니아 또는 질소 기체 및 수소 기체의 혼합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  41. 제39항에 있어서,
    상기 환원 화합물과 함께 비활성 기체를 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  42. 제39항에 있어서,
    상기 유기실리콘 화합물은, 트리메틸실레인, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸페닐실레인, 디페닐메틸실레인 및 이들의 조합물들의 그룹으로부터 선택되는 것을 특징으로 하는 기판 처리 방법.
  43. 제39항에 있어서,
    상기 유기실리콘 화합물과 함께 비활성 기체, 수소 기체, 상기 환원 화합물, 또는 이들의 조합물들을 유입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  44. 제39항에 있어서,
    플라즈마를 개시하는 단계는 단일-주파수 RF 전력 소스 또는 이중-주파수 RF 전력 소스에 의하여 플라즈마를 발생시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  45. 제39항에 있어서,
    상기 전도성 재료는 구리, 도핑된 구리, 또는 구리 합금을 포함하는 것을 특징으로 하는 기판 처리 방법.
  46. 제39항에 있어서,
    상기 하나 이상의 패터닝된 낮은 k 유전체층들은 실리콘 탄화물, 도핑된 실리콘 탄화물, 실리콘 옥시탄화물, 또는 이들의 조합물들을 포함하는 것을 특징으로 하는 기판 처리 방법.
  47. 기판 처리 방법으로서,
    내부에 하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료가 형성된 상기 기판을 공정 챔버에 배치하는 단계;
    질소 및 수소를 포함하는 환원 화합물을 제1 유속으로 상기 공정 챔버로 유입시키는 단계;
    상기 공정 챔버에서 상기 환원 화합물의 제1 플라즈마를 개시하는 단계;
    상기 전도성 재료를 성가 환원 화합물의 상기 플라즈마에 노출시키는 단계;
    상기 제1 플라즈마를 종료하는 단계;
    상기 공정 챔버에 유기실리콘 선구물질을 유입시키는 단계;
    상기 환원 화합물을 상기 제1 유속보다 큰 제2 유속으로 유입시키는 단계;
    상기 공정 챔버에서 상기 유기실리콘 선구물질 및 상기 환원 화합물의 제2 플라즈마를 개시하는 단계;
    하나 이상의 패터닝된 낮은 k 유전체층들 및 전도성 재료 상에 탄소 도핑된 실리콘 질화물 유전체 재료를 증착하는 단계;
    상기 제2 플라즈마를 종료하는 단계;
    상기 공정 챔버에 상기 유기실리콘 선구물질을 유입시키는 단계;
    상기 환원 화합물을 상기 제2 유속보다 낮은 제3 유속으로 유입시키는 단계;
    상기 공정 챔버에서 상기 유기실리콘 선구물질 및 상기 환원 화합물의 제3 플라즈마를 개시하는 단계;
    진공 상태에서 상기 하나 이상의 패터닝된 낮은 k 유전체층들 및 상기 전도성 재료상에 질소 도핑된 실리콘 탄화물 유전체 재료를 증착하는 단계
    를 포함하는 기판 처리 방법.
KR1020067024129A 2004-04-19 2005-04-19 낮은 k 유전체의 전도성 재료들에 대한 접착 개선 KR101230326B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/828,023 2004-04-19
US10/828,023 US20050233555A1 (en) 2004-04-19 2004-04-19 Adhesion improvement for low k dielectrics to conductive materials
US10/929,884 2004-08-30
US10/929,884 US7229911B2 (en) 2004-04-19 2004-08-30 Adhesion improvement for low k dielectrics to conductive materials
PCT/US2005/013313 WO2005109473A2 (en) 2004-04-19 2005-04-19 Adhesion improvement for dielectric layers to conductive materials

Publications (2)

Publication Number Publication Date
KR20070007937A true KR20070007937A (ko) 2007-01-16
KR101230326B1 KR101230326B1 (ko) 2013-02-06

Family

ID=35045398

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067024129A KR101230326B1 (ko) 2004-04-19 2005-04-19 낮은 k 유전체의 전도성 재료들에 대한 접착 개선

Country Status (4)

Country Link
US (1) US7229911B2 (ko)
JP (2) JP4801662B2 (ko)
KR (1) KR101230326B1 (ko)
WO (1) WO2005109473A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140016203A (ko) * 2012-07-30 2014-02-07 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 무산소 함유 실리콘계 막 및 이의 형성 방법
WO2018169543A1 (en) * 2017-03-17 2018-09-20 Intel Corporation Dielectric film with pressure sensitive microcapsules of adhesion promoter

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20060281299A1 (en) * 2004-08-18 2006-12-14 Jei-Ming Chen Method of fabricating silicon carbide-capped copper damascene interconnect
US7338857B2 (en) * 2004-10-14 2008-03-04 Ovonyx, Inc. Increasing adherence of dielectrics to phase change materials
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7396759B1 (en) 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7344897B2 (en) * 2005-05-04 2008-03-18 Intel Corporation Ferroelectric polymer memory structure and method therefor
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
JP4548280B2 (ja) * 2005-08-31 2010-09-22 ソニー株式会社 半導体装置の製造方法
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
US7691736B2 (en) * 2006-02-10 2010-04-06 Infineon Technologies Ag Minimizing low-k dielectric damage during plasma processing
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070232078A1 (en) * 2006-03-31 2007-10-04 Metz Matthew V In situ processing for ultra-thin gate oxide scaling
US7375021B2 (en) * 2006-04-04 2008-05-20 International Business Machines Corporation Method and structure for eliminating aluminum terminal pad material in semiconductor devices
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7544605B2 (en) * 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007022621B4 (de) * 2007-05-15 2017-06-01 Advanced Micro Devices Inc. Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
KR100993451B1 (ko) * 2007-06-05 2010-11-09 주식회사 엘지화학 광학 이방성 화합물 및 이를 포함하는 수지 조성물
WO2009055450A1 (en) * 2007-10-25 2009-04-30 Applied Materials, Inc. Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
EP2065927B1 (en) * 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
JP5143769B2 (ja) * 2008-03-12 2013-02-13 東京エレクトロン株式会社 半導体装置およびその製造方法
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US20100087062A1 (en) * 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
JP6138439B2 (ja) * 2012-09-05 2017-05-31 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6167263B2 (ja) * 2013-03-06 2017-07-26 国立大学法人山口大学 窒素含有アモルファスシリコンカーバイドからなるn型半導体及びその製造方法
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
CN106298637B (zh) * 2015-06-01 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN113924636A (zh) * 2019-05-20 2022-01-11 朗姆研究公司 作为SiCxOy的成核层的SixNy

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4795947A (en) * 1984-11-16 1989-01-03 Deutsche Thomson-Brandt Gmbh Device for eliminating the interline flicker
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
FR2666324B1 (fr) 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
US5324360A (en) 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
WO1993023713A1 (en) 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
US5298597A (en) 1992-09-18 1994-03-29 Industrial Technology Research Institute Aqueous preparation of polyamide with catalyst mixture
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
WO1994019873A1 (en) * 1993-02-25 1994-09-01 Motorola Inc. Receiver having concealed external antenna
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5441768A (en) 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
JPH07245332A (ja) 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607773A (en) 1994-12-20 1997-03-04 Texas Instruments Incorporated Method of forming a multilevel dielectric
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5599736A (en) 1995-06-28 1997-02-04 Vanguard International Semiconductor Corporation Fabrication method for polysilicon contact plugs
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
DE19637334A1 (de) 1996-09-13 1998-03-19 Bayer Ag Stabilisierte blockierte Isocyanate
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6143640A (en) 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
KR19990030660A (ko) 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6071813A (en) 1997-10-20 2000-06-06 Advanced Micro Devices, Inc. Method and system for electrical coupling to copper interconnects
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6555476B1 (en) * 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
JP3305251B2 (ja) 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6172421B1 (en) 1998-08-11 2001-01-09 Advanced Micro Devices, Inc. Semiconductor device having an intermetallic layer on metal interconnects
US6071809A (en) 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6169039B1 (en) 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
ATE228539T1 (de) * 1998-12-22 2002-12-15 Firmenich & Cie Poröse polymethylsilsesquioxane mit adsorbierenden eigenschaften
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
SG125881A1 (en) * 1999-12-03 2006-10-30 Lytle Steven Alan Define via in dual damascene process
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
US6573196B1 (en) * 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
KR100389032B1 (ko) * 2000-11-21 2003-06-25 삼성전자주식회사 강유전체 메모리 장치 및 그의 제조 방법
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6532150B2 (en) * 2001-05-31 2003-03-11 American Megatrends, Inc. Disk drive carrier apparatus and associated method
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
KR100847926B1 (ko) * 2001-07-02 2008-07-22 다우 코닝 코포레이션 다공성 물질상의 SiC:H 침착에 의해 개선된 금속 장벽거동
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030040195A1 (en) * 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
GB2390742B (en) * 2002-04-26 2006-07-19 Agere Systems Inc Copper silicide passivation for improved reliability
JP2004079761A (ja) * 2002-08-19 2004-03-11 Nec Electronics Corp 半導体装置およびその製造方法
DE20221721U1 (de) * 2002-08-28 2007-03-29 Sabbagh, Aladin, Dr. Vorrichtung zur Behandlung des Kiefergelenks
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6818557B1 (en) * 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140016203A (ko) * 2012-07-30 2014-02-07 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 무산소 함유 실리콘계 막 및 이의 형성 방법
WO2018169543A1 (en) * 2017-03-17 2018-09-20 Intel Corporation Dielectric film with pressure sensitive microcapsules of adhesion promoter
US11571876B2 (en) 2017-03-17 2023-02-07 Intel Corporation Dielectric film with pressure sensitive microcapsules of adhesion promoter

Also Published As

Publication number Publication date
US20060046479A1 (en) 2006-03-02
JP4801662B2 (ja) 2011-10-26
WO2005109473A3 (en) 2006-01-12
KR101230326B1 (ko) 2013-02-06
JP2007533171A (ja) 2007-11-15
JP2011228717A (ja) 2011-11-10
US7229911B2 (en) 2007-06-12
WO2005109473A2 (en) 2005-11-17

Similar Documents

Publication Publication Date Title
KR101230326B1 (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials
US7030041B2 (en) Adhesion improvement for low k dielectrics
US8569166B2 (en) Methods of modifying interlayer adhesion
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
KR101122458B1 (ko) 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 8