TWI541938B - 用於互連的含金屬及矽覆蓋層 - Google Patents
用於互連的含金屬及矽覆蓋層 Download PDFInfo
- Publication number
- TWI541938B TWI541938B TW101119851A TW101119851A TWI541938B TW I541938 B TWI541938 B TW I541938B TW 101119851 A TW101119851 A TW 101119851A TW 101119851 A TW101119851 A TW 101119851A TW I541938 B TWI541938 B TW I541938B
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- containing precursor
- layer
- precursor
- copper
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 title claims description 220
- 239000002184 metal Substances 0.000 title claims description 219
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title description 2
- 229910052710 silicon Inorganic materials 0.000 title description 2
- 239000010703 silicon Substances 0.000 title description 2
- 239000002243 precursor Substances 0.000 claims description 180
- 238000000034 method Methods 0.000 claims description 106
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 92
- 239000010949 copper Substances 0.000 claims description 88
- DIOQZVSQGTUSAI-UHFFFAOYSA-N n-butylhexane Natural products CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 79
- 229910052802 copper Inorganic materials 0.000 claims description 71
- 230000004888 barrier function Effects 0.000 claims description 45
- 238000006243 chemical reaction Methods 0.000 claims description 38
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 35
- 238000000151 deposition Methods 0.000 claims description 35
- 229910052707 ruthenium Inorganic materials 0.000 claims description 35
- 238000009792 diffusion process Methods 0.000 claims description 32
- 238000012545 processing Methods 0.000 claims description 29
- 239000003989 dielectric material Substances 0.000 claims description 20
- 238000004140 cleaning Methods 0.000 claims description 19
- 150000001875 compounds Chemical class 0.000 claims description 18
- 229910052782 aluminium Inorganic materials 0.000 claims description 17
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 17
- 239000010936 titanium Substances 0.000 claims description 16
- 229920002120 photoresistant polymer Polymers 0.000 claims description 11
- 239000004065 semiconductor Substances 0.000 claims description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 10
- 150000002739 metals Chemical class 0.000 claims description 10
- 238000005229 chemical vapour deposition Methods 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 8
- 239000001301 oxygen Substances 0.000 claims description 8
- 239000011575 calcium Substances 0.000 claims description 7
- 229910052799 carbon Inorganic materials 0.000 claims description 7
- 229910052719 titanium Inorganic materials 0.000 claims description 7
- 229910052791 calcium Inorganic materials 0.000 claims description 6
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 claims description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 5
- 239000011777 magnesium Substances 0.000 claims description 5
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 claims description 4
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical group CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 claims description 4
- 229910052732 germanium Inorganic materials 0.000 claims description 4
- 229910052749 magnesium Inorganic materials 0.000 claims description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 3
- 150000002901 organomagnesium compounds Chemical class 0.000 claims description 2
- 238000000059 patterning Methods 0.000 claims description 2
- UNASZPQZIFZUSI-UHFFFAOYSA-N methylidyneniobium Chemical compound [Nb]#C UNASZPQZIFZUSI-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 134
- 239000000758 substrate Substances 0.000 description 50
- 230000008569 process Effects 0.000 description 48
- 238000011282 treatment Methods 0.000 description 36
- 230000008021 deposition Effects 0.000 description 31
- 235000012431 wafers Nutrition 0.000 description 25
- 239000000463 material Substances 0.000 description 22
- 239000000203 mixture Substances 0.000 description 22
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 20
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 20
- 239000005751 Copper oxide Substances 0.000 description 19
- 229910000431 copper oxide Inorganic materials 0.000 description 19
- 239000007789 gas Substances 0.000 description 17
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 16
- 239000012790 adhesive layer Substances 0.000 description 12
- 125000004429 atom Chemical group 0.000 description 12
- -1 nitrogen containing compound Chemical class 0.000 description 12
- 230000015572 biosynthetic process Effects 0.000 description 11
- 229910052739 hydrogen Inorganic materials 0.000 description 11
- 238000005516 engineering process Methods 0.000 description 10
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 9
- 229910003468 tantalcarbide Inorganic materials 0.000 description 9
- 229910002480 Cu-O Inorganic materials 0.000 description 8
- 229910021529 ammonia Inorganic materials 0.000 description 8
- 150000004767 nitrides Chemical class 0.000 description 8
- 239000000376 reactant Substances 0.000 description 8
- 150000002736 metal compounds Chemical class 0.000 description 7
- 230000007246 mechanism Effects 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 125000000962 organic group Chemical group 0.000 description 5
- 125000002524 organometallic group Chemical group 0.000 description 5
- 238000002161 passivation Methods 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 230000003993 interaction Effects 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 238000001465 metallisation Methods 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- 229910018516 Al—O Inorganic materials 0.000 description 3
- 125000000217 alkyl group Chemical group 0.000 description 3
- 150000001412 amines Chemical class 0.000 description 3
- 239000012298 atmosphere Substances 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 150000002902 organometallic compounds Chemical class 0.000 description 3
- 125000004430 oxygen atom Chemical group O* 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 3
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 3
- MCULRUJILOGHCJ-UHFFFAOYSA-N triisobutylaluminium Chemical compound CC(C)C[Al](CC(C)C)CC(C)C MCULRUJILOGHCJ-UHFFFAOYSA-N 0.000 description 3
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 239000000872 buffer Substances 0.000 description 2
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000032798 delamination Effects 0.000 description 2
- TUTOKIOKAWTABR-UHFFFAOYSA-N dimethylalumane Chemical compound C[AlH]C TUTOKIOKAWTABR-UHFFFAOYSA-N 0.000 description 2
- 238000011143 downstream manufacturing Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 150000004678 hydrides Chemical class 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 229910001507 metal halide Inorganic materials 0.000 description 2
- 150000005309 metal halides Chemical class 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000012805 post-processing Methods 0.000 description 2
- 230000001737 promoting effect Effects 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 230000002195 synergetic effect Effects 0.000 description 2
- YRAJNWYBUCUFBD-UHFFFAOYSA-N 2,2,6,6-tetramethylheptane-3,5-dione Chemical compound CC(C)(C)C(=O)CC(=O)C(C)(C)C YRAJNWYBUCUFBD-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- DIPXTVLCYMWSKS-UHFFFAOYSA-N C(C)N(C)[Ti].[Bi] Chemical compound C(C)N(C)[Ti].[Bi] DIPXTVLCYMWSKS-UHFFFAOYSA-N 0.000 description 1
- VXSRTODQWRNLHF-UHFFFAOYSA-N C(C)N(CC)[Ti].[Bi] Chemical compound C(C)N(CC)[Ti].[Bi] VXSRTODQWRNLHF-UHFFFAOYSA-N 0.000 description 1
- FFUGGPYYWXYCNN-UHFFFAOYSA-N CC(C)=CC(C)=C[Ti]C=C(C)C=C(C)C Chemical compound CC(C)=CC(C)=C[Ti]C=C(C)C=C(C)C FFUGGPYYWXYCNN-UHFFFAOYSA-N 0.000 description 1
- KBXCFLXEWFCLED-UHFFFAOYSA-N CC1=C(C(=C(C1(C)[Ti](C)(C)C)C)C)C Chemical compound CC1=C(C(=C(C1(C)[Ti](C)(C)C)C)C)C KBXCFLXEWFCLED-UHFFFAOYSA-N 0.000 description 1
- LIEOGMBLMOOSAY-UHFFFAOYSA-N CC1=C(C)C(C)([Mg]C2(C)C(C)=C(C)C(C)=C2C)C(C)=C1C Chemical compound CC1=C(C)C(C)([Mg]C2(C)C(C)=C(C)C(C)=C2C)C(C)=C1C LIEOGMBLMOOSAY-UHFFFAOYSA-N 0.000 description 1
- PSCNHPTTWNKCPT-UHFFFAOYSA-N CN(C)[Ti].[Bi] Chemical compound CN(C)[Ti].[Bi] PSCNHPTTWNKCPT-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 229910016344 CuSi Inorganic materials 0.000 description 1
- 229910017767 Cu—Al Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910014299 N-Si Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- ONJMCYREMREKSA-UHFFFAOYSA-N [Cu].[Ge] Chemical compound [Cu].[Ge] ONJMCYREMREKSA-UHFFFAOYSA-N 0.000 description 1
- USZGMDQWECZTIQ-UHFFFAOYSA-N [Mg](C1C=CC=C1)C1C=CC=C1 Chemical compound [Mg](C1C=CC=C1)C1C=CC=C1 USZGMDQWECZTIQ-UHFFFAOYSA-N 0.000 description 1
- 239000002318 adhesion promoter Substances 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 238000013019 agitation Methods 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 125000000304 alkynyl group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 238000005275 alloying Methods 0.000 description 1
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical group [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 1
- QTRQHYHCQPFURH-UHFFFAOYSA-N aluminum;diethylazanide Chemical compound [Al+3].CC[N-]CC.CC[N-]CC.CC[N-]CC QTRQHYHCQPFURH-UHFFFAOYSA-N 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- VPPLAOVCQCNKRQ-UHFFFAOYSA-N azane;decane Chemical compound N.CCCCCCCCCC VPPLAOVCQCNKRQ-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000007767 bonding agent Substances 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 239000013025 ceria-based material Substances 0.000 description 1
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- DXKNERXVNFFIOM-UHFFFAOYSA-N diethylazanide;di(propan-2-yl)azanide;titanium(4+) Chemical compound CCN(CC)[Ti](N(CC)CC)(N(C(C)C)C(C)C)N(C(C)C)C(C)C DXKNERXVNFFIOM-UHFFFAOYSA-N 0.000 description 1
- NQKXFODBPINZFK-UHFFFAOYSA-N dioxotantalum Chemical compound O=[Ta]=O NQKXFODBPINZFK-UHFFFAOYSA-N 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- JFDAACUVRQBXJO-UHFFFAOYSA-N ethylcyclopentane;magnesium Chemical compound [Mg].CC[C]1[CH][CH][CH][CH]1.CC[C]1[CH][CH][CH][CH]1 JFDAACUVRQBXJO-UHFFFAOYSA-N 0.000 description 1
- YYJNOYZRYGDPNH-MFKUBSTISA-N fenpyroximate Chemical compound C=1C=C(C(=O)OC(C)(C)C)C=CC=1CO/N=C/C=1C(C)=NN(C)C=1OC1=CC=CC=C1 YYJNOYZRYGDPNH-MFKUBSTISA-N 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- ZKEYULQFFYBZBG-UHFFFAOYSA-N lanthanum carbide Chemical group [La].[C-]#[C] ZKEYULQFFYBZBG-UHFFFAOYSA-N 0.000 description 1
- GTLNCANDXCIVJA-UHFFFAOYSA-N magnesium;propylcyclopentane Chemical compound [Mg].CCC[C]1[CH][CH][CH][CH]1.CCC[C]1[CH][CH][CH][CH]1 GTLNCANDXCIVJA-UHFFFAOYSA-N 0.000 description 1
- 230000007257 malfunction Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910052987 metal hydride Inorganic materials 0.000 description 1
- 150000004681 metal hydrides Chemical class 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000013001 point bending Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000035755 proliferation Effects 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000013517 stratification Methods 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000009281 ultraviolet germicidal irradiation Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
Description
本發明係關於一種形成互連之方法,特定而言,係關於一種形成用於互連之覆蓋層之方法。
本申請案主張2011年6月3日提出申請之第61/492,951號美國臨時專利申請案之權益,該臨時申請案以整體引用之方式且出於所有目的併入本文中。
鑲嵌處理係一種用於在積體電路上形成金屬線之方法。其涉及在形成於一電介質層(層間電介質或ILD)中之溝槽及通孔中形成嵌入式金屬線。鑲嵌處理尤其適合於不能藉由電漿蝕刻容易地圖案化之金屬(例如,銅)。
在一典型鑲嵌過程流程中,將銅或其他金屬沈積至一經圖案化電介質上以填充形成於電介質層中之通孔及溝槽。將一電介質擴散障壁材料(例如,碳化矽或氮化矽)之一薄層沈積於毗鄰金屬化層之間以防止金屬擴散至塊體電介質層中。在某些情況中,碳化矽或氮化矽電介質擴散障壁層在層間電介質層之圖案化期間亦充當一蝕刻停止層。
在一典型積體電路(IC)中,數個金屬化層沈積於彼此頂部上從而形成一堆疊,其中經金屬填充之通孔及溝槽充當IC導電路徑。一個金屬化層之導電路徑係藉由一系列鑲嵌互連連接至一下伏或上覆層之導電路徑。
此等互連之製作提出數個挑戰,該等挑戰隨著IC裝置特徵之尺寸不斷縮小而變得越來越顯著。強烈需要可提供具
有經改良壽命及可靠性之互連的互連製作方法。
所揭示之方法用一層組合有矽之金屬或含金屬化合物來覆蓋銅線之經曝露表面。在某些情況中,該金屬或含金屬化合物形成一原子層。在某些實施例中,該等方法涉及首先將Cu表面曝露於一含金屬前驅物以形成經吸附前驅物或金屬原子之一原子層,可視情況藉由(例如)一釘紮處理將該等經吸附前驅物或金屬原子轉化成一電介質化合物(氧化物、氮化物、碳化物或其混合物)。可在進行或不進行金屬原子轉化之情形下繼續進行後續曝露於矽烷或其他含矽前驅物。該金屬與矽組合製程改良一後續經沈積障壁層至銅之黏著。
本發明之一個態樣係關於用於在一半導體裝置之一電流攜載金屬線上形成一覆蓋層之方法。在某些實施例中,此方法之特徵在於以下操作:(a)將一含金屬前驅物遞送至固持具有一金屬線之一經曝露表面之一經部分製作半導體裝置之一反應室;(b)將一含矽前驅物遞送至該反應室;及(c)藉由允許該含矽前驅物之至少一部分與該金屬線之該經曝露表面交互作用及/或與該含金屬前驅物或第一金屬交互作用而在該金屬線上形成該覆蓋層。在某些實施方案中,操作(b)及(c)在時間上重疊。操作(a)可導致該含金屬前驅物黏著或接合至該金屬線之該經曝露表面。該含金屬前驅物含有一第一金屬。通常,雖然不係必須,但該含金屬前驅物之該第一金屬不同於該金屬線之金屬。作為實
例,該第一金屬可係鋁、鈦、鎂或鈣。
在某些實施例中,該方法亦包含在執行操作(a)之前清潔該金屬線之該經曝露表面之一操作。在某些實施例中,該方法亦包含在執行操作(a)之前自該金屬線之該經曝露表面移除氧化物之一操作。
在某些實施例中,操作(a)包括一化學汽相沈積反應。在某些情況中,操作(a)導致該第一金屬沈積在該金屬線之該經曝露表面上。在其他情況中,該含金屬前驅物或其一經改質版本黏著至該經曝露金屬表面或與其接合。
在某些實施例中,該含金屬前驅物係一有機鋁化合物、一有機鎂化合物、一有機鈦化合物或一有機鈣化合物。在某些實施例中,該含矽前驅物係一矽烷及一經取代矽烷在各種實施方案中,該含矽前驅物在其中該含金屬前驅物未黏著或接合至該金屬線之間隙區中附著至該金屬線之該經曝露表面。
在某些實施例中,該方法包含將該金屬線之該經曝露表面上之該含金屬前驅物或該第一金屬中之至少某些含金屬前驅物或金屬轉化成一介電材料之一操作。作為實例,該介電材料含有該第一金屬及氧、碳或氮。通常,雖然不係必須,但在操作(c)之前執行將該第一金屬之層中之至少某些金屬轉化成一介電材料之操作。在替代實施例中,在執行操作(c)之前不將該含金屬前驅物轉化成一介電材料。在某些實施方案中,在存在一電漿之情形下執行操作(c)。
該金屬線可係一互連線。其可係以與45奈米技術節點或
22奈米技術節點或一更進階技術節點相關聯之尺寸製作之一裝置之部分。以上方法可另外包含在覆蓋層上方形成一擴散障壁之一操作。在某些情況中,該擴散障壁係碳化矽。
本發明之另一態樣係關於用於在一半導體裝置之一電流攜載金屬線上形成一覆蓋層之設備。在某些實施例中,該設備包含以下元件:一反應室,其含有用於在處理期間固持一晶圓之一晶圓固持元件;一或多個進口,其通至該反應室用於遞送一含金屬前驅物及一含矽前驅物;及一控制器,其包括用於執行例如與本文中所揭示之方法相關聯之操作之操作之指令。在某些情況中,該控制器經設計或經組態以致使該設備執行以下操作:(i)在其中一含金屬前驅物黏著或接合至該晶圓上之該金屬線之一經曝露表面之條件下將該含金屬前驅物遞送至該反應室;(ii)將一含矽前驅物遞送至該反應室;及(iii)藉由允許該含矽前驅物之至少一部分與藉由該含金屬前驅物改質之該經曝露表面交互作用而在該金屬線上形成該覆蓋層。
在某些實施例中,該設備另外包含一電漿產生器。在某些此等實施例中,該控制器包含用於在形成該覆蓋層時在該反應室中產生一電漿之指令。在某些實施例中,該控制器包含用於在操作(i)之前預清潔該晶圓之指令。
在某些實施例中,該反應室包含多個台。在此等情況中,該控制器可包含用於在操作(i)期間將該晶圓固持在一第一台中、將該晶圓移動至一第二台及在操作(ii)期間將
該晶圓固持在該第二台中之額外指令。
在某些實施方案中,該控制器包含用於將該金屬線之該經曝露表面上之該含金屬前驅物或自其獲得之一金屬中之至少某些含金屬前驅物或金屬轉化成一介電材料之額外指令。
下文將參照相關聯圖式更詳細地闡述此等及其他特徵。
在IC製作期間遇到之一個挑戰性問題係電遷移故障。在一互連所經歷之高電流密度導致金屬原子隨電流遷移且因此導致互連內形成空洞時發生電遷移。最終,此等空洞之形成可導致裝置之故障。在IC裝置之持續小型化期間,互連尺寸減小,且互連經歷較大電流密度。因此,電遷移故障之可能性隨著小型化而增加。雖然銅比鋁具有一較大電遷移電阻,但甚至在銅互連中,電遷移故障在45 nm技術節點、22 nm技術節點及進階技術節點下變為一顯著可靠性問題。
電遷移故障歸因於以下原因。首先,銅表面在曝露於空氣或微量氧氣時容易被氧化以形成銅氧化物。遺憾地,銅氧化物具有一相對弱接合能(<200 kJ/mol)且因此當曝露於電流時容易受損。相比而言,其他氧化物(例如,SiO2、Al2O3及TiO2)具有較高接合能(>300 kJ/mol)。此外,在電介質銅擴散障壁層(例如,SiN、SiC或SiCN)與一電流攜載線中之銅之間存在拙劣界面接合能且因此存在拙劣黏合。
拙劣黏合歸因於存在表面銅氧化物及/或與銅-金屬交互作用相比電介質擴散障壁材料(例如,SiN、SiC或SiCN)與銅之間的弱凝聚強度。
本文中提供位於金屬(例如,銅)線與電介質擴散障壁(或蝕刻停止)層之間的一界面處之能夠改良互連之電遷移效能之黏合層。亦闡述用於形成此等覆蓋之方法。有利地,所闡述之黏合層可形成為位於一金屬線之上部分上該金屬線與電介質擴散障壁層之界面處之極薄層,而不顯著增加互連電阻。在某些實施例中,不發生覆蓋材料至金屬線中之實質擴散,且黏合層在該線之最頂部處(例如,在該線之頂部部分上或內)清晰地分離。
在互連表面上形成黏合層可係在銅雙鑲嵌處理之背景中且在包含單鑲嵌處理之其他處理方法中達成且可應用於除銅以外之各種互連金屬。舉例而言,此等方法可應用於含鋁、金及銀互連。
用於保護銅互連之其他可用技術包含以下各項,其中之每一者可結合本文中所揭示之黏合層實施例來使用。
由於晶格中摻雜劑與銅之交互作用,因此銅中存在合金元素(外來金屬原子)可改良EM效能。在某些實施例中,此方法係藉由使用一Cu-Al合金晶種層用於Cu電鍍來實施。在此方法中,在於電介質層中已形成通孔及溝槽之後,且在已用一部分導電擴散障壁材料(其可包含Ta、Ti、W及其氮化物)保形地襯砌該等通孔及溝槽之後,通常藉由PVD
保形地沈積含銅及鋁兩者之一晶種層材料。接著,通常藉由電鍍用銅填充該等通孔及溝槽,且通常在一熱退火之後允許鋁擴散至經銅填充之線中。在其他實施例中,在已用銅填充完銅線之後,在銅表面上(例如,藉由PVD或CVD)沈積一鋁層且進行熱退火以允許鋁擴散至銅中。
銅表面上之一覆蓋層亦可改良銅電遷移電阻。此方法在某些實施例中係使用金屬合金覆蓋沈積(例如,CoWP覆蓋沈積)來達成。在此方法中,將一CoWP層選擇性地無電極沈積於銅表面上而不沈積於毗鄰電介質上。此等覆蓋可顯著改良銅互連之電遷移效能。然而,此類金屬障壁之一潛在缺陷在於其在IMD電介質表面上之沈積選擇性通常頗差。因此,沈積於銅線之間的金屬元素可導致洩漏電流且產生不良TDDB(時間相依介電崩潰)。
在其他實施例中,使用PECVD自對準障壁,其中使用PECVD將CuSiN障壁層形成於銅線內。此方法減輕上文所提及之CoWP覆蓋之潛在不良選擇性問題。在此方法中,預清潔銅表面以移除銅氧化物,且在無電漿之情況中,使矽烷與基板接觸以在互連線之上表面處選擇性地形成矽化銅而不與電介質反應。接著,藉由施加一NH3退火/電漿以形成一CuSiN障壁來實現「氮釘紮」。換言之,該CuSiN障壁係藉由使銅互連之表面改質而非藉由一沈積技術形成。此技術在電遷移改良與導電線電阻增加之間具有一折衷。在2007年3月20日提出申請之第7,704,873號美國專利及
2010年1月15日提出申請之第12/688,154號美國專利申請案中闡述了PECVD自對準障壁之實例,每一申請案以整體引用之方式併入本文中。
所揭示方法用一層組合有矽之金屬或含金屬化合物來覆蓋銅線之經曝露表面。在某些情況中,該金屬或含金屬化合物形成一原子層。另外,矽可形成稱為CuSiHx之一材料。在某些實施例中,該等方法涉及首先將Cu表面曝露於一含金屬前驅物以形成經吸附前驅物或金屬原子之一原子層,可視情況藉由(例如)一釘紮處理將該等經吸附前驅物或金屬原子轉化成一電介質化合物(氧化物、氮化物、碳化物或其混合物)。可在進行或不進行金屬原子轉化之情形下繼續進行後續曝露於矽烷或其他含矽前驅物。與其中黏合係由僅施加一金屬化合物或矽烷之製程相比,金屬與矽組合製程可改良一後續經沈積障壁層至銅之黏合。組合覆蓋序列亦可限制銅線中之電阻偏移(例如,至小於約1%)。另外,可顯著改良對電遷移之電阻。
圖1呈現處理一經部分製作半導體裝置以改良電流攜載線之電遷移電阻的一製程流程101。在圖1之實例中,經部分製作裝置具有經曝露銅鑲嵌線。參見方塊103。
如圖1中所提及,一典型製程首先涉及預處理含經曝露銅線之一經部分製作基板以移除形成在該等經曝露線上之所有或幾乎所有銅氧化物。參見方塊105。在銅線上可存在亦在預處理步驟期間移除之其他污染物或碎屑。可採用
各種預處理技術,包含用以減少電漿之曝露及/或紫外線輻射。關於銅線之預處理及所得表面條件之額外細節,參見2010年1月15日提出申請之第12/688,154號美國專利申請案[代理人檔案號NOVLP321](該申請案以整體引用之方式併入本文中)。
注意,在某些實施例中,可期望在沈積後文中所述之含金屬及矽組份之前使少量殘餘銅氧化物存在於銅線上。在某些實施例中,不執行銅氧化物之移除。
在適當預處理銅線之後,將基板表面曝露於一含金屬前驅物。參見圖1之方塊107。此產生前驅物至銅線之經曝露表面上之化學及/或物理吸附。在一項實施方案中,將所吸附前驅物曝露於一含矽前驅物而不發生進一步化學轉化。在其他選項中,首先轉化含金屬前驅物。參見方塊109。在先前以引用方式併入之第12/688,154號美國專利申請案中闡述了各種轉化過程。此等轉化過程中之任一者皆適用於本文中所述之方法。作為一實例,可將該前驅物曝露於氨氣或其他含氮反應物以在銅線表面上形成一金屬氮化物或金屬胺。
在某些實施例中,該前驅物透過在預處理之後保留在該表面上之氧原子(或另一選擇為,在曝露於金屬前驅物之前故意引入以使銅表面改質)來附著至經曝露銅表面。在圖2中(下文所論述)繪示透過氧原子之鏈路。
在同樣以引用方式併入之第12/688,154號美國專利申請案中闡述了廣泛之適合含金屬前驅物。另外,在同樣以引
用方式併入之第12/688,154號美國專利申請案中闡述了用於將金屬前驅物吸附或以其他方式附著至經曝露銅線表面之一系列範圍。該申請案亦包含對適合沈積設備之說明,且由於其對此設備之說明而以引用方式併入本文中。
在某些實施例中,執行金屬前驅物沈積之一或多個額外循環。此等額外循環中之任何一或多個循環可與上文所述之一化學轉化步驟結合。在某些實施例中,執行金屬前驅物沈積之介於1個與約10個(或介於1個與約6個)之間的額外循環。此等循環中之任何一者、兩者或兩者以上與化學轉換結合。在某些實施例中,所有額外循環與轉化步驟結合。在圖1中藉由操作111、107及109圖解說明此等額外循環。
在金屬前驅物附著至經曝露銅表面(且視情況,轉化成氮化物或其他含金屬材料)之後,將該基板曝露於矽烷或其他含矽前驅物。參見圖1之方塊113。已發現此曝露於含矽前驅物顯著改良後續沈積之障壁或蝕刻停止層至銅線之黏合。在表1中展示繪示此情況之資料(黏合能)。
圖1中之所繪示製程以在含有含金屬及矽黏合促進層之基板表面上方形成一障壁層結束。黏合促進層與障壁層之間的所得界面抵抗分層及電遷移損壞。
在某些實施例中,期望在抑制矽擴散至銅中之條件下將矽烷或其他含矽前驅物遞送至基板,矽至銅中之擴散將對電流攜載線之電阻產生負面影響。就此而言,已發現,結合氨遞送矽烷產生矽至銅中之相對少擴展。此外,所吸附
金屬前驅物亦可與矽反應以防止矽擴散至銅中。另一方面,與氫一起遞送矽烷可不具有此有益效應。因此,在某些實施例中,在無氫(或實質上不存在氫)之情形下將含矽前驅物遞送至基板表面。在某些實施例中,與氨或其他含氮化合物一起遞送含矽前驅物。
在一項實施例中,在約400℃或更低或約300℃或更低之一基板溫度下將矽烷或其他含矽前驅物遞送至基板。在一特定實施例中,該溫度係約280℃。在又一實施例中,氨對矽烷之體積比率係約5:1至約700:1。在某些情況中,該比率可介於約12:1與約100:1之間。在某些實例中,以約120 sccm之一速率遞送矽烷,且以約7000 sccm之一速率遞送氨。
在2007年3月20日提出申請之第11/726,363號美國專利申請案(現在為2010年4月27日發佈之第7,704,873號美國專利)中呈現用於遞送矽烷或其他含矽前驅物之其他實例及適合選項,該專利以整體引用之方式併入本文中。該申請案亦包含對適合沈積設備之說明。亦參見2007年2月20日提出申請、指定Chattopadhyay等人作為發明者、標題為「Protective Self-aligned Buffer Layers for Damascene Interconnects」之第11/709,293號美國專利申請案及2004年11月3日提出申請、指定van Schravendijk等人作為發明者、標題為「Protection of Cu Damascene Interconnects by Formation of a Self-aligned Buffer Layer」之第10/980,076號美國專利申請案,所有申請案以全文引用之方式且為了
揭示與本文中所揭示之本發明共有之製程操作及子結構而併入本文中。
已發現,在某些實施例中,當處理其中含金屬前驅物尚未轉化之基板時需要比在其中含金屬前驅物已轉化之情況中多約4或5倍之矽烷。舉例而言,使用以上矽烷投用條件,矽烷氨混合物可需要曝露於具有未經轉化金屬之一基板達約14秒,而當含金屬前驅物先前已轉化時,相同混合物需要曝露於該表面僅達3秒。
據信,曝露於金屬或含金屬前驅物改良障壁層至Cu黏合。但由於化合物之空間位阻(steric hindrance),接合至Cu表面之金屬原子之濃度係有限的。換言之,並非經曝露銅表面上之各種位點皆可用於金屬或金屬化合物之附著。在間隙區域中,裸露Cu表面未藉由金屬原子「釘紮」。在採用後續SiH4曝露之所述實施例中,小SiH4分子可到達間隙區域且形成銅-矽材料(例如,CuSiHx)以提供更多表面錨定位點(且因此更佳之黏合)。另外,若所吸附金屬前驅物未首先經歷一釘紮處理,則SiH4可將金屬原子(M)轉化成金屬矽化物(在某些情況中,MSiHx)。形成含金屬化合物及CuSiHx兩者之協同效應在界面處提供高的不穩定接合密度,由此進一步改良Cu與障壁層之間的黏合強度。
所揭示覆蓋序列亦最小化Cu之電阻偏移(例如,小於約1%),此乃因金屬或一導電的含金屬化合物可透過共價鍵接合至Cu表面,此防止金屬或金屬矽化物擴散至Cu線中。金屬化合物層之存在亦限制矽接近Cu表面,由此最小
化來自CuSix之形成之有害電阻偏移。已觀察到,一逆向矽摻雜序列(其中基板首先曝露於矽烷,接著,曝露於含金屬前驅物)可致使>10%之Cu電阻偏移,此大概係由於形成金屬矽化物及其至Cu中之擴散所致。
圖2展示兩種覆蓋序列機制,一個機制將所吸附金屬前驅物轉化成電介質化合物而另一機制未進行轉化。在圖中,「AP」指代一黏著促進劑(或含金屬前驅物)。圖之上部分展示其中在曝露於矽烷之前未轉化金屬前驅物之一製程。下部分展示其中在矽烷曝露之前轉化該前驅物之一製程。在任何情況中,注意氫化矽部分佔據先前未被金屬化合物佔據之銅表面上之位點。
應理解,本文中所揭示之方法及結構並不限於圖2中所繪示之機制。舉例而言,儘管該圖展示金屬化合物經由氧原子鏈接至銅表面,但不需要係此情況。此外,儘管該圖將經轉化含金屬前驅物展示位含胺基團,但不需要係此情況。
可以PECVD工具來實行所揭示協同覆蓋。一個多台工具係特別有利的,其中至少一個台係用於投用含金屬前驅物且一不同台係用於投用矽烷或其他含矽前驅物。另一選擇為,可在一個臺上將所有此等操作與充分清洗組合以防止不同化學品之共反應。
在其中在矽烷處理之前投用金屬前驅物之各種實施例中,銅線之電阻保留為低。參見圖3。當在金屬前驅物處理之前執行矽烷處理時,未必同樣如此。重要地,圖3中
之採用首先曝露於金屬前驅物後續接著曝露於矽烷展示出比僅採用矽烷或採用首先係矽烷後續接著金屬前驅物之過程佳之效應。
表1展示各種製程之Cu-障壁界面之黏合強度。採用一個四點彎曲探針來進行量測。銅-NDC(經氮摻雜之碳化物(障壁層))界面處之分層係不期望的。NDC-膠合物界面處之分層係期望的,此乃因其指示Cu-NDC界面強烈抗分層。
應注意,AP+轉化及AP+轉化+矽烷可提供相當之結果。然而,為使非矽烷製程提供與矽烷製程之結果一樣良好之結果,轉化過程必須進行達一段顯著較長時間。所需該等持續時間可由於(例如)增加電介質之介電常數而損壞裝置。
銅導電路線可藉由多種技術嵌入溝槽及通孔中,包含PVD、電鍍、無電極沈積、CVD等。該等溝槽及通孔形成於一金屬間電介質層中,該金屬間電介質可係二氧化矽,但更一般而言,係一低k介電材料。通常,採用具有小於
約3.5(較佳地小於約3.0且通常低於約2.8)之一k值之材料作為層間電介質。此等材料包含(但不限於):經氟或碳摻雜之二氧化矽、含有機物低k材料及基於多孔經摻雜二氧化矽之材料以及熟習此項技術者習知之其他材料。舉例而言,此等材料可藉由PECVD或藉由旋塗方法沈積。
在某些實施例中,使用具有低介電常數(例如,具有小於約2.8且通常小於約2.4之k之電介質)但具有相對不良機械性質之ULK電介質以最大化裝置之電效能。當使用機械上薄弱、多孔及有機電介質時,在處理步驟期間,通常要特別小心以減少電介質損壞。在某些實施例中,在覆蓋層形成過程中可完全避免使用直接電漿以保護經曝露ULK電介質。
如所提及,當應用於用於45 nm技術節點下或22 nm技術節點下及超過此等技術節點之技術節點下之裝置之互連時,所揭示實施例係特別有用的。
通常,視情況在一操作203中預清潔基板以自其表面移除污染物。舉例而言,可藉由將基板曝露於呈一電漿形式之一還原氣體(例如,選自由呈一電漿放電形式之H2、N2、NH3及其混合物組成之群組之一氣體)來預清潔該基板以自銅表面移除某些或所有銅氧化物。在某些實施例中,藉助H2電漿之預清潔已給裝置提供了經特定改良之特性。預清潔期間之處理氣體亦可包含一攜載氣體,例如,He、Ar等。在一項實例中,在約200℃至400℃之一溫度下、約
1.5托至4托之壓力下及約4,000 sccm至10,000 sccm之一H2流動速率下,在一PECVD室中執行預清潔。點燃可含有一高頻率(HF)及一低頻率(LF)組份之電漿且使其維繫在每一個300 mm晶圓200 W至1000 W之一總功率下。在某些實施例中,較佳係在預清潔操作期間使用在0.1 W/cm2至1.5 W/cm2下之HF功率及在約0 W/cm2至0.8 W/cm2下之LF功率。在另一實例中,使用NH3替代H2作為一還原氣體,且其係在自約6,000 sccm至8,000 sccm範圍之一流動速率下流至處理室中。一N2攜載氣體係在約2,000 sccm至4,000 sccm之一流動速率下流至該室中。預清潔處理可持續數秒,例如,介於約6秒至20秒之間。
在某些實施例中,執行預清潔(例如)以不完全移除銅氧化物,而是在銅表面上留下約一Cu-O鍵單層。此少量氧可用於後續形成M2-O鍵。較佳地,在彼等實施例中,不多於10 Å之Cu-O層應保留於該表面上。該受控銅氧化物移除可藉由控制電漿條件以及預清潔之持續時間來達成。
在某些實施例中,較佳係使用比直接電漿曝露更溫和之方法執行預清潔。此等更溫和之方法在將銅線嵌入於可容易地被直接電漿曝露損壞之脆弱ULK電介質中時係尤其有利的。
在某些實施例中,藉由使用包括選自由H2、N2、NH3及其混合物構成之一群組之一氣體之一遠端電漿來執行銅氧化物之完全或部分移除。在此實施方案中,在實體上與固持晶圓基板之室分開之一室中使用此等氣體(例如,H2與
N2之一混合物或NH3與N2之一混合物)中之一或多者來形成一電漿。接著,透過一遞送管線將形成之電漿引導至一離子過濾器,此耗盡離子電漿而留下自由基。透過一進口(例如,一蓮蓬頭)將所得富含自由基之處理氣體遞送至裝納基板之室。富含自由基之處理氣體(其在某些實施例中含有較少或實質上不含離子物質)與基板表面接觸且按需要部分或完全移除銅氧化物。由於直接電漿中所含有之高能離子牽扯電介質損壞,因此使用含離子少之遠端電漿提供進行一預清潔之一溫和且有效之方式。適合實例性遠端電漿系統可在加利福尼亞州、聖何塞之Novellus Systems公司所提供之GammaTM系列產品中找到。
在其他實施例中,在存在一還原氣體(例如,選自由H2、N2、NH3及其混合物構成之一群組之一氣體)之情況中藉由使用一紫外線(UV)輻射處理來執行銅氧化物之完全或部分移除。在此實施方案中,此等氣體(例如,H2與N2之一混合物或NH3與N2之一混合物)中之一或多者接觸基板,同時用UV光輻照該基板。舉例而言,例如由B.Varadarajan等人於2009年11月12日提出申請之標題為「UV and Reducing Treatment for K Recovery and surface Clean in Semiconductor Processing」之共同擁有之臨時專利申請案第61/260,789號中所闡述之一設備及處理條件,該臨時專利申請案出於提供適於在本文中所闡述之實施例中使用的一UV處理之一設備及方法之細節之目的而以整體引用之方式併入本文中。所闡述之UV處理可用於銅氧化物之
可控制移除,其中經移除氧化物之厚度可由UV曝露之持續時間、處理氣體組合物、基板溫度及其他條件來控制。
在某些實施例中,藉由在一無電漿環境中進行熱處理完成預清潔。舉例而言,可在包括H2、N2、NH3、N2或其混合物之一氣氛中將晶圓加熱至至少約200℃之一溫度達約15秒至60秒。此熱處理可用於部分銅氧化物移除,且尤其有利於處理含脆弱ULK電介質之基板。
在某些實施例中,替代將銅氧化物可控制地移除至一所要厚度,自銅表面完全移除銅氧化物且接著在銅表面上可控制地生長一所要量之Cu-O鍵(例如,10 Å或更少)可更為有效。可在針對移除整個氧化物層調整條件之同時藉由如上文所闡述之直接電漿處理、遠端電漿處理、在一還原氣氛中之熱處理或UV處理來執行完全移除。接著,可藉由在一受控條件下(例如,溫度、壓力、時間等)將無氧之銅表面曝露於一嚴格受控劑量之一含氧氣體(例如,O2、H2O、CO2、N2O或其混合物)來執行受控量之Cu-O鍵之生長。此生長可藉助或不藉助電漿之輔助來完成。
可藉由使具有一經曝露銅表面(在某些實施例中具有受控量之Cu-O鍵)之基板與含所要金屬元素之一或多個前驅物接觸來將接合金屬原子(以經接合或未經接合形式)添加至銅互連結構上。在較佳實施例中,沈積係在一化學汽相沈積(CVD)設備中在無電漿之情況中執行。以下係可使用之某些實例性前驅物。
可藉由使基板與三烷基鋁前驅物(例如,三甲基鋁(TMA)、三乙基鋁(TEA)及三異丁基鋁(TIBA))接觸來沈積含鋁層。亦可使用含氫化物有機金屬前驅物,例如,氫化二甲基鋁。
可藉由使基板與含鈣有機金屬前驅物(例如,雙(2,2,6,6-四甲基-3,5-庚二酮酸)鈣(Ca(TMHD)2))或其他適當前驅物接觸來形成含鈣層。
可藉由使基板與含鎂有機金屬前驅物(例如,雙(環戊二烯基)鎂、雙(乙基環戊二烯基)鎂、雙(五甲基環戊二烯基)鎂、雙(正-丙基環戊二烯基)鎂)或其他適當前驅物接觸來形成含鎂層。
可藉由使基板與含鈦有機金屬前驅物,例如,雙(2,4-二甲基戊二烯基)鈦、(甲基環戊二烯基)Ti(NMe2)3、(乙基環戊二烯基)Ti(NMe2)3、(丙基環戊二烯基)Ti(NMe2)3、(甲基環戊二烯基)Ti(NEt2)3、(乙基環戊二烯基)Ti(NEt2)3、(丙基環戊二烯基)Ti(NEt2)3、(甲基環戊二烯基)Ti(NMeEt)3、(乙基環戊二烯基)Ti(NMeEt)3、(丙基環戊二烯基)Ti(NMeEt)3、(三甲基)五甲基環戊二烯基鈦或其他適當前驅物接觸來形成含鈦層。
在某些實施例中,藉由形成如藉由吸附之熱力學所規定之一單層或一飽和層來謹慎控制前驅物層之厚度。舉例而言,在某些實施例中,該前驅物層厚度不多於可由基板吸附之前驅物之厚度。因此,在某些實施例中,該過程係吸附控制的,且避免不可控制大量材料之沈積。
在某些實施例中,藉由控制銅表面上銅氧化物之厚度來達成對厚度之控制。在此情況中,黏合層之厚度將由含金屬前驅物與可用銅氧化物之間的反應限定。因此,若允許受控之少量Cu-O鍵存留於表面上,則含M2-O層之厚度可由Cu-O鍵之量限定。
在其他實施例中,可藉由控制前驅物流動速率、基板曝露時間、基板溫度或沈積過程之其他參數來控制前驅物層之厚度。
在某些實施例中,在銅金屬線及周圍IMD介電材料兩者上將金屬前驅物層(其最初可含有未經接合之金屬)完全轉化為一穩定氧化物、氮化物、胺或碳化物形式。然而,在某些實施例中,該層之某些或全部至少在銅互連結構上方處於一游離金屬(或前驅物-至少暫時地)形式。
亦可使用上文所述之控制方法之組合。
儘管可在諸多類型之設備中實踐本文中所述之方法,但在某些實施例中,電漿增強型化學汽相沈積(PECVD)設備係較佳的。在某些實施例中,PECVD設備能夠提供高頻率(HF)及低頻率(LF)電漿產生源。然而,應注意,含金屬前驅物層之沈積通常係在無電漿之情況中執行且可在任何適合CVD設備中進行。然而,在某些實施例中,某些預處理或後處理可需要使用電漿,且PECVD設備可用於執行本文中所闡述之序列之部分或整個序列。
有利地,含金屬前驅物材料不需要選擇性地沈積至金屬表面上,且可沈積至電介質表面及金屬兩者上,但在某些
實施例中,其選擇性地沈積在銅表面上。藉由在導致一含金屬前驅物層之一沈積之條件下使經部分製作裝置與一前驅物(例如,與一含金屬反應物)接觸來沈積前驅物層。
在一項實施例中,不藉助一電漿放電熱沈積該含金屬前驅物層。舉例而言,一揮發性前驅物(例如,一揮發性氫化物、鹵化物、羰基或一有機金屬化合物)可在高溫下反應(例如,分解)以在一基板表面上沈積一含金屬材料層。在某些實施例中,前驅物與銅表面及電介質兩者上之表面氧反應以形成M2-O鍵。在其他實施例中,可發生形成游離金屬及M2-O鍵之沈積兩者。如熟習此項技術者將理解,針對每一特定前驅物調諧溫度範圍、基板曝露時間及其他沈積條件以達成所要結果。舉例而言,在某些實施例中,選擇用於自TMA前驅物在含有一原子層之銅氧化物之一銅表面上沈積含Al層之溫度範圍以使得基本上不沈積游離鋁,同時易於發生在銅表面上形成Al-O鍵。此反應型態在約80℃至350℃之間的基板溫度下發生。在其他實施例中,可調整溫度型態,例如,游離鋁金屬沈積於銅表面上之溫度機制,其中銅表面較佳地係完全無氧。此反應型態可係在至少約400℃之基板溫度下實施。
在一項實例中,將一揮發性含金屬前驅物引入至室中。有機金屬化合物、金屬氫化物、金屬鹵化物及羰基金屬可充當適合前驅物。舉例而言,可使用經烷基取代之金屬衍生物及經環戊二烯基取代之金屬衍生物。該前驅物在高溫下反應以在一基板上形成一含金屬前驅物層。一般而言,
端視前驅物之性質,最佳化沈積條件從而以所要品質沈積含金屬源層。舉例而言,可最佳化溫度範圍以有利於一前驅物之一特定分解機理,且藉此按需要調諧含金屬源層之組合物。
如所提及,各種金屬可充當接合劑。舉例而言,可使用Al、Ti、Ca及/或Mg以及其組合。可使用滿足本文中所陳述準則之其他金屬,已知針對該準則之揮發性前驅物。先前曾在上文列舉了前驅物之實例。在某些實施例中,含鋁前驅物係選自由三甲基鋁、氫化二甲基鋁、三乙基鋁、三異丁基鋁及三(二乙基胺基)鋁組成之群組。在某些實施例中可用於沈積含鈦之源層之前驅物之實例包含(但不限於):肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦及雙(二乙基胺基)雙(二異丙基胺基)鈦。
如上文所提及,前驅物層未必需要含有純元素金屬,但可包含金屬與其他元素(例如,H、C、N、O等)之化合物。
如所提及,前驅物層並不需要排他地選擇性地沈積於銅線頂部上,而可沈積於電介質層頂部及銅頂部兩者上。然而,在諸多實施例中,在銅與電介質之間達成某一程度之選擇性,且可在銅線上方形成一較厚前驅物層。應理解,端視特定前驅物及沈積條件,可達成自前驅物層整個地選擇性沈積至銅線上至其中前驅物層等厚度地沈積至銅及電介質兩者上之一整個非選擇性過程之範圍的各種各樣選擇
性。通常,當使用三烷基鋁作為一前驅物時,沈積因易於在與電介質接觸時形成Al-O鍵而係非選擇性。
可允許前驅物層形成一金屬或金屬化合物層。舉例而言,該化合物可係氧化物。此可當(舉例而言)一有機金屬前驅物在基板表面上分解以形成一金屬層時或當有機金屬化合物與Cu-O鍵反應時自發發生。在某些實施例中,反應即時發生,而對於某些前驅物或反應條件,所吸附前驅物需要某一時間段來反應。
一可選用之改質或鈍化操作可用於以下目的。首先,若前驅物層中存在游離金屬,則可藉由將該金屬轉化為一固定形式(例如,轉化為含有M2-O、M2-C、M2-N鍵或其組合之一形式)來幫助控制互連電阻。較佳地,經鈍化層含有不能容易地自經鈍化材料擴散至銅線中之材料。舉例而言,可將游離鋁轉化為鋁氧化物、氮化物等。雖然游離鋁能夠擴散至銅線中,但當轉化為氮化物及氧化物時,此等材料陷獲於經鈍化層內且不能夠進入銅線並增加其電阻率。由於在此後處理步驟中使金屬或金屬氧化物層改質,因此將引入至銅線中之金屬量限定或減少為零。
後處理在其中金屬前驅物層含有沈積於銅及電介質兩者上方之導電材料之彼等實施例中亦可係有益的。在此等實施例中,鈍化作用將導電材料(例如,金屬)轉化為幾乎不具有導電性之一材料,藉此防止毗鄰銅線之間發生短路。舉例而言,可將在一電介質層上含有游離金屬M2之一前驅物層轉化為含有M2-O、M2-N、M2-C鍵或其之組合之一
非導電材料。
在某些實施例中,執行後處理以自前驅物層移除殘餘有機基團(例如,烷基基團)。舉例而言,可使用藉助H2之處理來移除有機基團且形成M2-H鍵。可使用藉助NH3、N2及其混合物之處理來形成M2-N鍵。當前驅物層幾乎不含有游離金屬而含有殘餘有機鍵時尤其需要此等處理。
一般而言,對前驅物層之各種後處理係可能的,可端視前驅物層之性質(例如,不存在或存在游離金屬、殘餘烷基基團等)、所使用金屬之性質、ILD層之化學性及電介質擴散障壁層之性質來選擇對前驅物層之後處理。
在某些實施例中,後處理涉及直接電漿處理。舉例而言,可藉助在一處理氣體(其選自由H2、N2、NH3及其混合物組成之群組)中形成之一電漿處理具有經曝露前驅物層之基板。在某些實施例中,藉助呈一電漿形式之H2處理具有一前驅物層之基板。氫電漿處理可用於自前驅物層移除殘餘有機基團,且形成末端M2-H鍵。在其他實例中,藉助呈一電漿形式之H2與N2之一混合物或藉助呈一電漿形式之NH3對基板進行後處理,此導致有機基團之移除及M2-N鍵之形成。在某些實施例中可使用其他氮化劑,例如N2H4及胺。
與預處理之情況相同,有時期望使用比直接電漿處理更溫和之處理方法。舉例而言,在某些實施例中,可使用在一氣體(其選自由H2、N2、NH3及其混合物組成之群組)中形成之遠端電漿來處理基板。如先前所闡述,遠端電漿係
在實體上與裝納基板之室分開之一室中產生,且在被遞送至基板之前耗盡離子物質,此導致電介質受損的可能性較低。此乃因遠端電漿中所含有之自由基通常比高能離子具有較小損害。可藉由遠端電漿達成M2-H及M2-N接合以及有機基團自層之移除。
此外,可藉由在選自由H2、N2、NH3及其混合物組成之群組之一處理氣體中使用先前以引用方式併入之美國臨時申請案第61/260,789號中所闡述之方法進行UV輻照來執行溫和後處理。此UV處理可用於形成M2-H及M2-N接合,以及自該前驅物層移除有機取代基。
在某些實施例中,藉由在一無電漿環境中進行熱處理完成後處理。舉例而言,可在包括H2、N2、NH3或其混合物之一氣氛中將晶圓加熱至至少約300℃至350℃之一溫度。此熱處理對於處理含有脆弱ULK電介質之基板尤其有利。
當在ILD層中使用ULK電介質(特定而言,容易被損壞之多孔及有機電介質)時,遠端電漿後處理、熱後處理及UV後處理係尤其有利。
雖然氮化後處理在諸多實施例中係較佳的,但在某些實施例中可使用其他類型之後處理。舉例而言,可藉由使具有經曝露前驅物層之基板接觸至呈一電漿形式之一含氧氣體(例如,O2、CO2、N2O等)來實施氧化後處理以形成M2-O鍵。在其他實施例中,M2-C鍵係(舉例而言)藉由藉助呈一電漿形式之一碳氫化合物處理前驅物層而在後處理步驟中形成。M2-S、M2-Se、M2-Te及M2-P可藉由藉助或不藉
助一電漿將基板分別曝露於含有一所需元素之一反應物(例如,H2S、H2Se、H2Te、PH3)而在一後處理步驟中形成。直接電漿及遠端電漿兩者可用於此等類型之後處理。
在某些實施例中,本文中之過程之金屬沈積部分提供一極薄黏合層(通常為鋁原子之1至3個較佳係約1個原子單層),其在銅線頂部處含有呈Al-O接合形式之經固定鋁。此外,在某些實施例中,該層具有與電介質擴散障壁層之強O-Al-N-Si接合。由於黏合層厚度小且由於經接合鋁之固定性,因此具有此等黏合層之互連並未展現大的電阻增加(例如,與具有大量擴散摻雜劑或厚覆蓋之互連相比)。
無論是否轉化含金屬前驅物,皆用矽烷或其他含矽前驅物處理該基板。在某些實施例中,可採用除含矽前驅物之外之反應物。在先前以引用方式併入之第11/726,363號美國專利申請案中,有時將此等化合物統稱為「PSAB形成反應物」。PSAB係保護性自對準緩衝物(或障壁)。除含矽前驅物之外,本文中可使用之前驅物包含含鍺化合物、含硼化合物及類似物。
含矽反應物之實例包含SiH4、Si2H6、Si3H8、經取代矽烷(例如,RSiH3、R2SiH2、R3SiH,其中R係烷基、烯基或炔基,其可進一步用雜原子取代)等。如上文所論述,藉由曝露於此等化合物所形成之金屬矽化物提供至擴散障壁層之極佳黏合。
通常,可在自約20℃至500℃之範圍之一基板溫度下且
在自約10 mTorr至約100 Torr之範圍之一壓力下遞送含矽反應物。在一特定實施例中,舉例而言,在約200℃至400℃之溫度範圍下遞送矽烷。該過程中反應物之流動速率可在自約0.001 sccm至約10000 sccm(每裝納四個300 mm晶圓之處理室)之範圍,且反應物接觸時間可在自約0.5秒至約50000秒之範圍,例如,自約0.5秒至約5000秒之範圍。
在某些實施例中,矽投用過程可包含一電漿處理或一電漿增強反應。因此,在某些實施例中,較佳係使用PECVD工具,例如可自(加利福尼亞州,聖何塞)Novellus Systems公司購得之SEQUELTM及VECTORTM PECVD工具。通常,該工具含有在一單個壓力受控室中之多個台。在某些實施例中,該方法係實施於具有多個經整合單晶圓室之一群集工具中,例如VECTOR ExcelTM。此外,在某些實施例中,使用具有高頻率(HF)及低頻率(LF)射頻(RF)電漿源之一雙頻率PECVD設備。低頻率RF功率係指具有介於100 kHz與2 MHz之間的一頻率之RF功率。LF電漿源之一典型頻率範圍係介於約100 kHz至500 kHz之間,例如可使用400 kHz頻率。高頻率功率係指具有大於2 MHz之一頻率之RF功率。通常,HF RF頻率位於介於約2 MHz至30 MHz之間的範圍中。一常用HF RF值包含13.56 MHz及27 MHz。在某些實施例中,可在(例如)預清潔、釘紮及H2後處理期間,在電漿輔助操作中使用在自約0 W/cm2至1.0 W/cm2之範圍之LF功率及在自0.1 W/cm2至1.5 W/cm2之範圍之HF功
率。在某些實施例中,在電漿輔助操作中使用一單一頻率過程。
在一特定實例中,黏合層之矽化物(或其他含矽材料)組份係藉由使SiH4在約100 sccm至1000 sccm之一流動速率下流至一處理室中而形成。可視情況使約4000 sccm至10000 sccm之一流動速率下至NH3或在約4000 sccm至10000 sccm之一流動速率下之H2與矽烷同時流至處理室中。SiH4處理在自約200℃至400℃之範圍之一溫度下且在自約1.5托至4托之範圍之壓力下持續達約1秒至20秒。在某些實施例中,將溫度保持在低於300℃,以限制非導電物質擴散至金屬線中。
在矽納入之後所形成之黏合層之厚度可在自約10 Å至10,000 Å之範圍。在特定實施例中,該等層具有介於約10 Å至100 Å之範圍(特定而言,介於約10 Å至60 Å之範圍)之一厚度。
在形成金屬-矽組合黏合層之後,沈積一經摻雜或未經摻雜碳化矽層。該碳化矽層充當一蝕刻停止層及/或一電介質擴散障壁層且通常沈積至約100 Å至500 Å之一厚度。該碳化矽層可藉由CVD(較佳地,藉由PECVD)來沈積,舉例而言,藉由使基板曝露於呈一電漿放電形式之含矽及含碳前驅物。舉例而言,可使用矽烷、烷基矽烷及碳氫化合物作為前驅物。當沈積經摻雜之碳化矽時,另外將含摻雜劑前驅物引入至處理室中。舉例而言,可在含氧碳化矽之
沈積期間添加CO2、O2或N2O,可添加B2H6以沈積經硼摻雜之碳化矽,可添加NH3及N2以沈積經氮摻雜之碳化矽等。在其他實施例中,經摻雜或未經摻雜之氮化矽或碳氮化矽沈積於含金屬黏合層之頂部上以充當一蝕刻停止或擴散障壁層。可使用一含矽前驅物(例如,矽烷)及一含氮前驅物(例如,氨)藉由PECVD執行氮化矽之沈積。可使用含有碳、矽及氮之一或多個前驅物(例如,使用有機矽烷與氨之一混合物)藉由PECVD沈積碳氮化矽。
在已沈積擴散障壁之後,可使用習用鑲嵌處理來形成一互連。
應注意,在某些情況中,一電介質擴散障壁或一蝕刻停止層之沈積係可選擇的,此乃因黏合層(藉助或不藉助後處理形成之黏合層)可具有適於充當一擴散障壁或一蝕刻停止之特性。舉例而言,含有某些金屬氧化物或氮化物之一黏合層可充當一擴散障壁層,從而消除對沈積一單獨碳化矽層之需要。
一般而言,可在允許引入揮發性前驅物且經組態以提供對反應條件(例如,室溫度、前驅物流動速率、曝露時間等)控制之任一類型之設備中執行黏合層之形成。在某些實施例中,預清潔、前驅物處理、覆蓋層後處理(改質)、矽烷處理及電介質擴散障壁沈積全部在不將基板曝露於周圍環境之情況中執行,以防止基板之疏忽氧化及污染。在一項實施例中,在不破壞真空之情況中在一個模組中依序
執行此等操作。在某些實施例中,在一個室內具有多個台或具有多個室之一個CVD(較佳地係PECVD)設備中執行該等操作。可自加利福尼亞州聖何塞之Novellus Systems公司購得之VECTORTM PECVD設備係一適合設備之一實例。
一實例性設備將包含一或多個室或「反應器」(有時包含多個台),其裝納一或多個晶圓且適於晶圓處理。每一室可裝納供處理之一或多個晶圓。該一或多個室維持晶圓處於一個或多個經界定位置中(在彼位置內移動或不移動,例如,旋轉、振動或其他攪動)。在一項實施例中,經歷金屬前驅物層及蝕刻停止層沈積之一晶圓在處理期間自反應器內之一個台轉移至另一台。在處理時,每一晶圓由一基座、晶圓卡盤及/或其他晶圓固持設備固持在適當位置。對於其中欲加熱晶圓之某些操作,設備可包含一加熱器,例如,一加熱板。在本發明之一較佳實施例中,可使用一PECVD系統。在更佳實施例中,PECVD系統包含一LF RF電源。
在該等實施例中之一者中,可使用一多台設備以形成一覆蓋層及一擴散障壁。該多台反應器允許在一個室環境中同時運行不同過程,藉此增加晶圓處理之效率。
在該等實施例中之一者中,各別台可在相異處理條件下操作且可實質上彼此隔離。舉例而言,一個台可在一個溫度型態下操作,而另一者可在一不同溫度型態下操作。
在一項實施例中,預清潔操作、金屬前驅物層之沈積、前驅物轉化及矽烷處理係在一個較佳溫度型態下執行且在
多台設備之一個台中實施。一電介質擴散障壁之沈積在某些實施例中可需要一不同溫度型態,且可在一個或多個不同台中實施。在某些實施例中,包含預處理、前驅物層之形成及矽烷處理之整個覆蓋過程係在一單個台設備或一多台設備之一個台中執行。在某些實施例中,一電介質擴散障壁層之沈積亦可在與覆蓋操作相同之台處執行。在其他實施例中,電介質擴散障壁可全部係在一不同台或甚至在一不同設備中沈積。
處理條件及製程流程本身可由一控制器單元控制,該控制器單元包括用於監視、維持及/或調整某一過程變量(例如,HF及LF功率、氣體流動速率及時間、溫度、壓力及類似物)之程式指令。舉例而言,規定金屬前驅物及用於前驅物層沈積及後處理之氨之流動速率之指令可包含在內。該等指令可規定用於根據上文所闡述之方法執行操作之所有參數。舉例而言,指令可包含用於預清潔、前驅物層沈積、經後處理之黏合層之形成及用於電介質擴散障壁沈積之參數。該控制器可包括用於不同設備台之不同或相同指令,因此允許該等設備台獨立地或同步地操作。
可結合(舉例而言)用於製作或製造半導體裝置、顯示器、LED、光伏打面板及類似物之微影圖案化工具或製程來使用在上文中所述之設備/製程。在一項實例中,鑲嵌溝槽及通孔係使用此等微影圖案化工具及製程來形成。通常,雖然不係必須,但在常見製作設施中將一起使用或實施此等工具/製程。微影圖案化一膜通常包括以下步驟中
之某些或所有步驟,每一步驟係藉助若干個可能工具來達成:(1)使用一旋塗或噴塗工具在一工件(亦即,基板)上施加光蝕劑;(2)使用一熱板或爐子或UV固化工具來固化光蝕劑;(3)藉助一工具(例如,一晶圓步進器)將光蝕劑曝露於可見或UV或x射線光;(4)使用一工具(例如,一清洗機台)使光蝕劑顯影以便選擇性地移除光蝕劑且藉此將其圖案化;(5)藉由使用一干式或電漿輔助之蝕刻工具將光蝕劑圖案轉印至一下伏膜或工件中;及(6)使用一工具(例如,一RF或微波電漿輔助剝離器)來移除該光蝕劑。
上文所揭示之實施例中之一或多者可提供以下改良中之一或多者。由於摻雜劑納入或至Cu塊體膜之擴展,幾乎不存在Cu電阻之增加。電介質銅障壁與Cu之間的膜黏合及凝聚強度得到顯著改良。由於膜黏合及凝聚強度增加,因此Cu電遷移(EM)電阻得到改良。所揭示實施例中之某些實施例可使用一現有PDL(脈衝式沈積層)工具或經修改之用於TMA(三甲基鋁)處理之PDL組件。
雖然為清晰起見省略了各種細節,但可實施各種設計替代方案。因此,將本發明實例視為說明性而非限定性,且本發明並不限於本文中所給出之細節。
圖1係表示本發明之某些實施例之一流程圖。
圖2展示兩個覆蓋序列機制,一個機制將所吸附金屬前驅物轉化成一電介質化合物而另一機制不進行該轉化。
圖3圖解說明尤其使用採用首先曝露於金屬前驅物後續接著曝露於矽烷之一製程、僅採用矽烷之一製程及採用首先矽烷後續接著金屬前驅物之一製程的結果。
Claims (26)
- 一種在一半導體裝置之一電流攜載金屬線上形成一覆蓋層之方法,該方法包括:(a)將一含金屬前驅物遞送至固持具有一金屬線之一經曝露表面之一經部分製作半導體裝置之一反應室,其中該含金屬前驅物黏著或接合至該金屬線之該經曝露表面,其中該含金屬前驅物包括一第一金屬;(b)將一含矽前驅物遞送至該反應室;及(c)藉由允許該含矽前驅物之至少一部分與該金屬線之該經曝露表面交互作用及/或與該含金屬前驅物或該第一金屬交互作用而在該金屬線上形成該覆蓋層,其中該含矽前驅物附著至間隙區(interstitial regions)中該金屬線之該經曝露表面,在該間隙區中該含金屬前驅物並未黏著或接合至該金屬線。
- 如請求項1之方法,其中該含金屬前驅物之該第一金屬不同於該金屬線之金屬。
- 如請求項1之方法,其中該第一金屬係選自由鋁、鈦、鎂及鈣組成之群組。
- 如請求項1之方法,其中操作(b)及(c)在時間上重疊。
- 如請求項1之方法,其進一步包括在執行操作(a)之前清潔該金屬線之該經曝露表面。
- 如請求項1之方法,其進一步包括在執行操作(a)之前自該金屬線之該經曝露表面移除氧化物。
- 如請求項1之方法,其中操作(a)包括一化學汽相沈積反 應。
- 如請求項1之方法,其中操作(a)導致該第一金屬沈積在該金屬線之該經曝露表面上。
- 如請求項1之方法,其進一步包括將該金屬線之該經曝露表面上的該含金屬前驅物或該第一金屬中之至少某些含金屬前驅物或第一金屬轉化成一介電材料。
- 如請求項9之方法,其中該介電材料包括該第一金屬及選自由氧、碳及氮組成之群組之一元素。
- 如請求項9之方法,其中在操作(c)之前執行將該第一金屬之層中之至少某些第一金屬轉化成一介電材料。
- 如請求項1之方法,其中在執行操作(c)之前不將該含金屬前驅物轉化成一介電材料。
- 如請求項1之方法,其中該金屬線包括銅。
- 如請求項1之方法,其中該金屬線包括該半導體裝置中之一互連。
- 如請求項1之方法,其中該含金屬前驅物係選自由有機鋁化合物、有機鎂化合物、有機鈦化合物及有機鈣化合物組成之群組。
- 如請求項1之方法,其中該含矽前驅物係選自由矽烷及經取代矽烷組成之群組。
- 如請求項1之方法,其中在存在一電漿之情形下執行操作(c)。
- 如請求項1之方法,其進一步包括在該覆蓋層上方形成一擴散障壁。
- 如請求項18之方法,其中該擴散障壁包括碳化矽。
- 如請求項1之方法,其進一步包括:(i)在(a)之前,將光蝕劑施加至一工件;(ii)將該光蝕劑曝露於光;(iii)圖案化該光蝕劑且將一圖案轉印至該工件,其中該圖案界定該等金屬線之位置;及(iv)自該工件選擇性地移除該光蝕劑。
- 一種用於在一半導體裝置之一電流攜載金屬線上形成一覆蓋層之設備,該設備包括:一反應室,其包括用於在處理期間固持一晶圓之一晶圓固持元件;一或多個進口,其通至該反應室用於遞送一含金屬前驅物及一含矽前驅物;及一控制器,其包括用於執行以下操作之指令:(i)在其中一含金屬前驅物黏著或接合至該晶圓上之該金屬線之一經曝露表面之條件下將該含金屬前驅物遞送至該反應室;(ii)將一含矽前驅物遞送至該反應室;及(iii)藉由允許該含矽前驅物之至少一部分與藉由該含金屬前驅物改質之該經曝露表面交互作用而在該金屬線上形成該覆蓋層,其中該含矽前驅物附著至間隙區中該金屬線之該經曝露表面,在該間隙區中該含金屬前驅物並未黏著或接合至該金屬線。
- 如請求項21之設備,其進一步包括一電漿產生器,其中該控制器進一步包括用於在形成該覆蓋層時在該反應室中產生一電漿之指令。
- 如請求項21之設備,其中該反應室包括多個台,且其中該控制器進一步包括用於在操作(i)期間將該晶圓固持在一第一台中、將該晶圓移動至一第二台及在操作(ii)期間將該晶圓固持在該第二台中之指令。
- 如請求項21之設備,其進一步包括一電漿產生器,其中該控制器進一步包括用於在操作(i)之前預清潔該晶圓之指令。
- 如請求項21之設備,其中該控制器進一步包括用於將該金屬線之該經曝露表面上的該含金屬前驅物或自其獲得之一金屬中之至少某些含金屬前驅物或自其獲得之一金屬轉化成一介電材料之指令。
- 一種用於在一半導體裝置之一電流攜載金屬線上形成一覆蓋層之系統,該系統包括:如請求項21之設備;及一步進器。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161492951P | 2011-06-03 | 2011-06-03 | |
US13/486,272 US8753978B2 (en) | 2011-06-03 | 2012-06-01 | Metal and silicon containing capping layers for interconnects |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201304062A TW201304062A (zh) | 2013-01-16 |
TWI541938B true TWI541938B (zh) | 2016-07-11 |
Family
ID=47260398
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW101119851A TWI541938B (zh) | 2011-06-03 | 2012-06-01 | 用於互連的含金屬及矽覆蓋層 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8753978B2 (zh) |
KR (2) | KR101995602B1 (zh) |
CN (1) | CN103582932B (zh) |
TW (1) | TWI541938B (zh) |
WO (1) | WO2012167141A2 (zh) |
Families Citing this family (345)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
CN103582932B (zh) | 2011-06-03 | 2017-01-18 | 诺发系统公司 | 用于互连的包含金属和硅的盖层 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9112003B2 (en) * | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
CN103900951B (zh) * | 2012-12-24 | 2016-08-31 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件中粘附力检测结构及其制备方法 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US20150206798A1 (en) * | 2014-01-17 | 2015-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure And Method of Forming |
TWI739285B (zh) | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
CN105097695B (zh) * | 2014-05-22 | 2018-08-21 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
CN105489548B (zh) * | 2014-10-13 | 2018-10-23 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制作方法 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9633896B1 (en) | 2015-10-09 | 2017-04-25 | Lam Research Corporation | Methods for formation of low-k aluminum-containing etch stop films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
JP7169072B2 (ja) | 2017-02-14 | 2022-11-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10508351B2 (en) | 2017-03-16 | 2019-12-17 | Lam Research Corporation | Layer-by-layer deposition using hydrogen |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
KR20240112368A (ko) | 2017-05-16 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 유전체 상에 옥사이드의 선택적 peald |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10643889B2 (en) | 2018-08-06 | 2020-05-05 | Lam Rasearch Corporation | Pre-treatment method to improve selectivity in a selective deposition process |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
TW202204658A (zh) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (71)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4282268A (en) | 1977-05-04 | 1981-08-04 | Rca Corporation | Method of depositing a silicon oxide dielectric layer |
JPS56157037A (en) | 1980-05-08 | 1981-12-04 | Toshiba Corp | Semiconductor device |
US5447887A (en) * | 1994-04-01 | 1995-09-05 | Motorola, Inc. | Method for capping copper in semiconductor devices |
JPH0982696A (ja) | 1995-09-18 | 1997-03-28 | Toshiba Corp | 半導体装置の製造方法および半導体製造装置 |
US5975740A (en) | 1996-05-28 | 1999-11-02 | Applied Materials, Inc. | Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme |
TW439151B (en) * | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
JP4162779B2 (ja) | 1998-11-04 | 2008-10-08 | キヤノンアネルバ株式会社 | Cvd装置およびcvd方法 |
US6153523A (en) | 1998-12-09 | 2000-11-28 | Advanced Micro Devices, Inc. | Method of forming high density capping layers for copper interconnects with improved adhesion |
JP2000252278A (ja) | 1998-12-28 | 2000-09-14 | Matsushita Electronics Industry Corp | 半導体装置およびその製造方法 |
US6143657A (en) | 1999-01-04 | 2000-11-07 | Taiwan Semiconductor Manufacturing Company | Method of increasing the stability of a copper to copper interconnection process and structure manufactured thereby |
US6271595B1 (en) | 1999-01-14 | 2001-08-07 | International Business Machines Corporation | Method for improving adhesion to copper |
US6046108A (en) | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
US7105434B2 (en) | 1999-10-02 | 2006-09-12 | Uri Cohen | Advanced seed layery for metallic interconnects |
SG125881A1 (en) | 1999-12-03 | 2006-10-30 | Lytle Steven Alan | Define via in dual damascene process |
US20020192396A1 (en) * | 2000-05-11 | 2002-12-19 | Shulin Wang | Method of titanium/titanium nitride integration |
JP2002043315A (ja) * | 2000-07-26 | 2002-02-08 | Sony Corp | 半導体装置およびその製造方法 |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
JP4535629B2 (ja) * | 2001-02-21 | 2010-09-01 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6664182B2 (en) | 2001-04-25 | 2003-12-16 | Macronix International Co. Ltd. | Method of improving the interlayer adhesion property of low-k layers in a dual damascene process |
JP4350337B2 (ja) | 2001-04-27 | 2009-10-21 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置 |
US6599827B1 (en) * | 2001-05-02 | 2003-07-29 | Advanced Micro Devices, Inc. | Methods of forming capped copper interconnects with improved electromigration resistance |
JP2003273212A (ja) | 2002-03-14 | 2003-09-26 | Fujitsu Ltd | 積層構造体およびその製造方法 |
US6518167B1 (en) | 2002-04-16 | 2003-02-11 | Advanced Micro Devices, Inc. | Method of forming a metal or metal nitride interface layer between silicon nitride and copper |
JP3657921B2 (ja) | 2002-04-26 | 2005-06-08 | 株式会社東芝 | 半導体装置とその製造方法 |
TW559999B (en) | 2002-05-08 | 2003-11-01 | Nec Corp | Semiconductor device having silicon-including metal wiring layer and its manufacturing method |
DE10224167B4 (de) * | 2002-05-31 | 2007-01-25 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement |
JP2006505127A (ja) | 2002-10-29 | 2006-02-09 | エーエスエム インターナショナル エヌ.ヴェー. | 酸素架橋構造及び方法 |
JP4647184B2 (ja) * | 2002-12-27 | 2011-03-09 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6855645B2 (en) | 2002-12-30 | 2005-02-15 | Novellus Systems, Inc. | Silicon carbide having low dielectric constant |
US6974768B1 (en) | 2003-01-15 | 2005-12-13 | Novellus Systems, Inc. | Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films |
US7060619B2 (en) | 2003-03-04 | 2006-06-13 | Infineon Technologies Ag | Reduction of the shear stress in copper via's in organic interlayer dielectric material |
US6844258B1 (en) * | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7081414B2 (en) | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
JP2004349609A (ja) | 2003-05-26 | 2004-12-09 | Renesas Technology Corp | 半導体装置およびその製造方法 |
JP2005072384A (ja) | 2003-08-26 | 2005-03-17 | Matsushita Electric Ind Co Ltd | 電子デバイスの製造方法 |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7420275B1 (en) | 2003-09-24 | 2008-09-02 | Novellus Systems, Inc. | Boron-doped SIC copper diffusion barrier films |
US7531463B2 (en) | 2003-10-20 | 2009-05-12 | Novellus Systems, Inc. | Fabrication of semiconductor interconnect structure |
JP4230334B2 (ja) | 2003-10-31 | 2009-02-25 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US7365001B2 (en) | 2003-12-16 | 2008-04-29 | International Business Machines Corporation | Interconnect structures and methods of making thereof |
JP2005187880A (ja) * | 2003-12-25 | 2005-07-14 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | 成膜装置のクリーニング方法 |
KR100564801B1 (ko) | 2003-12-30 | 2006-03-28 | 동부아남반도체 주식회사 | 반도체 제조 방법 |
US7102232B2 (en) | 2004-04-19 | 2006-09-05 | International Business Machines Corporation | Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer |
US7229911B2 (en) | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
US7211509B1 (en) | 2004-06-14 | 2007-05-01 | Novellus Systems, Inc, | Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds |
US7282438B1 (en) | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
JP2006041453A (ja) | 2004-06-22 | 2006-02-09 | Ebara Corp | 配線形成方法及び配線形成装置 |
US7202185B1 (en) | 2004-06-22 | 2007-04-10 | Novellus Systems, Inc. | Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer |
US7297608B1 (en) | 2004-06-22 | 2007-11-20 | Novellus Systems, Inc. | Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition |
US7727881B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7727880B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7396759B1 (en) | 2004-11-03 | 2008-07-08 | Novellus Systems, Inc. | Protection of Cu damascene interconnects by formation of a self-aligned buffer layer |
US7704873B1 (en) | 2004-11-03 | 2010-04-27 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7247946B2 (en) * | 2005-01-18 | 2007-07-24 | International Business Machines Corporation | On-chip Cu interconnection using 1 to 5 nm thick metal cap |
FR2891084A1 (fr) | 2005-07-07 | 2007-03-23 | St Microelectronics Sa | REALISATION D'UNE BARRIERE CuSiN AUTO ALIGNEE |
DE102005035740A1 (de) | 2005-07-29 | 2007-02-08 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht |
US7452743B2 (en) | 2005-09-01 | 2008-11-18 | Aptina Imaging Corporation | Microelectronic imaging units and methods of manufacturing microelectronic imaging units at the wafer level |
US7470612B2 (en) | 2005-09-13 | 2008-12-30 | Samsung Electronics Co, Ltd. | Method of forming metal wiring layer of semiconductor device |
DE102005057057B4 (de) * | 2005-11-30 | 2017-01-05 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion |
JP2007180408A (ja) | 2005-12-28 | 2007-07-12 | Matsushita Electric Ind Co Ltd | 半導体装置およびその製造方法 |
FR2907259A1 (fr) * | 2006-10-13 | 2008-04-18 | St Microelectronics Sa | Realisation d'une barriere metallique dans un circuit electronique integre |
US7855143B2 (en) * | 2006-12-22 | 2010-12-21 | Chartered Semiconductor Manufacturing, Ltd. | Interconnect capping layer and method of fabrication |
US7655556B2 (en) * | 2007-03-23 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structures for semiconductor devices |
US7777344B2 (en) * | 2007-04-11 | 2010-08-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transitional interface between metal and dielectric in interconnect structures |
US7648899B1 (en) | 2008-02-28 | 2010-01-19 | Novellus Systems, Inc. | Interfacial layers for electromigration resistance improvement in damascene interconnects |
US7858510B1 (en) * | 2008-02-28 | 2010-12-28 | Novellus Systems, Inc. | Interfacial layers for electromigration resistance improvement in damascene interconnects |
US7741226B2 (en) * | 2008-05-06 | 2010-06-22 | International Business Machines Corporation | Optimal tungsten through wafer via and process of fabricating same |
US8674484B2 (en) * | 2008-12-30 | 2014-03-18 | Intel Corporation | Dielectric separator layer |
US8268722B2 (en) | 2009-06-03 | 2012-09-18 | Novellus Systems, Inc. | Interfacial capping layers for interconnects |
JP5773306B2 (ja) | 2010-01-15 | 2015-09-02 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 半導体素子構造を形成する方法および装置 |
CN103582932B (zh) | 2011-06-03 | 2017-01-18 | 诺发系统公司 | 用于互连的包含金属和硅的盖层 |
-
2012
- 2012-06-01 CN CN201280027241.4A patent/CN103582932B/zh active Active
- 2012-06-01 US US13/486,272 patent/US8753978B2/en active Active
- 2012-06-01 KR KR1020147000231A patent/KR101995602B1/ko active IP Right Grant
- 2012-06-01 TW TW101119851A patent/TWI541938B/zh active
- 2012-06-01 WO PCT/US2012/040542 patent/WO2012167141A2/en active Application Filing
- 2012-06-01 KR KR1020197018443A patent/KR20190077619A/ko not_active Application Discontinuation
-
2014
- 2014-04-03 US US14/244,808 patent/US20140216336A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
KR20190077619A (ko) | 2019-07-03 |
KR20140036296A (ko) | 2014-03-25 |
WO2012167141A2 (en) | 2012-12-06 |
US20130143401A1 (en) | 2013-06-06 |
US20140216336A1 (en) | 2014-08-07 |
CN103582932A (zh) | 2014-02-12 |
TW201304062A (zh) | 2013-01-16 |
WO2012167141A3 (en) | 2013-02-28 |
KR101995602B1 (ko) | 2019-07-02 |
CN103582932B (zh) | 2017-01-18 |
US8753978B2 (en) | 2014-06-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI541938B (zh) | 用於互連的含金屬及矽覆蓋層 | |
EP2259303B1 (en) | Interfacial capping layers for interconnects | |
KR102386744B1 (ko) | 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법 | |
US7858510B1 (en) | Interfacial layers for electromigration resistance improvement in damascene interconnects | |
TWI612618B (zh) | 用於鑲嵌互連件中的電遷移電阻改進的界面層 | |
US7648899B1 (en) | Interfacial layers for electromigration resistance improvement in damascene interconnects | |
KR102542269B1 (ko) | 반도체 디바이스들의 금속 상호접속부들을 위한 유전체 배리어들의 선택적 형성 | |
TWI591761B (zh) | 在氣隙形成期間金屬內連線之選擇性加蓋 | |
KR101287271B1 (ko) | 저저항률 텅스텐/텅스텐 니트라이드 레이어의 접착 개선 방법 | |
US7262125B2 (en) | Method of forming low-resistivity tungsten interconnects | |
US20150380296A1 (en) | Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications | |
KR20160030048A (ko) | 자기정렬된 콘택트 스킴을 위한 희생적 pmd | |
KR20140099311A (ko) | 구리 배리어 용도들을 위한 도핑된 탄탈룸 질화물 |