JP2011228717A - 低k誘電体と導電材料との接着改善 - Google Patents
低k誘電体と導電材料との接着改善 Download PDFInfo
- Publication number
- JP2011228717A JP2011228717A JP2011114735A JP2011114735A JP2011228717A JP 2011228717 A JP2011228717 A JP 2011228717A JP 2011114735 A JP2011114735 A JP 2011114735A JP 2011114735 A JP2011114735 A JP 2011114735A JP 2011228717 A JP2011228717 A JP 2011228717A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- processing chamber
- silicon
- compound
- introducing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004020 conductor Substances 0.000 title claims abstract description 53
- 239000003989 dielectric material Substances 0.000 title claims description 17
- 238000000034 method Methods 0.000 claims abstract description 176
- 238000012545 processing Methods 0.000 claims abstract description 171
- 230000008569 process Effects 0.000 claims abstract description 100
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims abstract description 84
- 229910021529 ammonia Inorganic materials 0.000 claims abstract description 42
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims abstract description 31
- 239000010949 copper Substances 0.000 claims abstract description 29
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 28
- 229910052802 copper Inorganic materials 0.000 claims abstract description 28
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 111
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 107
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 107
- 239000000758 substrate Substances 0.000 claims description 100
- 238000000151 deposition Methods 0.000 claims description 85
- 150000001875 compounds Chemical class 0.000 claims description 83
- 229910052757 nitrogen Inorganic materials 0.000 claims description 52
- 150000003961 organosilicon compounds Chemical class 0.000 claims description 52
- 230000008021 deposition Effects 0.000 claims description 46
- 229910021332 silicide Inorganic materials 0.000 claims description 46
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 46
- 239000002210 silicon-based material Substances 0.000 claims description 44
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 27
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 27
- 239000002243 precursor Substances 0.000 claims description 27
- 239000011261 inert gas Substances 0.000 claims description 25
- 239000001307 helium Substances 0.000 claims description 24
- 229910052734 helium Inorganic materials 0.000 claims description 24
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 24
- 229910052710 silicon Inorganic materials 0.000 claims description 24
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 23
- 239000010703 silicon Substances 0.000 claims description 22
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 22
- 230000009977 dual effect Effects 0.000 claims description 20
- 230000000977 initiatory effect Effects 0.000 claims description 19
- 239000001257 hydrogen Substances 0.000 claims description 17
- 229910052739 hydrogen Inorganic materials 0.000 claims description 17
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 16
- 229910052799 carbon Inorganic materials 0.000 claims description 16
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 14
- OIKHZBFJHONJJB-UHFFFAOYSA-N dimethyl(phenyl)silicon Chemical compound C[Si](C)C1=CC=CC=C1 OIKHZBFJHONJJB-UHFFFAOYSA-N 0.000 claims description 12
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 10
- 239000000203 mixture Substances 0.000 claims description 10
- 229910000077 silane Inorganic materials 0.000 claims description 10
- 229910052786 argon Inorganic materials 0.000 claims description 7
- OKHRRIGNGQFVEE-UHFFFAOYSA-N methyl(diphenyl)silicon Chemical compound C=1C=CC=CC=1[Si](C)C1=CC=CC=C1 OKHRRIGNGQFVEE-UHFFFAOYSA-N 0.000 claims description 7
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 7
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 6
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 5
- 229910000881 Cu alloy Inorganic materials 0.000 claims 2
- 238000009832 plasma treatment Methods 0.000 abstract description 25
- 230000004888 barrier function Effects 0.000 abstract description 20
- 230000015572 biosynthetic process Effects 0.000 abstract description 18
- 238000011065 in-situ storage Methods 0.000 abstract description 11
- 229910052751 metal Inorganic materials 0.000 abstract description 10
- 239000002184 metal Substances 0.000 abstract description 10
- 238000004519 manufacturing process Methods 0.000 abstract description 7
- 239000004065 semiconductor Substances 0.000 abstract description 6
- 125000005375 organosiloxane group Chemical group 0.000 abstract description 4
- 150000003377 silicon compounds Chemical class 0.000 abstract description 4
- 239000010410 layer Substances 0.000 description 165
- 239000000463 material Substances 0.000 description 60
- 239000007789 gas Substances 0.000 description 50
- 238000005137 deposition process Methods 0.000 description 24
- 239000001301 oxygen Substances 0.000 description 20
- 229910052760 oxygen Inorganic materials 0.000 description 20
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 19
- 239000011229 interlayer Substances 0.000 description 18
- 230000006641 stabilisation Effects 0.000 description 17
- 238000011105 stabilization Methods 0.000 description 17
- 239000002019 doping agent Substances 0.000 description 12
- -1 oxygen ions Chemical class 0.000 description 12
- MAOGYXKNTJIJKG-UHFFFAOYSA-N 2,2,4,4-tetramethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound C[Si]1(C)C2C1[Si]2(C)C MAOGYXKNTJIJKG-UHFFFAOYSA-N 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 125000004122 cyclic group Chemical group 0.000 description 6
- 239000011521 glass Substances 0.000 description 6
- 238000006396 nitration reaction Methods 0.000 description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 5
- 238000005259 measurement Methods 0.000 description 5
- 238000012360 testing method Methods 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 4
- 230000000087 stabilizing effect Effects 0.000 description 4
- 239000004593 Epoxy Substances 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 229910052756 noble gas Inorganic materials 0.000 description 3
- 150000001282 organosilanes Chemical class 0.000 description 3
- XOAJIYVOSJHEQB-UHFFFAOYSA-N trimethyl trimethoxysilyl silicate Chemical compound CO[Si](OC)(OC)O[Si](OC)(OC)OC XOAJIYVOSJHEQB-UHFFFAOYSA-N 0.000 description 3
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 229910008045 Si-Si Inorganic materials 0.000 description 2
- 229910006411 Si—Si Inorganic materials 0.000 description 2
- 150000001338 aliphatic hydrocarbons Chemical class 0.000 description 2
- 150000001412 amines Chemical class 0.000 description 2
- QRHCILLLMDEFSD-UHFFFAOYSA-N bis(ethenyl)-dimethylsilane Chemical compound C=C[Si](C)(C)C=C QRHCILLLMDEFSD-UHFFFAOYSA-N 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 2
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 description 1
- LZFDOBOWCCWDKN-UHFFFAOYSA-N 1,2,4-trimethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound C[SiH]1C2[SiH](C)C12C LZFDOBOWCCWDKN-UHFFFAOYSA-N 0.000 description 1
- XJUUEEGXLKEEFV-UHFFFAOYSA-N 1,3-dimethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound CC12C([SiH2]1)([SiH2]2)C XJUUEEGXLKEEFV-UHFFFAOYSA-N 0.000 description 1
- QHSJGVUXUKRCJF-UHFFFAOYSA-N 2,2,3,4,4-pentamethyl-2,4-disilabicyclo[1.1.0]butane Chemical compound C[Si]1(C)C2(C)C1[Si]2(C)C QHSJGVUXUKRCJF-UHFFFAOYSA-N 0.000 description 1
- WGGNJZRNHUJNEM-UHFFFAOYSA-N 2,2,4,4,6,6-hexamethyl-1,3,5,2,4,6-triazatrisilinane Chemical compound C[Si]1(C)N[Si](C)(C)N[Si](C)(C)N1 WGGNJZRNHUJNEM-UHFFFAOYSA-N 0.000 description 1
- VFHJWQUCFQTIAR-UHFFFAOYSA-N 2-methylideneoxatrisiletane Chemical compound C=[Si]1O[SiH2][SiH2]1 VFHJWQUCFQTIAR-UHFFFAOYSA-N 0.000 description 1
- OPLQHQZLCUPOIX-UHFFFAOYSA-N 2-methylsilirane Chemical compound CC1C[SiH2]1 OPLQHQZLCUPOIX-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- 229910008072 Si-N-Si Chemical group 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 150000007824 aliphatic compounds Chemical class 0.000 description 1
- 125000001931 aliphatic group Chemical group 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- UWAXDPWQPGZNIO-UHFFFAOYSA-N benzylsilane Chemical compound [SiH3]CC1=CC=CC=C1 UWAXDPWQPGZNIO-UHFFFAOYSA-N 0.000 description 1
- JSBOVJABZFDRGV-UHFFFAOYSA-N bis(dimethylsilyl)-dimethylsilane Chemical compound C[SiH](C)[Si](C)(C)[SiH](C)C JSBOVJABZFDRGV-UHFFFAOYSA-N 0.000 description 1
- QLANAUMHLMSYDV-UHFFFAOYSA-N bis(dimethylsilyl)-methylsilane Chemical compound C[SiH](C)[SiH](C)[SiH](C)C QLANAUMHLMSYDV-UHFFFAOYSA-N 0.000 description 1
- UORVGPXVDQYIDP-BJUDXGSMSA-N borane Chemical class [10BH3] UORVGPXVDQYIDP-BJUDXGSMSA-N 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- WCCJDBZJUYKDBF-UHFFFAOYSA-N copper silicon Chemical compound [Si].[Cu] WCCJDBZJUYKDBF-UHFFFAOYSA-N 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 150000001923 cyclic compounds Chemical class 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- VDCSGNNYCFPWFK-UHFFFAOYSA-N diphenylsilane Chemical compound C=1C=CC=CC=1[SiH2]C1=CC=CC=C1 VDCSGNNYCFPWFK-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000004299 exfoliation Methods 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 125000005647 linker group Chemical group 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- FWITZJRQRZACHD-UHFFFAOYSA-N methyl-[2-[methyl(silyloxy)silyl]propan-2-yl]-silyloxysilane Chemical compound C[SiH](O[SiH3])C(C)(C)[SiH](C)O[SiH3] FWITZJRQRZACHD-UHFFFAOYSA-N 0.000 description 1
- ANKWZKDLZJQPKN-UHFFFAOYSA-N methyl-[[methyl(silyloxy)silyl]methyl]-silyloxysilane Chemical compound [SiH3]O[SiH](C)C[SiH](C)O[SiH3] ANKWZKDLZJQPKN-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- WKWOFMSUGVVZIV-UHFFFAOYSA-N n-bis(ethenyl)silyl-n-trimethylsilylmethanamine Chemical compound C[Si](C)(C)N(C)[SiH](C=C)C=C WKWOFMSUGVVZIV-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical compound [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- UIDUKLCLJMXFEO-UHFFFAOYSA-N propylsilane Chemical compound CCC[SiH3] UIDUKLCLJMXFEO-UHFFFAOYSA-N 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/36—Carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3148—Silicon Carbide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02131—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Manufacturing Of Printed Wiring (AREA)
Abstract
【解決手段】オルガノシロキサンからなる第2誘電体層118に、TaNからなる金属バリア層124とCuからなる導電性金属特徴部126を形成する。平坦化後アンモニアプラズマ処理還元により表面の酸化物を除去する。連続して真空を破壊せずに、トリメチルシランからなる有機ケイ素化合物を導入し、プラズマプロセスによりSiCN膜130を形成し、さらに連続してSiC膜132を堆積する。酸化物の除去から誘電体層の形成までインサイチュで行うことが可能である。
【選択図】図7
Description
[0001]本発明は、集積回路の製造並びに基板上に誘電体層を堆積させる方法及び誘電体層によって形成された構造に関する。
[0002]最新の半導体デバイスの製造における主要なステップの1つは、ガスの化学反応によって基板上に金属層と誘電体層を形成させることである。このような堆積プロセスは化学気相堆積又はCVDと呼ばれる。従来の熱CVDプロセスは基板表面に反応性ガスを供給し、そこで熱誘導化学反応が起こり、所望される層が生成される。
[0024]図1Aに示されるように、その中の基板表面材料105に形成された金属特徴部107を有する基板100を用いて形成されるダマシン構造は処理チャンバに供給される。第1炭化シリコンバリヤ層110は、一般的には、基板表面上に堆積され、基板と次に堆積した材料の間の層間拡散を排除する。バリヤ層の材料の誘電率は、約9まで、好ましくは約2.5〜約4未満であってもよい。炭化シリコンバリヤ層の誘電率は、約5以下、好ましくは約4未満であってもよい。
[0031]一態様においては、層間接着は、導電材料を反応する化合物に曝して、炭化シリコン層のような誘電体層を堆積させる前に、酸化物を除去するか又はシリサイド層もしくはシリサイド材料を形成することによって改善させることができる。層間接着の改善及び誘電体層の堆積は、同一の処理チャンバ又は処理システム内でインサイチュで行うことができる。
[0057]図3A-図3Cを参照すると、本明細書に記載される処理と堆積プロセスの他の実施形態においては、層間接着は、酸化された部分128をもってしまう図3Aに示されるように導電材料126を有する基板の上にシリコンベースの化合物を導入し、その後、シリコンベースの化合物と導電材料と反応させて、図3Bに示すように導電材料140の上にシリサイド142を形成することにより改善することができる。シリコン堆積142はシリサイド形成中に誘電材料上で起こることができる。有機ケイ素化合物は、その後、処理チャンバに導入され、図3Cに示されるようにシリサイド層142と基板の表面上に炭化シリコン層144を堆積させることができる。アンモニアを含む、窒素含有化合物のようなドーパントを、導電材料とともにニトロシリサイドを形成するために用いることができる。更に、シラン(SiH4)のような適切なシリコンベースの化合物も還元化合物として更に機能させ、導電材料上に形成された酸化物を除去することができる。更に、シリコンベースの化合物を導入する前に、不活性プラズマ処理を基板表面上で行うことができる。
[0070]以下の実施例は、改善された層間接着を示すために、標準的な中間層スタックに比較して本明細書に記載される接着プロセスの種々の実施形態を示すものである。カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造、販売されている、ツーピース石英プロセスキットをもつ固態のデュアル周波数RFマッチングユニットを含むProducerTM300mm処理チャンバを用いて試料を着手した。
ス、次に、基板表面上での窒素ドープされた炭化シリコンの堆積が含まれる。プロセスは
次の通り行った。
供給することによってシリサイドが形成される。
[0102]炭化シリコン層は、有機ケイ素化合物を反応させて、炭素-シリコン結合及び約4未満の誘電率をもつ誘電体層を形成することによって堆積させる。炭化シリコン層は、好ましくは、アモルファス水素化炭化シリコンである。炭化シリコン層は、不活性ガス、水素ガス、又は双方のプラズマで堆積させることができる。炭化シリコン誘電体層は、ドープされた炭化シリコン層であってもよい。炭化シリコン層は、導電材料又は誘電体層に隣接して配置されたバリヤ層として堆積されてもよく、1つ以上の誘電体層間に堆積されたエッチストップであってもよい。
有機前駆物質は、シリコン原子に結合した1つを超えるR基を有してもよく、本発明は、Si-H結合を含む又は含まない有機ケイ素前駆物質の使用を企図している。
メチルシラン CH3-SiH3
ジメチルシラン (CH3)2-SiH2
トリメチルシラン(TMS) (CH3)3-SiH
エチルシラン CH3-CH2-SiH3
ジシラノメタン SiH3-CH2-SiH3
ビス(メチルシラノ)メタン CH3-SiH2-CH2-SiH2-CH3
1,2-ジシラノエタン SiH3-CH2-CH2-SiH3
1,2-ビス(メチルシラノ)エタン CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-ジシラノプロパン SiH3-C(CH3)2-SiH3
1,3,5-トリシラノ-2,4,6-トリメチレン -(-SiH2-CH2-)3-(環状)
ジエチルシラン (C2H5)2SiH2
プロピルシラン C3H7SiH3
ビニルメチルシラン (CH2=CH)(CH3)SiH2
ジビニルジメチルシラン(DVDMS) (CH2=CH)2(CH3)2Si
1,1,2,2-テトラメチルジシラン HSi(CH3)2-Si(CH3)2H
ヘキサメチルジシラン (CH3)3Si-Si(CH3)3
1,1,2,2,3,3-ヘキサメチルトリシラン H(CH3)2Si-Si(CH3)2-SiH(CH3)2
1,1,2,3,3-ペンタメチルトリシラン H(CH3)2Si-SiH(CH3)-SiH(CH3)2
ジメチルジシラノエタン CH3-SiH2-(CH2)2-SiH2-CH3
ジメチルジシラノプロパン CH3-SiH2-(CH2)3-SiH2-CH3
テトラメチルジシラノエタン (CH)2-SiH-(CH2)2-SiH-(CH)2
テトラメチルジシラノプロパン (CH3)2-SiH-(CH2)3-SiH-(CH3)2
上記のリストは、説明的であり、本発明の範囲を限定するものとして解釈又は説明されるべきではない。
例えば、適切なフェニル含有有機ケイ素化合物は、一般的には、式SiHa(CH3)b(C5H5)c(ここで、aは0〜3であり、bは0〜3であり、cは1〜4であり、a+b+cは4である。)を含む。この式から導かれる適切な前駆物質の例としては、ジフェニルシラン、ジメチルフェニルシラン、ジフェニルメチルシラン、フェニルメチルシラン、及びそれらの組合せが挙げられる。bが1〜3、cが1〜3であるフェニル含有有機ケイ素化合物が好ましく用いられる。バリヤ層材料として堆積に最も好ましい有機ケイ素化合物は、式SiHa(CH3)b(C6H5)c(ここで、aは1又は2であり、bは1又は2であり、cは1又は2である。)を有する有機ケイ素化合物を含む。好ましい前駆物質の例としては、ジメチルフェニルシラン及びジフェニルメチルシランが挙げられる。
ジメチルジメトキシシラン(DMDMOS) (CH3)2-Si-(OCH3)2
ジエトキシメチルシラン(DEMS) (CH3)-SiH-(OCH3)2
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
1,1,3,3-テトラメチルジシロキサン(TMDSO) (CH3)2-SiH-O-SiH-(CH3)2
ヘキサメチルジシロキサン(HMDS) (CH3)3-Si-O-Si-(CH3)3
ヘキサメトキシジシロキサン(HMDSO) (CH3O)3-Si-O-Si-(OCH3)3
1,3-ビス(シラノメチレン)ジシロキサン (SiH3-CH2-SiH2-)2-O
ビス(1-メチルジシロキサニル)メタン (CH3-SiH2-O-SiH2-)2-CH2
2,2-ビス(1-メチルジシロキサニル)プロパン (CH3-SiH2-O-SiH2-)2-C(CH3)2
1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS) -(-SiHCH3-O-)4-(環状)
オクタメチルシクロテトラシロキサン(OMCTS) -(-Si(CH3)2-O-)4-(環状)
2,4,6,8,10-ペンタメチルシクロペンタシロキサン -(-SiHCH3-O-)5-(環状)
1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン -(-SiH2-CH2-SiH2-O-)2-(環状)
ヘキサメチルシクロトリシロキサン -(-Si(CH3)2-O-)3-(環状)
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
ヘキサメトキシジシロキサン(HMDOS) (CH3O)3-Si-O-Si-(OCH3)3
及びそれらのフッ素化誘導体を含む。
Claims (47)
- 基板を処理する方法であって、
処理チャンバ内に基板を配置するステップであって、該基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
シリコンベースの化合物を該処理チャンバに導入するステップと、
該導電材料のシリサイド層を形成するステップと、
該シリサイド層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、を含む、前記方法。 - 該シリサイドが、該シリコンベースの化合物と該導電材料を熱増強プロセス、プラズマ増強プロセス、又はその双方によって反応させることにより形成される、請求項1記載の方法。
- 該炭化シリコン層の堆積前に、該シリサイド層を窒素と水素を含む還元化合物のプラズマに曝すステップを更に含む、請求項1記載の方法。
- 該シリコンベースの化合物が炭素を含まないシリコンベースの化合物を含む、請求項1記載の方法。
- 該炭素を含まないシリコンベースの化合物がシランを含む、請求項4記載の方法。
- 該炭化シリコン層が、
トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる有機ケイ素化合物を導入するステップと、
該有機ケイ素化合物のプラズマを生成させるステップと、
によって堆積される、請求項1記載の方法。 - 該炭化シリコン層の堆積中、不活性ガス、還元化合物、シリコンベースの化合物、又はそれらの組合せを導入するステップを更に含む、請求項6記載の方法。
- 該シリコンベースの化合物が、炭素含有シリコンベースの化合物を含む、請求項1記載の方法。
- 該炭素含有シリコンベースの化合物が、トリメチルシラン、ジメチルフェニルシラン、ジメチルメチルシラン、及びそれらの組合せを含む、請求項8記載の方法。
- 該炭素含有シリコンベースの化合物とともに不活性ガスを導入するステップを更に含む、請求項9記載の方法。
- 該不活性ガスがヘリウム、アルゴン、又はそれらの組合せを含む、請求項10記載の方法。
- 該シリサイドが、該炭素含有シリコンベースの化合物と該導電材料を不活性ガスの存在下にプラズマ増強プロセスによって反応させることにより形成される、請求項10記載の方法。
- 窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
該還元化合物のプラズマを該処理チャンバ内で開始させるステップと、
該シリコンベースの化合物を該処理チャンバに導入する前に、該導電材料を該還元化合物の該プラズマに曝すステップと、
を更に含む、請求項1記載の方法。 - 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項13記載の方法。
- 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項13記載の方法。
- 該シリコンベースの化合物とともに窒素と水素を含む還元化合物を導入するステップを更に含む、請求項1記載の方法。
- 基板を処理する方法であって、
該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形
成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
シリコンベースの化合物と還元化合物を該処理チャンバに導入するステップと、
該導電材料のシリサイド層を形成するステップと、
該シリコンベースの化合物と還元化合物のプラズマを開始させるステップと、
窒化シリコン層を堆積させるステップと、
該窒化シリコン層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、
を含む、前記方法。 - 該シリサイドが、該シリコンベースの化合物と該導電材料を熱増強プロセス、プラズマ増強プロセス、又はその双方によって反応させることにより形成される、請求項17記載の方法。
- 該シリコンベースの化合物が、炭素を含まないシリコンベースの化合物を含む、請求項17記載の方法。
- 該炭素を含まないシリコンベースの化合物がシランを含む、請求項19記載の方法。
- 該炭化シリコン層が、
トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる有機ケイ素化合物を導入するステップと、
該有機ケイ素化合物のプラズマを生成させるステップと、
によって堆積される、請求項17記載の方法。 - 該炭化シリコン層の堆積中、不活性ガス、還元化合物、シリコンベースの化合物、又はそれらの組合せを導入するステップを更に含む、請求項21記載の方法。
- 該シリコンベースの化合物が、炭素含有シリコンベースの化合物を含む、請求項17記載の方法。
- 該炭素含有シリコンベースの化合物が、トリメチルシラン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せを含む、請求項17記載の方法。
- 該炭素含有シリコンベースの化合物とともに不活性ガスを導入するステップであって、該不活性ガスがヘリウム、アルゴン、又はそれらの組合せを含む、前記ステップを更に含む、請求項24記載の方法。
- 該窒化シリコン層の堆積前に、該シリサイド層を窒素と水素を含む還元化合物のプラズマに曝すステップを更に含む、請求項17記載の方法。
- 該シリサイドが、該炭素含有シリコンベースの化合物と該導電材料を不活性ガスの存在下にプラズマ増強プロセスによって反応させることにより形成される、請求項25記載の方法。
- 窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
該還元化合物のプラズマを該処理チャンバ内で開始させるステップと、
該シリコンベースの化合物を該処理チャンバに導入する前に、該導電材料を該還元化合
物の該プラズマに曝すステップと、
を更に含む、請求項17記載の方法。 - 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項28記載の方法。
- 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項28記載の方法。
- 基板を処理する方法であって、
該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形
成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
該還元化合物のプラズマを該処理チャンバ内で開始させるステップと、
該導電材料を該還元化合物の該プラズマに曝すステップと、
有機ケイ素前駆物質を該処理チャンバに導入するステップと、
該有機ケイ素前駆物質と該還元化合物とを反応させるステップと、
窒素ドープされた炭化シリコン誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に堆積させるステップと、
炭化シリコン層を該ドープされた炭化シリコン層上に真空を破壊せずに堆積させるステップと、
を含む前記方法。 - 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項31記載の方法。
- 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項31記載の方法。
- 該有機ケイ素化合物が、トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる、請求項31記載の方法。
- 該有機ケイ素化合物とともに不活性ガス、水素ガス、該還元化合物、又はそれらの組合せを導入するステップを更に含む、請求項31記載の方法。
- プラズマを開始させる該ステップが、単一周波数RF電源又はデュアル周波数RF電源によってプラズマを生成させる工程を含む、請求項31記載の方法。
- 該導電材料が、銅、ドープされた銅、又は銅合金を含む、請求項31記載の方法。
- 該1つ以上のパターン形成低k誘電体層が、炭化シリコン、ドープされた炭化シリコン、シリコンオキシカーバイド、又はそれらの組合せを含む、請求項31記載の方法。
- 基板を処理する方法であって、
該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
該還元化合物の第1プラズマを該処理チャンバ内で開始させるステップと、
該導電材料を該還元化合物の該プラズマに曝すステップと、
該第1プラズマと還元化合物を停止させるステップと、
有機ケイ素前駆物質を該処理チャンバ内に導入するステップと、
該有機ケイ素前駆物質の第2プラズマを該処理チャンバ内で開始させるステップと、
該有機ケイ素化合物とともに該還元化合物を導入するステップと、
窒素ドープされた炭化シリコン誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に真空を破壊せずに堆積させるステップと、
を含む前記方法。 - 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項39記載の方法。
- 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項39記載の方法。
- 該有機ケイ素化合物が、トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる、請求項39記載の方法。
- 該有機ケイ素化合物とともに、不活性ガス、水素ガス、該還元化合物、又はそれらの組合せを導入するステップを更に含む、請求項39記載の方法。
- プラズマを開始させる該ステップが、単一周波数RF電源又はデュアル周波数RF電源によってプラズマを生成させる工程を含む、請求項39記載の方法。
- 該導電材料が、銅、ドープされた銅、又は銅合金を含む、請求項39記載の方法。
- 該1つ以上のパターン形成低k誘電体層が、炭化シリコン、ドープされた炭化シリコン、シリコンオキシカーバイド、又はそれらの組合せを含む、請求項39記載の方法。
- 基板を処理する方法であって、
該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含む前記ステップと、
窒素と水素を含む還元化合物を第1流量で該処理チャンバに導入するステップと、
該還元化合物の第1プラズマを該処理チャンバ内で開始させるステップと、
該導電材料を該還元化合物の該プラズマに曝すステップと、
該第1プラズマを停止させるステップと、
有機ケイ素前駆物質を該処理チャンバに導入するステップと、
該還元化合物を該第1流量を超える第2流量で導入するステップと、
該有機ケイ素前駆物質と該還元化合物の第2プラズマを該処理チャンバ内で開始させるステップと、
炭素ドープされた窒化シリコン誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に堆積させるステップと、
該第2プラズマを停止させるステップと、
該有機ケイ素前駆物質を該処理チャンバに導入するステップと、
該還元化合物を該第2流量未満の第3流量で導入するステップと、
該有機ケイ素前駆物質と該還元化合物の第3プラズマを該処理チャンバ内で開始させるステップと、
窒素ドープされた炭化ケイ素誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に真空を破壊せずに堆積させるステップと、
を含む、前記方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/828,023 US20050233555A1 (en) | 2004-04-19 | 2004-04-19 | Adhesion improvement for low k dielectrics to conductive materials |
US10/828,023 | 2004-04-19 | ||
US10/929,884 | 2004-08-30 | ||
US10/929,884 US7229911B2 (en) | 2004-04-19 | 2004-08-30 | Adhesion improvement for low k dielectrics to conductive materials |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007509570A Division JP4801662B2 (ja) | 2004-04-19 | 2005-04-19 | 低k誘電体と導電材料との接着改善 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2011228717A true JP2011228717A (ja) | 2011-11-10 |
Family
ID=35045398
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007509570A Expired - Fee Related JP4801662B2 (ja) | 2004-04-19 | 2005-04-19 | 低k誘電体と導電材料との接着改善 |
JP2011114735A Pending JP2011228717A (ja) | 2004-04-19 | 2011-05-23 | 低k誘電体と導電材料との接着改善 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007509570A Expired - Fee Related JP4801662B2 (ja) | 2004-04-19 | 2005-04-19 | 低k誘電体と導電材料との接着改善 |
Country Status (4)
Country | Link |
---|---|
US (1) | US7229911B2 (ja) |
JP (2) | JP4801662B2 (ja) |
KR (1) | KR101230326B1 (ja) |
WO (1) | WO2005109473A2 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2014027285A (ja) * | 2012-07-30 | 2014-02-06 | Air Products And Chemicals Inc | 酸素不含ケイ素系膜及びその形成方法 |
JP2014053341A (ja) * | 2012-09-05 | 2014-03-20 | Renesas Electronics Corp | 半導体装置およびその製造方法 |
KR20170129475A (ko) * | 2016-05-17 | 2017-11-27 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
Families Citing this family (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US20060281299A1 (en) * | 2004-08-18 | 2006-12-14 | Jei-Ming Chen | Method of fabricating silicon carbide-capped copper damascene interconnect |
US7338857B2 (en) * | 2004-10-14 | 2008-03-04 | Ovonyx, Inc. | Increasing adherence of dielectrics to phase change materials |
US20060081965A1 (en) * | 2004-10-15 | 2006-04-20 | Ju-Ai Ruan | Plasma treatment of an etch stop layer |
US7704873B1 (en) | 2004-11-03 | 2010-04-27 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7396759B1 (en) | 2004-11-03 | 2008-07-08 | Novellus Systems, Inc. | Protection of Cu damascene interconnects by formation of a self-aligned buffer layer |
US7727880B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7727881B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7344897B2 (en) * | 2005-05-04 | 2008-03-18 | Intel Corporation | Ferroelectric polymer memory structure and method therefor |
KR100703973B1 (ko) * | 2005-07-20 | 2007-04-06 | 삼성전자주식회사 | 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법 |
JP4548280B2 (ja) * | 2005-08-31 | 2010-09-22 | ソニー株式会社 | 半導体装置の製造方法 |
JP2007109736A (ja) * | 2005-10-11 | 2007-04-26 | Nec Electronics Corp | 半導体装置およびその製造方法 |
US7405153B2 (en) * | 2006-01-17 | 2008-07-29 | International Business Machines Corporation | Method for direct electroplating of copper onto a non-copper plateable layer |
US7691736B2 (en) * | 2006-02-10 | 2010-04-06 | Infineon Technologies Ag | Minimizing low-k dielectric damage during plasma processing |
US7601651B2 (en) * | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US20070232078A1 (en) * | 2006-03-31 | 2007-10-04 | Metz Matthew V | In situ processing for ultra-thin gate oxide scaling |
US7375021B2 (en) * | 2006-04-04 | 2008-05-20 | International Business Machines Corporation | Method and structure for eliminating aluminum terminal pad material in semiconductor devices |
US20080050932A1 (en) * | 2006-08-23 | 2008-02-28 | Applied Materials, Inc. | Overall defect reduction for PECVD films |
US7544605B2 (en) * | 2006-11-21 | 2009-06-09 | Freescale Semiconductor, Inc. | Method of making a contact on a backside of a die |
US20080145536A1 (en) * | 2006-12-13 | 2008-06-19 | Applied Materials, Inc. | METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
DE102007022621B4 (de) * | 2007-05-15 | 2017-06-01 | Advanced Micro Devices Inc. | Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis |
KR100993451B1 (ko) * | 2007-06-05 | 2010-11-09 | 주식회사 엘지화학 | 광학 이방성 화합물 및 이를 포함하는 수지 조성물 |
WO2009055450A1 (en) * | 2007-10-25 | 2009-04-30 | Applied Materials, Inc. | Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer |
EP2065927B1 (en) * | 2007-11-27 | 2013-10-02 | Imec | Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer |
US7732324B2 (en) * | 2007-12-20 | 2010-06-08 | Texas Instruments Incorporated | Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer |
US7858510B1 (en) | 2008-02-28 | 2010-12-28 | Novellus Systems, Inc. | Interfacial layers for electromigration resistance improvement in damascene interconnects |
US7648899B1 (en) | 2008-02-28 | 2010-01-19 | Novellus Systems, Inc. | Interfacial layers for electromigration resistance improvement in damascene interconnects |
US8278205B2 (en) * | 2008-03-12 | 2012-10-02 | Tokyo Electron Limited | Semiconductor device and method for manufacturing the same |
US8043976B2 (en) * | 2008-03-24 | 2011-10-25 | Air Products And Chemicals, Inc. | Adhesion to copper and copper electromigration resistance |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
US20100087062A1 (en) * | 2008-10-06 | 2010-04-08 | Applied Materials, Inc. | High temperature bd development for memory applications |
US8252653B2 (en) * | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
US8198671B2 (en) * | 2009-04-22 | 2012-06-12 | Applied Materials, Inc. | Modification of charge trap silicon nitride with oxygen plasma |
US8268722B2 (en) * | 2009-06-03 | 2012-09-18 | Novellus Systems, Inc. | Interfacial capping layers for interconnects |
JP5507909B2 (ja) * | 2009-07-14 | 2014-05-28 | 東京エレクトロン株式会社 | 成膜方法 |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8461683B2 (en) * | 2011-04-01 | 2013-06-11 | Intel Corporation | Self-forming, self-aligned barriers for back-end interconnects and methods of making same |
TWI541938B (zh) | 2011-06-03 | 2016-07-11 | 諾菲勒斯系統公司 | 用於互連的含金屬及矽覆蓋層 |
JP6167263B2 (ja) * | 2013-03-06 | 2017-07-26 | 国立大学法人山口大学 | 窒素含有アモルファスシリコンカーバイドからなるn型半導体及びその製造方法 |
US9633861B2 (en) * | 2013-03-12 | 2017-04-25 | Applied Materials, Inc. | Cu/barrier interface enhancement |
CN106298637B (zh) * | 2015-06-01 | 2019-05-28 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9633896B1 (en) | 2015-10-09 | 2017-04-25 | Lam Research Corporation | Methods for formation of low-k aluminum-containing etch stop films |
US11571876B2 (en) | 2017-03-17 | 2023-02-07 | Intel Corporation | Dielectric film with pressure sensitive microcapsules of adhesion promoter |
EP3973566A4 (en) * | 2019-05-20 | 2023-05-24 | Lam Research Corporation | SIXNY USED AS A NUCLEATION LAYER FOR SICXOY |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020119250A1 (en) * | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
JP2002246391A (ja) * | 2001-02-21 | 2002-08-30 | Nec Corp | 半導体装置の製造方法 |
JP2003133412A (ja) * | 2001-08-01 | 2003-05-09 | Texas Instruments Inc | 銅に対する誘電体層の接着改良方法 |
JP2003188254A (ja) * | 2001-12-18 | 2003-07-04 | Hitachi Ltd | 半導体装置の製造方法および半導体装置 |
JP2004079761A (ja) * | 2002-08-19 | 2004-03-11 | Nec Electronics Corp | 半導体装置およびその製造方法 |
JP2004096052A (ja) * | 2002-03-13 | 2004-03-25 | Nec Electronics Corp | 半導体装置およびその製造方法ならびに金属配線 |
Family Cites Families (133)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1147014A (en) | 1967-01-27 | 1969-04-02 | Westinghouse Electric Corp | Improvements in diffusion masking |
JPS55158275A (en) | 1979-05-28 | 1980-12-09 | Hitachi Ltd | Corrosion preventing method for al and al alloy |
US4262631A (en) | 1979-10-01 | 1981-04-21 | Kubacki Ronald M | Thin film deposition apparatus using an RF glow discharge |
JPS5821324A (ja) | 1981-07-30 | 1983-02-08 | Agency Of Ind Science & Technol | 水素添加した半導体薄膜成長用金属表面基板の前処理方法 |
JPS59128281A (ja) | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
US4420386A (en) | 1983-04-22 | 1983-12-13 | White Engineering Corporation | Method for pure ion plating using magnetic fields |
JPH07111957B2 (ja) | 1984-03-28 | 1995-11-29 | 圭弘 浜川 | 半導体の製法 |
US4759947A (en) | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
US4795947A (en) * | 1984-11-16 | 1989-01-03 | Deutsche Thomson-Brandt Gmbh | Device for eliminating the interline flicker |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US4895734A (en) | 1987-03-31 | 1990-01-23 | Hitachi Chemical Company, Ltd. | Process for forming insulating film used in thin film electroluminescent device |
US5121706A (en) | 1987-10-16 | 1992-06-16 | The Curators Of The University Of Missouri | Apparatus for applying a composite insulative coating to a substrate |
US4994410A (en) | 1988-04-04 | 1991-02-19 | Motorola, Inc. | Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US5003178A (en) | 1988-11-14 | 1991-03-26 | Electron Vision Corporation | Large-area uniform electron source |
US5011706A (en) | 1989-04-12 | 1991-04-30 | Dow Corning Corporation | Method of forming coatings containing amorphous silicon carbide |
US5232872A (en) | 1989-05-09 | 1993-08-03 | Fujitsu Limited | Method for manufacturing semiconductor device |
JPH03105974A (ja) | 1989-09-19 | 1991-05-02 | Kobe Steel Ltd | 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法 |
US4980196A (en) | 1990-02-14 | 1990-12-25 | E. I. Du Pont De Nemours And Company | Method of coating steel substrate using low temperature plasma processes and priming |
EP0449117A3 (en) | 1990-03-23 | 1992-05-06 | Matsushita Electric Industrial Co., Ltd. | Organic polymer and preparation and use thereof |
FR2666324B1 (fr) | 1990-09-03 | 1993-04-09 | Saint Gobain Vitrage Int | Couches minces de nitrure de silicium a proprietes ameliorees. |
US5273609A (en) * | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
DE4034842A1 (de) | 1990-11-02 | 1992-05-07 | Thyssen Edelstahlwerke Ag | Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung |
US5232871A (en) | 1990-12-27 | 1993-08-03 | Intel Corporation | Method for forming a titanium nitride barrier layer |
US5324360A (en) | 1991-05-21 | 1994-06-28 | Canon Kabushiki Kaisha | Method for producing non-monocrystalline semiconductor device and apparatus therefor |
JPH05308107A (ja) | 1991-07-01 | 1993-11-19 | Sumitomo Electric Ind Ltd | 半導体装置及びその製作方法 |
US5242530A (en) | 1991-08-05 | 1993-09-07 | International Business Machines Corporation | Pulsed gas plasma-enhanced chemical vapor deposition of silicon |
US5238866A (en) | 1991-09-11 | 1993-08-24 | GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate | Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating |
US5224441A (en) | 1991-09-27 | 1993-07-06 | The Boc Group, Inc. | Apparatus for rapid plasma treatments and method |
US5472829A (en) | 1991-12-30 | 1995-12-05 | Sony Corporation | Method of forming a resist pattern by using an anti-reflective layer |
US5472827A (en) | 1991-12-30 | 1995-12-05 | Sony Corporation | Method of forming a resist pattern using an anti-reflective layer |
US5480300A (en) | 1992-05-15 | 1996-01-02 | Shin-Etsu Quartz Products Co. Ltd. | Vertical heat-treating apparatus and heat insulator |
US5298597A (en) | 1992-09-18 | 1994-03-29 | Industrial Technology Research Institute | Aqueous preparation of polyamide with catalyst mixture |
US5409543A (en) | 1992-12-22 | 1995-04-25 | Sandia Corporation | Dry soldering with hot filament produced atomic hydrogen |
JPH0763105B2 (ja) | 1993-02-12 | 1995-07-05 | 日本電気株式会社 | 印刷配線板の製造方法 |
SG64869A1 (en) * | 1993-02-25 | 1999-05-25 | Motorola Inc | Receiver having concealed external antenna |
US5360491A (en) | 1993-04-07 | 1994-11-01 | The United States Of America As Represented By The United States Department Of Energy | β-silicon carbide protective coating and method for fabricating same |
US5465680A (en) | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
US5468978A (en) | 1993-07-07 | 1995-11-21 | Dowben; Peter A. | Forming B1-x Cx semiconductor devices by chemical vapor deposition |
US5433786A (en) | 1993-08-27 | 1995-07-18 | The Dow Chemical Company | Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein |
JP2899600B2 (ja) | 1994-01-25 | 1999-06-02 | キヤノン販売 株式会社 | 成膜方法 |
US5451263A (en) | 1994-02-03 | 1995-09-19 | Harris Corporation | Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts |
US5441768A (en) | 1994-02-08 | 1995-08-15 | Applied Materials, Inc. | Multi-step chemical vapor deposition method for thin film transistors |
JPH07245332A (ja) | 1994-03-04 | 1995-09-19 | Hitachi Ltd | 半導体製造装置および半導体装置の製造方法ならびに半導体装置 |
US5447887A (en) | 1994-04-01 | 1995-09-05 | Motorola, Inc. | Method for capping copper in semiconductor devices |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5975912A (en) | 1994-06-03 | 1999-11-02 | Materials Research Corporation | Low temperature plasma-enhanced formation of integrated circuits |
JP3326974B2 (ja) | 1994-07-28 | 2002-09-24 | ソニー株式会社 | 多層配線の形成方法および半導体装置の製造方法 |
US5736002A (en) | 1994-08-22 | 1998-04-07 | Sharp Microelectronics Technology, Inc. | Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same |
DE69531980T2 (de) | 1994-08-23 | 2004-07-29 | At & T Corp. | Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht |
JP2809113B2 (ja) | 1994-09-29 | 1998-10-08 | 日本電気株式会社 | 半導体装置の製造方法 |
US5565084A (en) | 1994-10-11 | 1996-10-15 | Qnix Computer Co., Ltd. | Electropolishing methods for etching substrate in self alignment |
US5607773A (en) | 1994-12-20 | 1997-03-04 | Texas Instruments Incorporated | Method of forming a multilevel dielectric |
JPH08186085A (ja) | 1994-12-28 | 1996-07-16 | Nec Corp | 半導体装置の製造方法 |
US5818071A (en) | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
US5710067A (en) | 1995-06-07 | 1998-01-20 | Advanced Micro Devices, Inc. | Silicon oxime film |
US5599736A (en) | 1995-06-28 | 1997-02-04 | Vanguard International Semiconductor Corporation | Fabrication method for polysilicon contact plugs |
US5623160A (en) | 1995-09-14 | 1997-04-22 | Liberkowski; Janusz B. | Signal-routing or interconnect substrate, structure and apparatus |
US5789776A (en) | 1995-09-22 | 1998-08-04 | Nvx Corporation | Single poly memory cell and array |
US5638251A (en) | 1995-10-03 | 1997-06-10 | Advanced Refractory Technologies, Inc. | Capacitive thin films using diamond-like nanocomposite materials |
US6013574A (en) | 1996-01-30 | 2000-01-11 | Advanced Micro Devices, Inc. | Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines |
US5741626A (en) | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US5843847A (en) | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
US5780163A (en) | 1996-06-05 | 1998-07-14 | Dow Corning Corporation | Multilayer coating for microelectronic devices |
US5869396A (en) | 1996-07-15 | 1999-02-09 | Chartered Semiconductor Manufacturing Ltd. | Method for forming a polycide gate electrode |
US5989998A (en) | 1996-08-29 | 1999-11-23 | Matsushita Electric Industrial Co., Ltd. | Method of forming interlayer insulating film |
US5801098A (en) | 1996-09-03 | 1998-09-01 | Motorola, Inc. | Method of decreasing resistivity in an electrically conductive layer |
US5970378A (en) | 1996-09-03 | 1999-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-step plasma treatment process for forming low resistance titanium nitride layer |
DE19637334A1 (de) | 1996-09-13 | 1998-03-19 | Bayer Ag | Stabilisierte blockierte Isocyanate |
US5730792A (en) | 1996-10-04 | 1998-03-24 | Dow Corning Corporation | Opaque ceramic coatings |
US5776235A (en) | 1996-10-04 | 1998-07-07 | Dow Corning Corporation | Thick opaque ceramic coatings |
US5711987A (en) | 1996-10-04 | 1998-01-27 | Dow Corning Corporation | Electronic coatings |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
JP4142753B2 (ja) | 1996-12-26 | 2008-09-03 | 株式会社東芝 | スパッタターゲット、スパッタ装置、半導体装置およびその製造方法 |
US5834371A (en) | 1997-01-31 | 1998-11-10 | Tokyo Electron Limited | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof |
US5789316A (en) | 1997-03-10 | 1998-08-04 | Vanguard International Semiconductor Corporation | Self-aligned method for forming a narrow via |
US6080526A (en) | 1997-03-24 | 2000-06-27 | Alliedsignal Inc. | Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation |
US5817579A (en) | 1997-04-09 | 1998-10-06 | Vanguard International Semiconductor Corporation | Two step plasma etch method for forming self aligned contact |
US5926740A (en) | 1997-10-27 | 1999-07-20 | Micron Technology, Inc. | Graded anti-reflective coating for IC lithography |
US6143640A (en) | 1997-09-23 | 2000-11-07 | International Business Machines Corporation | Method of fabricating a stacked via in copper/polyimide beol |
KR19990030660A (ko) | 1997-10-02 | 1999-05-06 | 윤종용 | 전자빔을 이용한 반도체장치의 층간 절연막 형성방법 |
US6071813A (en) | 1997-10-20 | 2000-06-06 | Advanced Micro Devices, Inc. | Method and system for electrical coupling to copper interconnects |
US6051321A (en) | 1997-10-24 | 2000-04-18 | Quester Technology, Inc. | Low dielectric constant materials and method |
US6555476B1 (en) * | 1997-12-23 | 2003-04-29 | Texas Instruments Incorporated | Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6140226A (en) | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
TW437017B (en) * | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6383955B1 (en) * | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6660656B2 (en) * | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
JP3305251B2 (ja) | 1998-02-26 | 2002-07-22 | 松下電器産業株式会社 | 配線構造体の形成方法 |
US6174810B1 (en) | 1998-04-06 | 2001-01-16 | Motorola, Inc. | Copper interconnect structure and method of formation |
US6068884A (en) | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6060132A (en) | 1998-06-15 | 2000-05-09 | Siemens Aktiengesellschaft | High density plasma CVD process for making dielectric anti-reflective coatings |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6172421B1 (en) | 1998-08-11 | 2001-01-09 | Advanced Micro Devices, Inc. | Semiconductor device having an intermetallic layer on metal interconnects |
US6071809A (en) | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures |
US6169039B1 (en) | 1998-11-06 | 2001-01-02 | Advanced Micro Devices, Inc. | Electron bean curing of low-k dielectrics in integrated circuits |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
PL348252A1 (en) * | 1998-12-22 | 2002-05-20 | Firmenich & Cie | Porous polymethylsilsesquioxane with adsorbent properties |
US6251775B1 (en) | 1999-04-23 | 2001-06-26 | International Business Machines Corporation | Self-aligned copper silicide formation for improved adhesion/electromigration |
JP3353743B2 (ja) * | 1999-05-18 | 2002-12-03 | 日本電気株式会社 | 半導体装置とその製造方法 |
US6204201B1 (en) * | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
SG125881A1 (en) * | 1999-12-03 | 2006-10-30 | Lytle Steven Alan | Define via in dual damascene process |
US6582777B1 (en) * | 2000-02-17 | 2003-06-24 | Applied Materials Inc. | Electron beam modification of CVD deposited low dielectric constant materials |
US6410462B1 (en) * | 2000-05-12 | 2002-06-25 | Sharp Laboratories Of America, Inc. | Method of making low-K carbon doped silicon oxide |
US7122900B2 (en) * | 2000-06-26 | 2006-10-17 | Renesas Technology Corp. | Semiconductor device and method manufacturing the same |
US6573196B1 (en) * | 2000-08-12 | 2003-06-03 | Applied Materials Inc. | Method of depositing organosilicate layers |
US6365527B1 (en) * | 2000-10-06 | 2002-04-02 | United Microelectronics Corp. | Method for depositing silicon carbide in semiconductor devices |
KR100389032B1 (ko) * | 2000-11-21 | 2003-06-25 | 삼성전자주식회사 | 강유전체 메모리 장치 및 그의 제조 방법 |
US6340628B1 (en) * | 2000-12-12 | 2002-01-22 | Novellus Systems, Inc. | Method to deposit SiOCH films with dielectric constant below 3.0 |
US6583048B2 (en) * | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6532150B2 (en) * | 2001-05-31 | 2003-03-11 | American Megatrends, Inc. | Disk drive carrier apparatus and associated method |
US6486082B1 (en) * | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
US6879046B2 (en) * | 2001-06-28 | 2005-04-12 | Agere Systems Inc. | Split barrier layer including nitrogen-containing portion and oxygen-containing portion |
JP2004535065A (ja) * | 2001-07-02 | 2004-11-18 | ダウ・コーニング・コーポレイション | 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動 |
WO2003015129A2 (en) * | 2001-08-06 | 2003-02-20 | Advanced Technology Material, Inc. | Low-k dielectric thin films and chemical vapor deposition method of making same |
US6573193B2 (en) * | 2001-08-13 | 2003-06-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Ozone-enhanced oxidation for high-k dielectric semiconductor devices |
US20030040195A1 (en) * | 2001-08-27 | 2003-02-27 | Ting-Chang Chang | Method for fabricating low dielectric constant material film |
US6887780B2 (en) * | 2001-08-31 | 2005-05-03 | Intel Corporation | Concentration graded carbon doped oxide |
US6759327B2 (en) * | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
JP4152619B2 (ja) * | 2001-11-14 | 2008-09-17 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US20030134499A1 (en) * | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof |
KR101005434B1 (ko) * | 2002-04-26 | 2011-01-05 | 에이저 시스템즈 인크 | 신뢰성 개선을 위한 규화 구리 패시베이션 |
DE10239464B4 (de) * | 2002-08-28 | 2007-05-31 | Sabbagh, Aladin, Dr. | Vorrichtung zur Behandlung des Kiefergelenks |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
US6818557B1 (en) * | 2002-12-12 | 2004-11-16 | Advanced Micro Devices, Inc. | Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance |
-
2004
- 2004-08-30 US US10/929,884 patent/US7229911B2/en not_active Expired - Fee Related
-
2005
- 2005-04-19 WO PCT/US2005/013313 patent/WO2005109473A2/en active Application Filing
- 2005-04-19 JP JP2007509570A patent/JP4801662B2/ja not_active Expired - Fee Related
- 2005-04-19 KR KR1020067024129A patent/KR101230326B1/ko active IP Right Grant
-
2011
- 2011-05-23 JP JP2011114735A patent/JP2011228717A/ja active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002246391A (ja) * | 2001-02-21 | 2002-08-30 | Nec Corp | 半導体装置の製造方法 |
US20020119250A1 (en) * | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
JP2003133412A (ja) * | 2001-08-01 | 2003-05-09 | Texas Instruments Inc | 銅に対する誘電体層の接着改良方法 |
JP2003188254A (ja) * | 2001-12-18 | 2003-07-04 | Hitachi Ltd | 半導体装置の製造方法および半導体装置 |
JP2004096052A (ja) * | 2002-03-13 | 2004-03-25 | Nec Electronics Corp | 半導体装置およびその製造方法ならびに金属配線 |
JP2004079761A (ja) * | 2002-08-19 | 2004-03-11 | Nec Electronics Corp | 半導体装置およびその製造方法 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2014027285A (ja) * | 2012-07-30 | 2014-02-06 | Air Products And Chemicals Inc | 酸素不含ケイ素系膜及びその形成方法 |
US9243324B2 (en) | 2012-07-30 | 2016-01-26 | Air Products And Chemicals, Inc. | Methods of forming non-oxygen containing silicon-based films |
JP2014053341A (ja) * | 2012-09-05 | 2014-03-20 | Renesas Electronics Corp | 半導体装置およびその製造方法 |
KR20170129475A (ko) * | 2016-05-17 | 2017-11-27 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
Also Published As
Publication number | Publication date |
---|---|
JP4801662B2 (ja) | 2011-10-26 |
US20060046479A1 (en) | 2006-03-02 |
WO2005109473A2 (en) | 2005-11-17 |
WO2005109473A3 (en) | 2006-01-12 |
KR20070007937A (ko) | 2007-01-16 |
US7229911B2 (en) | 2007-06-12 |
JP2007533171A (ja) | 2007-11-15 |
KR101230326B1 (ko) | 2013-02-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4801662B2 (ja) | 低k誘電体と導電材料との接着改善 | |
US20130230986A1 (en) | Adhesion improvement for low k dielectrics to conductive materials | |
US7030041B2 (en) | Adhesion improvement for low k dielectrics | |
US8569166B2 (en) | Methods of modifying interlayer adhesion | |
US6890850B2 (en) | Method of depositing dielectric materials in damascene applications | |
KR101122458B1 (ko) | 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름 | |
US7091137B2 (en) | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications | |
US7288205B2 (en) | Hermetic low dielectric constant layer for barrier applications |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110804 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130408 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130416 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130712 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130718 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130814 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130819 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130913 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130919 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20131015 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20140422 |