JP2011228717A - 低k誘電体と導電材料との接着改善 - Google Patents

低k誘電体と導電材料との接着改善 Download PDF

Info

Publication number
JP2011228717A
JP2011228717A JP2011114735A JP2011114735A JP2011228717A JP 2011228717 A JP2011228717 A JP 2011228717A JP 2011114735 A JP2011114735 A JP 2011114735A JP 2011114735 A JP2011114735 A JP 2011114735A JP 2011228717 A JP2011228717 A JP 2011228717A
Authority
JP
Japan
Prior art keywords
plasma
processing chamber
silicon
compound
introducing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011114735A
Other languages
English (en)
Inventor
Rajagopalan Nagarajan
ナガラジャン ラジャゴパラン,
Mei Yi Shek
メイイー シェク,
Albert Lee
アルバート リー,
Lakshmanan Annamalai
アンナマライ ラクシュマナン,
Likung Xian
リ‐クン シャ,
Tsuan Jian Gui
ツェンジアン クイ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/828,023 external-priority patent/US20050233555A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011228717A publication Critical patent/JP2011228717A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

【課題】銅ダマシン配線上への誘電体層の形成において、導電材料と誘電体層間の密着性を向上する半導体装置の製造方法を提供する。
【解決手段】オルガノシロキサンからなる第2誘電体層118に、TaNからなる金属バリア層124とCuからなる導電性金属特徴部126を形成する。平坦化後アンモニアプラズマ処理還元により表面の酸化物を除去する。連続して真空を破壊せずに、トリメチルシランからなる有機ケイ素化合物を導入し、プラズマプロセスによりSiCN膜130を形成し、さらに連続してSiC膜132を堆積する。酸化物の除去から誘電体層の形成までインサイチュで行うことが可能である。
【選択図】図7

Description

開示の背景
発明の分野
[0001]本発明は、集積回路の製造並びに基板上に誘電体層を堆積させる方法及び誘電体層によって形成された構造に関する。
関連技術の説明
[0002]最新の半導体デバイスの製造における主要なステップの1つは、ガスの化学反応によって基板上に金属層と誘電体層を形成させることである。このような堆積プロセスは化学気相堆積又はCVDと呼ばれる。従来の熱CVDプロセスは基板表面に反応性ガスを供給し、そこで熱誘導化学反応が起こり、所望される層が生成される。
[0003]半導体デバイス形状は、このようなデバイスが数十年前に初めて導入されて以来、サイズが劇的に小さくなってきた。そのとき以来、集積回路は一般的に、2年で半分のサイズというルール(しばしば、ムーアの法則と呼ばれる)に従っており、それはチップ上に適合するデバイスの数が2年ごとに倍になっていることを意味している。今日の製造工場は、通常0.35μm、実に0.18μmの特徴部サイズをもつデバイスを製造しており、将来の工場は、まもなく、更に小さな形状をもつデバイスを製造するであろう。
[0004]集積回路上のデバイスのサイズを小さくするために、更に、抵抗率の低い導電材料を用い、低誘電率(誘電率<4.0)をもつ絶縁体を用いることが必要となり、隣接する金属ライン間の静電結合を低下させることにもなる。このような1つの低k誘電材料は、ドープされていないシリコンガラス(USG)又はフッ素ドープされたシリコンガラス(FSG)のようなスピンオンガラスであり、半導体製造プロセスにおいてギャップ充填層として堆積することができる。他の低k誘電材料は、ダマシン特徴部を製造する際に誘電体層として用いることができるシリコンオキシカーバイドである。
[0005]認められている1つの導電材料は、銅及びその合金であり、銅が、アルミニウムより抵抗率が低く(アルミニウムの3.1μΩ-cmと比べて1.7μΩ-cm)、電流が大きく、運搬力が高いことから、サブクォーターミクロン相互接続技術にとって選択の材料になってきた。これらの特徴は、高レベルの集積化と高デバイス速度で経験するより高い電流密度を支持するのに重要である。更に、銅は、熱導電性が良好であり、非常に純粋な状態で利用できる。
[0006]半導体デバイスに銅を用いる際の1つの難しさは、銅が正確なパターンを得るためにエッチングするのが難しいということである。相互接続部を形成するための伝統的な堆積/エッチングプロセスを用いて銅をエッチングすることは、十分なものではなかった。それ故、銅含有材料や低k誘電材料を有する相互接続部を製造する新規な方法が開発されている。
[0007]垂直の相互接続部や水平の相互接続部を形成する1つの方法は、ダマシン法又はデュアルダマシン法による。ダマシン法では、低k誘電材料のような1つ以上の誘電材料が、垂直の相互接続部、即ち、バイア、水平の相互接続部、即ち、ラインを形成するために堆積され、パターンエッチングされる。その後、銅含有材料のような導電材料、また、周囲の低k誘電体に銅含有材料が拡散するのを防止するために用いられるバリヤ層材料のような他の材料が、エッチングされたパターンにはめ込まれる。その後、基板の周囲のようなエッチングされたパターンの外の余分な銅含有材料や余分なバリヤ層材料が除去され、平坦化表面が形成される。ダマシン構造の第2層を形成するように次に続く処理に対して、絶縁層又はバリヤ層のような誘電体層が銅特徴部上に形成される。
[0008]しかしながら、銅の平坦化と次に続く誘電体層の堆積の間、銅材料は、処理チャンバ間又は処理ツール間で曝されることによって酸化反応を受けてしまうことが見られてきた。酸化環境に曝されることにより、銅材料上に表面酸化物が形成されることになる。酸化物は、その上に堆積される、次に続く層、例えば、誘電体層の接着を阻止する。
[0009]それ故、低k誘電体層間の層間接着を改善させる方法がなお求められている。
[0010]本発明の態様は、一般的には、導電材料と誘電体層の間に接着層を堆積させる方法を提供する。一態様においては、本発明は、基板を処理する方法であって、処理チャンバ内に基板を配置するステップであって、基板が1つ以上のパターン形成低k誘電体層とその中に形成された1つの導電材料を含む前記ステップと、処理チャンバにシリコンベースの化合物を導入するステップと、導電材料のシリサイド層を形成するステップと、シリサイド層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、を含む前記方法を提供する。
[0011]本発明の他の態様においては、基板を処理する方法であって、処理チャンバ内に基板を配置するステップであって、基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含むステップと、処理チャンバにシリコンベースの化合物と還元化合物を導入するステップと、導電材料のシリサイド層を形成するステップと、シリコンベースの化合物と還元化合物のプラズマを開始させるステップと、窒化シリコン層を堆積させるステップと、窒化シリコン層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、を含む前記方法が提供される。
[0012]本発明の他の態様においては、基板を処理する方法であって、処理チャンバ内に基板を配置するステップであって、基板が1つ以上のパターン形成低k誘電体層とその中に形成された1つの導電材料を含む前記ステップと、処理チャンバに窒素及び水素を含む還元化合物を導入するステップと、処理チャンバ内に還元化合物のプラズマを開始させるステップと、還元化合物のプラズマに導電材料を曝すステップと、処理チャンバ内に有機ケイ素前駆物質を導入するステップと、有機ケイ素前駆物質と還元化合物とを反応させるステップと、1つ以上のパターン形成低k誘電体層と導電材料上に窒素ドープされた炭化シリコン誘電材料を堆積させるステップと、ドープされた炭化シリコン層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、を含む前記方法が提供される。
[0013]本発明の他の態様においては、基板を処理する方法であって、処理チャンバ内に基板を置くステップであって、基板が1つ以上のパターン形成低k誘電体層とその中に形成された1つの導電材料を含むステップと、処理チャンバに窒素と水素を含む還元化合物を導入するステップと、処理チャンバ内に還元化合物の第1プラズマを開始させるステップと、還元化合物のプラズマに導電材料を曝すステップと、第1プラズマと還元化合物を停止させるステップと、有機ケイ素前駆物質を処理チャンバに導入するステップと、処理チャンバ内で有機ケイ素前駆物質の第2プラズマを開始させるステップと、有機ケイ素化合物と共に還元化合物を導入するステップと、1つ以上のパターン形成低k誘電体層と導電材料上に窒素ドープされた炭化シリコン誘電体を真空を破壊せずに堆積させるステップと、含む前記方法を提供する。
[0014]本発明の他の態様においては、基板を処理する方法であって、処理チャンバ内に基板を配置するステップであって、基板が1つ以上のパターン形成低k誘電体層とその中に形成された1つの導電材料を含む前記ステップと、処理チャンバに窒素と水素を含む還元化合物を第1流量で導入するステップと、その処理チャンバ内に還元化合物の第1プラズマを開始させるステップと、還元化合物のプラズマに導電材料を曝すステップと、第1プラズマを停止させるステップと、有機ケイ素前駆物質を処理チャンバに導入するステップと、第1流量より大きい第2流量で還元化合物を導入するステップと、処理チャンバ内で有機ケイ素前駆物質と還元化合物の第2プラズマを開始させるステップと、1つ以上のパターン形成低k誘電体層及び導電材料上に炭素ドープされた窒化シリコン誘電体を堆積させるステップと、第2プラズマを停止させるステップと、有機ケイ素前駆物質を処理チャンバ内に導入するステップと、還元化合物を第2流量より小さい第3流量で導入するステップと、処理チャンバ内に有機ケイ素前駆物質と還元化合物の第3プラズマを開始させ、1つ以上のパターン形成低k誘電体層と導電材料上に窒素ドープされた炭化シリコン誘電材料を真空を破壊せずに堆積させるステップと、を含む前記方法が提供される。
[0015]本発明の上記態様が得られ詳細に理解され得るように、上で簡単にまとめた本発明のより詳しい説明は、添付の図面に示されるその実施形態によって参照されるものである。
[0016]しかしながら、添付の図面は、本発明の典型的な実施形態だけを示し、それ故、本発明の範囲を制限するものとみなされるべきでなく、本発明は他の等しく有効な実施形態を許容するものである。
[0020]本発明の態様を更に理解するために、次の詳細な説明を参照すべきである。
好適な実施形態の詳細な説明
[0021]本明細書に記載される本発明の態様は、導電材料と誘電体層との層間接着を改善させる方法及び装置に言及するものである。層間接着を改善するステップは、次の誘電体層を堆積させる前に導電材料を表面処理するステップ、次の誘電体層を堆積させる前に中間材料を形成するステップ、又は次の誘電体層を堆積させる前に接着が改善された中間層を堆積させるステップを含むことができる。開始層は、シリコン、炭素、任意に酸素を含むことができる。好ましくは、それらのプロセスは、再汚染を最小限にするとともに基板処理能力を改善させるためにインサイチュで行われる。
[0022]本明細書に用いられる語句は、更に定義されない限り、当業者が当該技術において通常の慣用の意味を示すべきである。インサイチュは、広く解釈されるべきであり、材料を介在する汚染環境に曝さずに、例えば、プロセスステップ又はツール内のチャンバ間の真空を破壊せずに、示されたチャンバ内で、例えば、プラズマチャンバ内で、又はシステム内で、例えば、集積クラスタツール装置内でを含むが、それらに限定されない。インサイチュプロセスは、典型的には、他の処理チャンバ又は領域に基板を移動させるのに比べて、プロセス時間と起こりうる混入物を最小限にする。
[0023]次の説明はデュアルダマシン構造の導電材料と誘電体の間の層間接着の使用を詳述しているが、本発明は他の構造形成プロセスやストレート堆積プロセスが本明細書に記載される接着態様を用いて行うことができることを企図しているので、本発明が示された例に解釈又は限定されるべきではない。
デュアルダマシン構造の堆積
[0024]図1Aに示されるように、その中の基板表面材料105に形成された金属特徴部107を有する基板100を用いて形成されるダマシン構造は処理チャンバに供給される。第1炭化シリコンバリヤ層110は、一般的には、基板表面上に堆積され、基板と次に堆積した材料の間の層間拡散を排除する。バリヤ層の材料の誘電率は、約9まで、好ましくは約2.5〜約4未満であってもよい。炭化シリコンバリヤ層の誘電率は、約5以下、好ましくは約4未満であってもよい。
[0025]第1炭化シリコンバリヤ層110の炭化シリコン材料は、窒素及び/又は酸素でドープすることができる。図示されていないが、窒素を含まない炭化シリコン又は酸化シリコンのキャッピング層は、バリヤ層110上に堆積させることができる。窒素を含まない炭化シリコン又は酸化シリコンキャッピング層は、処理ガスの組成を調整することによって、インサイチュで堆積させることができる。例えば、窒素を含まない炭化シリコンのキャッピング層は、窒素源ガスを最少にするか又は排除することによって第1炭化シリコンバリヤ層110上にインサイチュで堆積させることができる。或いは、図示されていない開始層を、第1炭化シリコンバリヤ層112上に堆積させることができる。開始層は、2004年3月15日出願の米国特許出願第10/801,190号で更に十分に記載され、その中の特許を請求した態様及び開示と矛盾しない範囲によって本明細書に援用されている。
[0026]第1誘電体層112は、トリメチルシラン及び/又はオクタメチルシクロテトラシロキサンを含むことができる有機ケイ素化合物を酸化することによって、製造すべき構造のサイズによっては、約5,000〜約15,000オングストロームの厚さに炭化シリコンバリヤ層110上に堆積される。その後、第1誘電体層112は、プラズマ又はe-ビームプロセスで後処理することができる。任意に、酸化シリコンキャップ層(図示せず)は、本明細書に記載されるシリコンオキシカーバイド堆積プロセスにおいて酸素濃度を上げることによって第1誘電体層112上にインサイチュで堆積させ、堆積した材料から炭素を除去することができる。第1誘電体層は、また、パラリンを含む低ポリマー材料のような他の低k誘電材料又はドープされていないシリコンガラス(USG)又はフッ素ドープされたシリコンガラス(FSG)のような低誘電率スピンオンガラスを含むことができる。その後、第1誘電体層は、本明細書に記載されるようにプラズマプロセスによって処理することができる。
[0027]炭化シリコンのエッチストップ(又は第2バリヤ層)114は、窒素又は酸素でドープすることができ、その後、第1誘電体層112上に堆積させる。エッチストップ114は、第1誘電体層112上に約100オングストローム〜約1000オングストロームの厚さに堆積させることができる。低kエッチストップ114は、炭化シリコン材料又はシリコンオキシカーバイド材料の場合、本明細書に記載されるようにプラズマ処理することができる。その後、エッチストップ114は、パターンエッチングされて、コンタクト/バイア116の開口を画成するとともにコンタクト/バイア116が形成される領域において第1誘電体層112を露出する。好ましくは、低kエッチストップ114は従来のフォトリソグラフィーとフッ素、炭素、酸素イオンを用いたエッチングプロセスを用いてパターンエッチングされる。図示されていないが、約100オングストローム〜約500オングストロームの窒素を含まない炭化シリコン又は酸化シリコンキャップ層が、更に材料が堆積される前に低kエッチストップ114上に任意に堆積されてもよい。
[0028]図1Bに示されるように、その後、酸化したオルガノシラン又はオルガノシロキサンの第2誘電体層118が、レジスト材料が除去された後、パターンエッチストップ114の上に堆積される。第2誘電体層118は、本明細書に記載されるプロセスによってトリメチルシランのような酸化したオルガノシラン又はオルガノシロキサンからのシリコンオキシカーバイドを含むことができ、約5,000〜約15,000オングストロームの厚さに堆積される。その後、第2誘電体層118は、プラズマ又はe-ビーム処理されても及び/又は本明細書に記載されるプロセスによって、その上に酸化シリコンキャップ材料が配置されてもよい。
[0029]その後、レジスト材料122は、第2誘電体層118(又はキャップ層)上に堆積され、好ましくは従来のフォトリソグラフィープロセスを用いてパターン形成されて、図1Bに示されるように相互接続ライン120が画成される。レジスト材料122は、当該技術分野において慣用的に知られる材料、好ましくはマサチューセッツ州マールバラのShipleyCompany Inc.から市販されているUV-5のような高活性化エネルギーのレジスト材料を含む。その後、相互接続部とコンタクト/バイアは、反応性イオンエッチング又は他の異方性エッチング技術を用いてエッチングされて、図1Cに示されるようにメタライゼーション構造(即ち、相互接続部とコンタクト/バイア)が画成される。エッチストップ114又は第2誘電体層118をパターン形成するために用いられるあらゆるレジスト材料又は他の材料も、酸素片又は他の適したプロセスを用いて除去される。
[0030]その後、メタライゼーション構造は、アルミニウム、銅、タングステン又はそれらの組合せのような導電材料で形成される。現在は、銅の低い抵抗率(アルミニウムの3.1mΩ-cmと比べて1.7mΩ-cm)によって、より小さい特徴部を形成するために銅を用いる傾向がある。好ましくは、図2Cに示されように、窒化タンタルのような適切な金属バリヤ層124が、まずメタライゼーションパターンに適合して堆積され、周囲のシリコン及び/又は誘電材料への銅の移動を防止する。その後、銅は、化学気相堆積、物理気相堆積、電気めっき、又はそれらの組合せのいずれかを用いて堆積され、導電性構造を形成する。構造が銅又は他の導電性金属で充填されると、図1Dに示されるように、表面が化学機械的研磨を用いて平坦化され、導電性金属特徴部126の表面が露出する。
接着プロセス及び誘電体層堆積
[0031]一態様においては、層間接着は、導電材料を反応する化合物に曝して、炭化シリコン層のような誘電体層を堆積させる前に、酸化物を除去するか又はシリサイド層もしくはシリサイド材料を形成することによって改善させることができる。層間接着の改善及び誘電体層の堆積は、同一の処理チャンバ又は処理システム内でインサイチュで行うことができる。
[0032]続いての堆積プロセスは300mmProducerTMデュアル堆積ステーション処理チャンバの使用において記載され、それに合わせて説明されるべきである。例えば、流量は総流量であり、チャンバ内の各堆積ステーションでプロセス流量を記載するために2で割らなければならない。更に、それぞれのパラメータは、種々のチャンバ内で、また、200mm基板のような異なる基板サイズに対してプラズマプロセスを行うために変更されてもよいことは留意されるべきである。更に、続いてのプロセスは銅と炭化シリコンについて記載されるが、本発明は、このプロセスが半導体製造に用いられる他の導電材料と誘電材料と用いることができることを企図している。
[0033]層間接着は、水素と窒素含有前駆物質の還元化合物を、導電材料を有する基板の上の処理チャンバに導入して、図2Aに示されるようにその中に形成されたあらゆる酸化物128を除去し、その後、有機ケイ素化合物を導入して還元化合物と反応させ、図2Bに示されるようにニトロ化層130を形成することによって改善することができる。除去すべき酸化物は、また、バリヤ層124の露出部分のようなバリヤ材料上に形成されてもよい。ニトロ化層130は、基板の露出面の上に連続しても非連続であってもよい。有機ケイ素化合物は、好ましくは、機械的消耗によって還元化合物を除去せずに導入される。有機ケイ素化合物は、更に及び/又は連続して処理チャンバに導入して、図2Cに示されるように、ニトロ化層上に炭化シリコン層132を形成することができる。
[0034]還元化合物は、熱的に及び/又はプラズマ増強プロセスによって、露出された導電材料と反応することができる。好ましい還元化合物としては、水素、窒素含有ガス、例えば、アンモニア、水素(H)と窒素(N)のガス混合物、ヒドラジン(N)、アミン、アミン誘導体、又はそれらの組合せが挙げられる。
[0035]還元化合物によるプラズマ増強プロセスの一例としては、還元化合物を約50sccm〜約2000sccm、例えば、約100sccm〜約1600sccmの流量で処理チャンバに供給するステップと、任意に、窒素のような窒素含有キャリヤガスを約100sccm〜約25000sccm、例えば、約1000sccm〜約20000sccmの流量で処理チャンバに供給するステップと、約1トール〜約12トール、例えば、約2.5トール〜約9トールのチャンバ圧を維持するステップと、約100℃〜約500℃、例えば、約250℃〜約450℃のヒータ温度を維持するステップと、基板表面から約200ミル〜約1000ミル、例えば、約300ミル〜約500ミルにガス分配器又は“シャワーヘッド”を配置するステップと、プラズマを生成させるステップとを含む。プラズマ処理は、約3秒〜約120秒間で行うことができ、例えば、約5秒〜約40秒間が好ましく用いられる。
[0036]プラズマは、約0.03W/cm〜約3.2W/cmの範囲の電力密度を適用することによって生成させることができ、200mm基板に対しては約10W〜約1000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである。プラズマは、約0.01W/cm〜約1.4W/cmの範囲の電力密度を適用することによって生成させることができ、300mm基板に対しては約10W〜約1000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである。或いは、プラズマは、本明細書に記載されるようにデュアル周波数RF電源によって生成させることができる。或いは、すべてのプラズマ生成は、堆積した材料のプラズマ処理又は材料層の堆積のために処理チャンバに導入された生成ラジカルにより遠隔で行うことができる。
[0037]還元化合物としては、アンモニア又はヒドラジンのような単一成分を約50sccm〜約3000sccm、例えば、約100sccm〜約2000sccmの流量で処理チャンバに供給することが含まれてもよい。単一成分の分配としては、更に、約100sccm〜約10000sccm、例えば、約1000sccm〜約5000sccmの流量のキャリヤガス又は不活性ガス、例えば、窒素、ヘリウム、又はアルゴンが含まれてもよい。窒素ガスと水素ガスの混合物のような多成分システムでは、窒素を約50sccm〜約5000sccm、例えば、約100sccm〜約1000sccmの流量で処理チャンバに供給するステップと、水素を約50sccm〜約5000sccm、例えば、約100sccm〜約1500sccmの流量で処理チャンバに供給するステップを含むプロセスによって処理チャンバに供給されてもよい。
[0038]プラズマ処理プロセスの一例としては、アンモニアを約700sccmの流量で処理チャンバに供給するステップと、ヘリウムを約1200sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約300WのRF電力レベルを約20秒間適用することによってプラズマを生成させるステップとが含まれる。
[0039]プラズマ処理プロセスの他の例としては、アンモニアを約160sccmの流量で処理チャンバに供給するステップと、窒素を約18000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約300WのRF電力レベルを約20秒間適用することによってプラズマを生成させるステップとが含まれる。
[0040]プラズマ処理プロセスの他の例としては、アンモニアを約75sccmの流量で処理チャンバに供給するステップと、窒素を約5000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約150WのRF電力レベルを約15秒間適用することによってプラズマを生成させることが含まれる。
[0041]ニトロ化層130は、基板とその上に曝された導電材料上に、図2Aに示されるように、還元ガスの存在下に処理チャンバに有機ケイ素化合物を導入することによって堆積することができる。このことは、インサイチュ堆積に対して、プラズマ処理の間又はその直後に、有機ケイ素化合物を処理チャンバに導入することによって達成することができ、有機ケイ素化合物は、還元ガスからの窒素と反応して、ニトロ化層、例えば、窒素ドープされた炭化シリコン(SiCN:H)又は窒素ドープされたシリコンオキシカーバイド(SiCON:H)を形成することができる。還元化合物は有機ケイ素化合物と供給されてもよく、有機ケイ素化合物はプラズマ処理からの還元化合物の残量と反応してもよい。還元化合物と有機ケイ素化合物間の反応は、熱的又はプラズマ増強堆積プロセスであってもよい。適切な有機ケイ素化合物の例としては、トリメチルシラン(TMS)やジメチルフェニルシラン(DMPS)が含まれる。
[0042]ニトロ化炭化シリコン層130の堆積の一実施形態は、有機ケイ素前駆物質を約10sccm〜約1000sccm、例えば、約50sccm〜約500sccmの流量で導入するステップと、還元化合物を約100sccm〜約2500sccm、例えば、約500sccm〜約2000sccmの流量で処理チャンバに供給するステップと、任意に、希ガスを約1sccm〜約10,000sccmの流量で供給するステップと、チャンバ圧を約100ミリトール〜約100トール、例えば、約2.5トール〜約9トールに維持するステップと、ヒータ温度を約100℃〜約500℃、例えば、約250℃〜約450℃に維持するステップと、ガス分配器、又は“シャワーヘッド”を基板表面から約200ミル〜約1000ミル、例えば、200ミル〜約400ミルに配置するステップと、任意に、プラズマを生成させるステップとが含まれる。
[0043]プラズマは、200mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約500W〜約1100WのRF電力レベルである、約0.03W/cm〜約6.4W/cmの範囲の電力密度を適用することによって生成させることができる。プラズマは、300mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約500W〜約1100WのRF電力レベルである、約0.01W/cmと約2.8W/cmの範囲の電力密度を適用することによって生成させることができる。
[0044]電力は、デュアル周波数RF電源から、約200ワット〜約1000ワットの範囲の電力で約10MHz〜約30MHzの範囲の周波数をもつ第1RF電力と約100KHz〜約500KHzの周波数と約1ワット〜約200ワットの電力をもつ少なくとも第2RF電力を印加することができる。開始層は、約1秒〜約60秒、例えば、2秒のような約1〜約5秒間の時間堆積させることができる。
[0045]ニトロ化層130の堆積の一例は、アンモニアを700sccmの流量で処理チャンバに導入するステップと、ヘリウムを1200sccmの流量で処理チャンバに導入するステップと、トリメチルシラン(TMS)を約350sccmの流量で導入するステップと、約350℃のヒータ温度でチャンバを維持するステップと、約3.7トールのチャンバ圧を維持するステップと、基板表面から約280ミルにガス分配器を配置するステップと、13.56MHzで約900ワットのRF電力を印加するステップとが含まれる。
[0046]続いての炭化シリコン層132は本明細書に記載されるように、例えば、上記の有機ケイ素化合物を連続して導入するか又は炭化シリコン前駆ガス流量とドーパント、キャリヤガス、又は本明細書に記載される他の化合物を調整して、所望される性質をもつ炭化シリコン層を堆積させることによって堆積させることができる。還元化合物処理プロセス中又はその直後の有機ケイ素前駆物質の連続フローによって、酸化物の除去、ニトロ化層の形成、炭化シリコン層の堆積がインサイチュで行われることが可能になる。堆積プロセスの一例は、本明細書に開示される実施例2で示される。
[0047]更に、プロセスガス安定化ステップは、プラズマ処理プロセスと堆積プロセスの間、また、堆積プロセス間で行うことができる。このような安定化ステップは、一般的には、プラズマを含まないプロセスが含まれ、新しいプラズマが処理プロセス又は堆積プロセスの必要に応じて生成される。
[0048]任意に、本明細書に記載される窒素ドープされた炭化シリコン材料を含む、本明細書に記載される堆積した炭化シリコン材料のすべての堆積後プラズマ処理は、堆積した材料の性質を増強するために又は混入物を除去するために、或いはその上に材料が堆積される前に炭化シリコン層の露出面を洗浄するために行うことができる。堆積後プラズマ処理は、堆積プロセスとともにインサイチュで行うことができる。
[0049]不活性ガス、還元ガス、又はそれらの組合せの堆積後プラズマ処理を適用することができる。プラズマ不活性ガスは、ヘリウム、アルゴン、ネオン、キセノン、クリプトン、又はそれらの組合せを含み、ヘリウムが好ましい。還元ガスは、水素、アンモニア、又はそれらの組合せを含み、アンモニアが好ましい。堆積後プラズマ処理は、プラズマパージステップの生成物であってもよく、プロセスチャンバを排気しつつ1つを除く全てのガスが止められる。
[0050]堆積後プラズマ処理の一例としては、アンモニアを950sccmの流量で処理チャンバに導入するステップと、約350℃のヒータ温度でチャンバを維持するステップと、約3.7トールのチャンバ圧を維持するステップと、基板表面から約280ミルにガス分配器を配置するステップと、13.56MHzで約300ワットのRF電力を約2秒間印加することが含まれる。
[0051]プラズマ処理と堆積プロセスの一実施形態においては、トリメチルシランのような有機ケイ素化合物のプラズマは、プラズマ処理後と堆積プロセス前に行うことができる。有機ケイ素化合物のプラズマは、プラズマ処理した表面上にシリサイド層を形成して、堆積した炭化シリコン層の接着を改善すると考えられる。有機ケイ素変性プロセスのプラズマを含む処理ステップとしては、例えば、本明細書に記載されるようにプラズマ処理に基板表面を曝すステップと、有機ケイ素化合物の安定化ステップと、有機ケイ素化合物のラズマを生成させるステップと、次に、窒素ドープされた炭化シリコンを堆積させるステップとが含まれる。
[0052]有機ケイ素化合物のプラズマの一例は、有機ケイ素化合物を約50sccm〜約1500sccm、例えば、約400sccm〜約500sccmの流量で処理チャンバに供給するステップと、任意に、ヘリウムのような不活性ガスを約100sccm〜約20000sccm、例えば、約800sccm〜約1500sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約1トール〜約12トール、例えば、約2.5トール〜約9トールに維持するステップと、ヒータ温度を約100℃〜約500℃、例えば、約250℃〜約450℃に維持するステップと、ガス分配器、又は“シャワーヘッド”を基板表面から約200ミル〜約1000ミル、例えば、約300ミル〜約500ミルに配置するステップと、プラズマを生成させるステップとが含まれる。プラズマ処理は約1秒〜約10秒で行うことができ、例えば、約1秒〜約5秒が好ましく用いられる。
[0053]プラズマは、200mm基板に対して約10W〜約1000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.03W/cm〜約3.2W/cmの範囲の電力密度を印加することによって生成させることができる。プラズマは、300mm基板に対して約10W〜約1000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.01W/cm〜約1.4W/cmの範囲の電力密度を適用することによって生成させることができる。或いは、プラズマは、本明細書に記載されるようにデュアル周波数RF電源によって生成させることができる。或いは、すべてのプラズマの生成は遠隔で行うことができ、生成されたラジカルが堆積した材料のプラズマ処理又は材料層の堆積のために処理チャンバに導入される。一例は、本明細書に開示される実施例で示される。
[0054]プラズマ処理と堆積プロセスの他の一実施形態においては、窒化シリコン層は、トリメチルシランのような有機ケイ素化合物によって、プラズマ処理後で堆積プロセス前に堆積させることができる。処理ステップとしては、例えば、本明細書に記載されるようにプラズマ処理に基板表面を曝すステップと、窒化シリコン堆積プロセスの安定化ステップと、窒化シリコン層を堆積させるステップと、窒素ドープされた炭化シリコン堆積プロセスの安定化ステップと、次に、窒素ドープされた炭化シリコン化合物を堆積させるステップとが含まれる。窒化シリコン材料は、炭素ドープされた窒化シリコンであってもよい。
[0055]窒化シリコン堆積プロセスの一例は、有機ケイ素化合物を約50sccm〜約1000sccm、例えば、約250sccm〜約500sccmの流量で処理チャンバに供給するステップと、本明細書に記載される還元化合物のような窒素含有化合物を約500sccm〜約2500sccm、例えば、約1250sccm〜約1750sccmの流量で処理チャンバに供給するステップと、任意に、ヘリウム又は窒素のような不活性ガスを約100sccm〜約20000sccm、例えば、約15000sccm〜約19000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約1トール〜約12トール、例えば、約2.5トール〜約9トールに維持するステップと、ヒータ温度を約100℃〜約500℃、例えば、約250℃〜約450℃に維持するステップと、ガス分配器、又は“シャワーヘッド”を基板表面から約200ミル〜約1000ミル、例えば、約300ミル〜約500ミルに配置するステップと、プラズマを生成させるステップとを含む。プラズマ処理は約1秒〜約10秒間行うことができ、例えば、約1秒〜約5秒間が好ましく用いられる。
[0056]プラズマは、200mm基板に対して約10W〜約1200W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.03W/cm〜約6.4W/cmの範囲の電力密度を適用することによって生成させることができる。プラズマは、300mm基板に対して約10W〜約2000W、例えば、13MHzと14MHzの間、例えば、13.56MHzのような高周波数で約100W〜約400Wの間のRF電力レベルである、約0.01W/cm〜約2.8W/cmの範囲の電力密度を適用することによって生成させることができる。或いは、プラズマは、本明細書に記載されるようにデュアル周波数RF電源によって生成させることができる。或いは、すべてのプラズマの生成は遠隔で行うことができ、生成したラジカルが堆積した材料のプラズマ処理又は材料層の堆積のために処理チャンバに導入される。一例は、本明細書に開示される実施例4に示されている。
シリサイド形成
[0057]図3A-図3Cを参照すると、本明細書に記載される処理と堆積プロセスの他の実施形態においては、層間接着は、酸化された部分128をもってしまう図3Aに示されるように導電材料126を有する基板の上にシリコンベースの化合物を導入し、その後、シリコンベースの化合物と導電材料と反応させて、図3Bに示すように導電材料140の上にシリサイド142を形成することにより改善することができる。シリコン堆積142はシリサイド形成中に誘電材料上で起こることができる。有機ケイ素化合物は、その後、処理チャンバに導入され、図3Cに示されるようにシリサイド層142と基板の表面上に炭化シリコン層144を堆積させることができる。アンモニアを含む、窒素含有化合物のようなドーパントを、導電材料とともにニトロシリサイドを形成するために用いることができる。更に、シラン(SiH)のような適切なシリコンベースの化合物も還元化合物として更に機能させ、導電材料上に形成された酸化物を除去することができる。更に、シリコンベースの化合物を導入する前に、不活性プラズマ処理を基板表面上で行うことができる。
[0058]シリコンベースの化合物は、シラン、ジシラン、それらの誘導体を含む炭素を含まないシリコン化合物を含むことができる。シリコンベースの化合物は、また、本明細書に記載される有機ケイ素化合物、例えば、トリメチルシラン(TMS)及び/又はジメチルフェニルシラン(DMPS)を含む炭素含有シリコン化合物を含むことができる。シリコンベースの化合物は、熱的及び/又はプラズマ増強プロセスによって露出した導電材料と反応することができる。酸素や窒素のようなドーパントは、本明細書に記載されるように、シリコンベースの化合物とともに用いることができる。更に、ヘリウムやアルゴンを含む希ガスのような不活性ガスをシリサイドプロセス中に用いることができ、好ましくは、熱プロセスに対してはキャリヤガスとして、プラズマ増強シリサイド形成プロセスに対しては追加のプラズマ化学種として用いられる。シリコン含有化合物には、更に、本明細書に記載される還元化合物のようなドーパントが含まれ、ニトロシリサイドを形成することができる。このような実施形態においては、還元化合物は本明細書に記載されるように分配することができる。
[0059]本明細書に記載されるシリコンベースの化合物によるシリサイドプロセスの一例としては、シリコンベースの化合物を約10sccm〜約1000sccm、例えば、約75sccm〜約200sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約1トール〜約12トール、例えば、約2.5トール〜約9トールに維持するステップと、ヒータ温度を約100℃〜約500℃、例えば、約250℃〜約450℃に維持するステップと、ガス分配器、又は“シャワーヘッド”を基板表面から約200ミル〜約1000ミル、例えば、200ミル〜400ミルに配置するステップとが含まれる。
[0060]シリサイド形成プロセスは、更に、プラズマを発生させることによって増強させることができる。プラズマは、200mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.03W/cm〜約6.4W/cmの範囲の電力密度を適用することによって生成させることができる。プラズマは、300mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.01W/cm〜約2.8W/cmの範囲の電力密度を適用することによって生成させることができる。或いは、プラズマは、本明細書に記載されるようにデュアル周波数RF電源によって生成させることができる。或いは、すべてのプラズマの生成は遠隔で行うことができ、生成したラジカルが堆積した材料のプラズマ処理又は材料層の堆積のために処理チャンバに導入される。プラズマは、約1秒〜約60秒間生成させることができ、例えば、好ましくは約1秒〜約5秒間が用いられ、シリサイド層が形成される。
[0061]シリサイドプロセスの一例としては、シランを約125sccmの流量で処理チャンバに供給するステップと、ヘリウムを約400sccmの流量で処理チャンバに供給するステップと、アンモニアを約325sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約3トールに維持するステップと、約350℃のヒータ温度に維持するステップと、ガス分配器、又は“シャワーヘッド”を約300ミルに約2.5秒間配置するステップとが含まれる。
[0062]任意に、シリサイド形成後、基板表面は、本明細書に記載されるように、還元化合物のプラズマに曝されてもよい。還元プラズマに曝すことにより、窒素ドープされたシリサイド層が形成されると考えられる。窒素ドープされたシリサイド層、銅、銅窒化シリコン(CuSiN)のような金属窒化シリコンは、更に、層間接着を改善させると考えられる。
[0063]続いての炭化シリコン層は、炭化シリコン堆積に対して本明細書に記載されるように堆積させることができる。炭化シリコン堆積は、また、シリサイドプロセスの場合と同一の炭素含有シリコンベース化合物を用いて行うことができ、同一のチャンバ内で行うことができる。炭化シリコン層は、本明細書に記載されるように、堆積後プラズマに曝すことができる。
[0064]シリサイド形成プロセスの一実施形態においては、基板は、本明細書に記載されるように還元化合物のプラズマ処理に供され、シリコン含有化合物に曝されてシリサイドを形成し、その後、窒素ドープされた炭化シリコン層がその上に堆積される。処理ステップは、更に、シリサイド形成プロセスの前に安定化ステップを含むことができる。
[0065]シリサイドプロセスの他の実施形態においては、窒化シリコン層は、シリサイド形成後、また、堆積プロセス前に堆積させることができる。処理ステップとしては、例えば、本明細書に記載されるように、プラズマ処理に基板表面を曝すステップと、シリサイド形成に対する安定化ステップと、窒化シリコン層を堆積させるステップと、次に、窒素ドープされた炭化シリコン層を堆積させるステップとが含まれる。処理ステップは、更に、シリサイド形成プロセスの前に安定化ステップを含むことができる。窒化シリコン材料は、炭素ドープされた窒化シリコンであってもよい。
[0066]窒化シリコン堆積プロセスの一例は、シランを約50sccm〜約1000sccm、例えば、約50sccm〜約300sccmの流量で処理チャンバに供給するステップと、本明細書に記載される還元化合物のような窒素含有化合物を約10sccm〜約1000sccm、例えば、約50sccm〜約150sccmの流量で処理チャンバに供給するステップと、任意に、ヘリウム又は窒素のような不活性ガスを約10sccm〜約20000sccm、例えば、約2000sccm〜約10000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約1トール〜約12トール、例えば、約2.5トール〜約9トールに維持するステップと、ヒータ温度を約100℃〜約500℃、例えば、約250℃〜約450℃に維持するステップと、ガス分配器、又は“シャワーヘッド”を基板表面から約200ミル〜約1000ミル、例えば、300ミル〜600ミルに配置するステップと、プラズマを生成させるステップとを含む。プラズマは、約1秒〜約10秒間、例えば、約1秒〜約5秒間生成させることができる。
[0067]プラズマは、200mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.03W/cm〜約6.4W/cmの範囲の電力密度を適用することによって生成させることができる。プラズマは、300mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約100W〜約400WのRF電力レベルである、約0.01W/cm〜約2.8W/cmの範囲の電力密度を適用することによって生成させることができる。或いは、プラズマは、本明細書に記載されるようにデュアル周波数RF電源によって生成させることができる。或いは、すべてのプラズマの生成は遠隔で行うことができ、生成したラジカルが堆積した材料のプラズマ処理又は材料層の堆積のために処理チャンバに導入される。
[0068]窒化シリコン堆積プロセスの一例としては、シランを約220sccmの流量で処理チャンバに供給するステップと、窒素を約5000sccmの流量で処理チャンバに供給するステップと、アンモニアを約75sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約495ミルに配置するステップと、約440ワット〜約450ワットの電力レベルで約2秒間プラズマを生成させるステップとが含まれる。
[0069]続いての炭化シリコン層は、炭化シリコン堆積に対して本明細書に記載されるように堆積させることができる。炭化シリコン堆積は、また、シリサイドプロセスの場合と同一の炭素含有シリコンベース化合物を用いて行うことができ、同一のチャンバ内で行うことができる。
実施例
[0070]以下の実施例は、改善された層間接着を示すために、標準的な中間層スタックに比較して本明細書に記載される接着プロセスの種々の実施形態を示すものである。カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造、販売されている、ツーピース石英プロセスキットをもつ固態のデュアル周波数RFマッチングユニットを含むProducerTM300mm処理チャンバを用いて試料を着手した。
[0071]試験試料を次の通り準備した。誘電体層のスタックを次のようにシリコン基板上に堆積させた。基板は、約1000オングストロームの酸化シリコンがその上に配置されたシリコン基板、酸化シリコン上に配置された約250オングストロームのタンタル、タンタル上に配置された約4500オングストロームの銅を含み、その後、本明細書に記載される接着プロセスを基板上で行い、典型的には、銅層上に配置された約2000オングストロームの窒素ドープされた炭化シリコンが得られる。
[0072]接着テストを次の通り試験試料について行った。既知の剥離特性をもつ約120μm〜約150μmのエポキシ材料を試験試料上に堆積させた。シリコン層をその上に堆積させた。その後、試験試料を約190℃で1時間焼成又は硬化し、次に、1cm×1cm試料に切断し、液体窒素で-170℃に冷却した。その後、試料を一定の温度で最も弱い中間層の境界面で起こる層間剥離を求めるために観察した。一定の温度でのエポキシの縮小が、剥離を誘発させるのに必要とされる力に関係している。この所見から、接着の定量を計算することができる。接着(G)は式σ√(h/2)に基づき、hはエポキシの厚みであり、σは残留応力である。
[0073]実施例1の接着プロセスには、アンモニアプラズマ処理、続いて、安定化プロセ
ス、次に、基板表面上での窒素ドープされた炭化シリコンの堆積が含まれる。プロセスは
次の通り行った。
[0074]プラズマ処理プロセスには、アンモニアを約160sccmの流量で処理チャンバに供給するステップと、窒素を約18000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約300WのRF電力レベルを適用することにより約20秒間プラズマを生成させるステップとが含まれる。
[0075]安定化プロセスには、トリメチルシランを約350sccmの流量で処理チャンバに供給するステップと、アンモニアを約700sccmの流量で処理チャンバに供給するステップと、ヘリウムを約1200sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約3.7トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約280ミルに配置するステップとが含まれる。安定化プロセスは約5秒間行うことができる。13.56MHzの高周波数で約900WのRF電力レベルを適用することにより約63秒間プラズマを生成させることにより有機ケイ素化合物のプラズマを開始させることにより窒素ドープされた炭化シリコン層を堆積させる。
[0076]実施例1の基板の接着測定値Gは、約3.8+/-0.6J-mであった。
[0077]実施例2の接着プロセスには、アンモニアプラズマ処理に続いて、基板表面上にニトロ化炭化シリコン層を形成させることが含まれる。プロセスは次の通り行った。
[0078]プラズマ処理プロセスには、アンモニアを約700sccmの流量で処理チャンバに供給するステップと、ヘリウムを約1200sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約300WのRF電力レベルを適用することによりプラズマを約20秒間生成させるステップとが含まれる。
[0079]ニトロ化層は、アンモニアを700sccmの流量で処理チャンバに導入し、ヘリウムを1200sccmの流量で処理チャンバに導入し、トリメチルシラン(TMS)を約350sccmの流量で導入し、約350℃のヒータ温度でチャンバを維持し、約3.7トールのチャンバ圧を維持し、ガス分配器を基板表面から約280ミルに配置し、13.56MHzで約900ワットのRF電力を適用することによって堆積させた。
[0080]実施例2の基板の接着測定値Gは、約3.2+/-0.3J-mであった。
[0081]実施例3の接着プロセスには、アンモニアプラズマ処理、続いて、有機ケイ素プラズマ処理に対する安定化プロセス、次に、基板表面上の窒素ドープされた炭化シリコン堆積が含まれる。プロセスは次の通り行った。
[0082]プラズマ処理プロセスには、アンモニアを約160sccmの流量で処理チャンバに供給するステップと、窒素を約18000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約300WのRF電力レベルを適用することにより約20秒間プラズマを生成させるステップとが含まれる。
[0083]安定化プロセスには、トリメチルシランを約450sccmの流量で処理チャンバに供給するステップと、ヘリウムを約1200sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約3.7トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約280ミルに約5秒間配置するステップとが含まれる。13.56MHzの高周波数で約300WのRF電力レベルを適用することにより約2秒間プラズマを生成させることにより有機ケイ素化合物のプラズマを開始させる。
[0084]窒素ドープされた炭化シリコン層は、アンモニアを700sccmの流量で処理チャンバに導入し、ヘリウムを1200sccmの流量で処理チャンバに導入し、トリメチルシラン(TMS)を約350sccmの流量で導入し、約350℃のヒータ温度でチャンバを維持し、約3.7トールのチャンバ圧を維持し、ガス分配器を基板表面から約280ミルに配置し、13.56MHzで約900ワットのRF電力を適用することによって堆積させる。
[0085]実施例3の基板の接着測定Gは、約4.0+/-0.2J-mであった。
[0086]実施例4の接着プロセスには、アンモニアプラズマ処理と、窒化堆積に対する安定化プロセスと、約100オングストロームの窒化堆積と、窒素ドープされた炭化シリコンへの窒化堆積に対する安定化プロセスと、次に、基板表面上での窒素ドープされた炭化シリコン堆積とが含まれる。プロセスは次の通り行った。
[0087]プラズマ処理プロセスは、アンモニアを約160sccmの流量で処理チャンバに供給するステップと、窒素を約18000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約300WのRF電力レベルを適用することにより約20秒間プラズマを生成させるステップとのプラズマ処理プロセスが含まれる。
[0088]安定化プロセスには、トリメチルシランを約350sccmの流量で処理チャンバに供給するステップと、アンモニアを約1500sccmの流量で処理チャンバに供給するステップと、窒素を約18000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約3.7トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約280ミルに約5秒間配置するステップとが含まれる。13.56MHzの高周波数で約900WのRF電力レベルを適用することにより約2秒〜約3秒間プラズマを生成させることにより有機ケイ素化合物のプラズマを開始させることにより窒化シリコン(炭素ドープされた)層を堆積させる。
[0089]安定化プロセスには、トリメチルシランを約350sccmの流量で処理チャンバに供給するステップと、アンモニアを約700sccmの流量で処理チャンバに供給するステップと、ヘリウムを約1200sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約3.7トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約280ミルに約5秒間配置するステップとが含まれる。13.56MHzの高周波数で約900WのRF電力レベルを適用することにより約60秒間プラズマを生成させることにより有機ケイ素化合物のプラズマを開始させることにより窒素ドープされた炭化シリコン層を堆積させる。
[0090]実施例4の基板の接着測定値Gは、約2.9+/-0.3J-mであった。
[0091]実施例5の接着プロセスには、アンモニアプラズマ処理と、シリサイド形成に対する安定化プロセスと、シリサイド形成と、次に、基板表面上での窒素ドープされた炭化シリコン堆積とを含むシリサイドプロセスが含まれる。プロセスは次の通り行った。
[0092]プラズマ処理プロセスには、アンモニアを約75sccmの流量で処理チャンバに供給するステップと、窒素を約5000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約150WのRF電力レベルを適用することにより約15秒間プラズマを発生させるステップとが含まれる。
[0093]安定化プロセスには、アンモニアを約325sccmの流量で処理チャンバに供給するステップと、ヘリウムを約400sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約3トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約300ミルに約10秒間配置するステップとが含まれる。
[0094]シランを約125sccmの流量で処理チャンバに供給し、ヘリウムを約400sccmの流量で処理チャンバに供給し、アンモニアを約325sccmの流量で処理チャンバに供給し、チャンバ圧を約3トールに維持し、約350℃のヒータ温度を維持し、ガス分配器、又は“シャワーヘッド”を約300ミルに約2.5秒間配置することによってシリサイドを形成する。
[0095]窒素ドープされた炭化シリコンは、トリメチルシランを約160sccmの流量で処理チャンバに供給し、アンモニアを約325sccmの流量で処理チャンバに供給し、ヘリウムを約400sccmの流量で処理チャンバに供給し、チャンバ圧を約3トールに維持し、約350℃のヒータ温度を維持し、ガス分配器、又は“シャワーヘッド”を約300ミルに配置し、13.56MHzの高周波数で約300〜約310WのRF電力レベルを適用することによって約76秒間プラズマを生成させることによって堆積させることができる。
[0096]実施例4の基板の接着測定値Gは、約3.9+/-0.5J-mであった。
[0097]実施例6の接着プロセスには、アンモニアプラズマ処理を含むシリサイドプロセス、シリサイド形成に対する安定化プロセス、シリサイド形成、約100オングストロームの窒化シリコンの堆積、次に、基板表面上の窒素ドープされた炭化シリコン堆積が含まれる。プロセスは次の通り行った。
[0098]プラズマ処理プロセスには、アンモニアを約75sccmの流量で処理チャンバに供給するステップと、窒素を約5000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約350ミルに配置するステップと、13.56MHzの高周波数で約150WのRF電力レベルを適用することにより約15秒間プラズマを生成させるステップとが含まれる。
[0099]安定化プロセスには、アンモニアを約75sccmの流量で処理チャンバに供給するステップと、窒素を約5000sccmの流量で処理チャンバに供給するステップと、チャンバ圧を約4.2トールに維持するステップと、約350℃のヒータ温度を維持するステップと、ガス分配器、又は“シャワーヘッド”を約495ミルに約5秒間配置するステップとが含まれる。シランを約125sccmの流量で処理チャンバに約2.5秒間
供給することによってシリサイドが形成される。
[00100]窒化シリコンは、シランを約220sccmの流量で処理チャンバに供給し、アンモニアを約75sccmの流量で処理チャンバに供給し、窒素を約5000sccmの流量で処理チャンバに供給し、チャンバ圧を約4.2トールに維持し、約350℃のヒータ温度を維持し、ガス分配器、又は“シャワーヘッド”を約495ミルに配置し、13.56MHzの高周波数で約440〜約450WのRF電力レベルを適用することによって、約2秒間プラズマを生成させることによって堆積させる。
[0100]窒素ドープされた炭化シリコンは、トリメチルシランを約160sccmの流量で処理チャンバに供給し、アンモニアを約325sccmの流量で処理チャンバに供給し、ヘリウムを約400sccmの流量で処理チャンバに供給し、チャンバ圧を約3トールに維持し、約350℃のヒータ温度を維持し、ガス分配器、又は“シャワーヘッド”を約300ミルに配置し、13.56MHzの高周波数で約300〜約310WのRF電力レベルを適用することによって、約72秒間プラズマを生成させることによって堆積させることができる。
[0101]実施例4の基板の接着測定値Gは、約5.3J-mであった。
層堆積
[0102]炭化シリコン層は、有機ケイ素化合物を反応させて、炭素-シリコン結合及び約4未満の誘電率をもつ誘電体層を形成することによって堆積させる。炭化シリコン層は、好ましくは、アモルファス水素化炭化シリコンである。炭化シリコン層は、不活性ガス、水素ガス、又は双方のプラズマで堆積させることができる。炭化シリコン誘電体層は、ドープされた炭化シリコン層であってもよい。炭化シリコン層は、導電材料又は誘電体層に隣接して配置されたバリヤ層として堆積されてもよく、1つ以上の誘電体層間に堆積されたエッチストップであってもよい。
[0103]炭化シリコン堆積に対して本明細書に用いられる適切な有機ケイ素化合物の例は、好ましくは以下の構造を含む。
Figure 2011228717
(ここで、Rは、アルキル基、アルケニル基、シクロヘキセニル基、及びアリール基を含む有機官能基、及びそれらの官能性誘導体である。)
有機前駆物質は、シリコン原子に結合した1つを超えるR基を有してもよく、本発明は、Si-H結合を含む又は含まない有機ケイ素前駆物質の使用を企図している。
[0104]有機ケイ素化合物は、少なくとも1つのシリコン-炭素結合を有する、脂肪族有機ケイ素化合物、環状有機ケイ素化合物、又はそれらの組合せを含み、任意に、構造は酸素を含んでもよい。環状有機ケイ素化合物は、典型的には、3つ以上のシリコン原子を含む環を有する。脂肪族有機ケイ素化合物は、1つ以上のシリコン原子と1つ以上の炭素原子を含む直鎖又は分枝鎖構造を有する。市販の脂肪族有機ケイ素化合物は、シリコン原子間に酸素を含まないオルガノシラン、及び酸素ドープされた炭化シリコン層に対しては、2つ以上のシリコン原子間に酸素を含むオルガノシロキサンを含む。本明細書に記載される有機ケイ素化合物のフッ素化誘導体は、また、本明細書に記載される炭化シリコン及びシリコンオキシカーバイド層を堆積させるために用いることができる。
[0105]適切な脂肪族及び環状有機ケイ素化合物の例としては、例えば、1つ以上の次の化合物が挙げられる。
メチルシラン CH3-SiH3
ジメチルシラン (CH3)2-SiH
トリメチルシラン(TMS) (CH3)3-SiH
エチルシラン CH3-CH2-SiH3
ジシラノメタン SiH3-CH2-SiH3
ビス(メチルシラノ)メタン CH3-SiH2-CH-SiH2-CH3
1,2-ジシラノエタン SiH3-CH2-CH2-SiH3
1,2-ビス(メチルシラノ)エタン CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-ジシラノプロパン SiH3-C(CH3)2-SiH3
1,3,5-トリシラノ-2,4,6-トリメチレン -(-SiH2-CH2-)3-(環状)
ジエチルシラン (C2H5)2SiH2
プロピルシラン CHSiH3
ビニルメチルシラン (CH2=CH)(CH3)SiH2
ジビニルジメチルシラン(DVDMS) (CH2=CH)2(CH3)2Si
1,1,2,2-テトラメチルジシラン HSi(CH3)2-Si(CH3)2H
ヘキサメチルジシラン (CH3)3Si-Si(CH3)3
1,1,2,2,3,3-ヘキサメチルトリシラン H(CH3)2Si-Si(CH3)2-SiH(CH3)2
1,1,2,3,3-ペンタメチルトリシラン H(CH3)2Si-SiH(CH3)-SiH(CH3)2
ジメチルジシラノエタン CH3-SiH2-(CH2)2-SiH2-CH3
ジメチルジシラノプロパン CH-SiH2-(CH2)3-SiH2-CH3
テトラメチルジシラノエタン (CH)2-SiH-(CH2)2-SiH-(CH)2
テトラメチルジシラノプロパン (CH3)2-SiH-(CH2)3-SiH-(CH3)2
上記のリストは、説明的であり、本発明の範囲を限定するものとして解釈又は説明されるべきではない。
[0106]フェニル含有有機ケイ素化合物は、また、炭化シリコン材料を堆積させるために用いられ、一般的には、下記構造を含む。
Figure 2011228717
(ここで、Rはフェニル基である。)
例えば、適切なフェニル含有有機ケイ素化合物は、一般的には、式SiH(CH)(C)(ここで、aは0〜3であり、bは0〜3であり、cは1〜4であり、a+b+cは4である。)を含む。この式から導かれる適切な前駆物質の例としては、ジフェニルシラン、ジメチルフェニルシラン、ジフェニルメチルシラン、フェニルメチルシラン、及びそれらの組合せが挙げられる。bが1〜3、cが1〜3であるフェニル含有有機ケイ素化合物が好ましく用いられる。バリヤ層材料として堆積に最も好ましい有機ケイ素化合物は、式SiH(CH)(C)c(ここで、aは1又は2であり、bは1又は2であり、cは1又は2である。)を有する有機ケイ素化合物を含む。好ましい前駆物質の例としては、ジメチルフェニルシラン及びジフェニルメチルシランが挙げられる。
[0107]一般的には、有機ケイ素化合物は、窒素(N)のような比較的不活性なガス及びヘリウム又はアルゴンのような希ガスを含むプラズマ中で反応する。堆積した炭化シリコン層は約5以下の誘電率をもち、ドープされた炭化シリコン層は約3以下の誘電率をもつことができる。処理ガスは、また、水素ガスを含むことができる。水素ガスは、一般的には、有機ケイ素化合物と水素ガスのモル比が、約1:1〜約10:1、例えば、約1:1〜約6:1で加えられる。有機ケイ素化合物と水素ガスの好ましい堆積プロセスの有機ケイ素化合物と水素ガスのモル比は、約1:1〜約1.5:1である。
[0108]一実施形態においては、トリメチルシランを約10ミリグラム/分(mgm)〜約5000ミリグラム/分(mgm)の流量でプラズマ処理チャンバに供給することにより好ましい炭化シリコン層を堆積させる。ミリグラム/分を標準立方センチメートル毎分(sccm)への変換は有機ケイ素化合物間で異なってしまうので、有機ケイ素化合物のミリグラム/分を用いることが好ましい。ヘリウム、アルゴン、又はそれらの組合せのような不活性ガスは、また、約50sccm〜約5000sccmの流量でチャンバに供給される。チャンバ圧は、約100ミリトール〜約15トールに維持される。基板表面温度は、堆積プロセス中、約100℃〜約450℃に維持される。炭化シリコン層を堆積させる方法の一例は、2003年3月25日発行の米国特許出願第6,537,733号に開示され、その中に記載される特許請求の範囲と開示に一致する範囲によって本明細書に援用されている。
[0109]炭化シリコン層は、また、酸素、窒素、ホウ素、リン、又はそれらの組合せを含むドープされた炭化シリコン層であってもよい。ドープされた炭化シリコンは、一般的には、約15原子パーセント(原子%)以下の1つ以上のドーパントを含む。ドーパントは、ドーパントと有機ケイ素化合物が約1:5以上、例えば、1:5〜約1:100の割合で処理ガスにおいて用いることができる。
[0110]酸素ドープされた及び/又は窒素ドープされた炭化シリコン層を形成するために酸素源又は窒素源を反応中に用いることができる。酸素源の例としては、酸素、オゾン、一酸化炭素、二酸化炭素、亜酸化窒素、酸素含有有機ケイ素前駆物質、又はそれらの組合せ、例えば、一酸化炭素や酸素含有有機ケイ素前駆物質のような酸化ガスが挙げられる。酸素ドープされた炭化シリコンは、一般的には、約15原子パーセント(原子%)未満の酸素、好ましくは、約10原子%以下の酸素を含む。
[0111]酸素含有有機ケイ素化合物としては、例えば、下記成分が挙げられる。
ジメチルジメトキシシラン(DMDMOS) (CH3)2-Si-(OCH3)2
ジエトキシメチルシラン(DEMS) (CH3)-SiH-(OCH3)2
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
1,1,3,3-テトラメチルジシロキサン(TMDSO) (CH3)2-SiH-O-SiH-(CH3)2
ヘキサメチルジシロキサン(HMDS) (CH3)3-Si-O-Si-(CH3)3
ヘキサメトキシジシロキサン(HMDSO) (CH3O)3-Si-O-Si-(OCH3)3
1,3-ビス(シラノメチレン)ジシロキサン (SiH3-CH2-SiH2-)2-O
ビス(1-メチルジシロキサニル)メタン (CH3-SiH2-O-SiH2-)2-CH2
2,2-ビス(1-メチルジシロキサニル)プロパン (CH3-SiH2-O-SiH2-)2-C(CH3)2
1,3,5,7-テトラメチルシクロテトラシロキサン(TMCTS) -(-SiHCH3-O-)4-(環状)
オクタメチルシクロテトラシロキサン(OMCTS) -(-Si(CH3)2-O-)4-(環状)
2,4,6,8,10-ペンタメチルシクロペンタシロキサン -(-SiHCH3-O-)-(環状)
1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン -(-SiH2-CH2-SiH2-O-)2-(環状)
ヘキサメチルシクロトリシロキサン -(-Si(CH3)2-O-)3-(環状)
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
ヘキサメトキシジシロキサン(HMDOS) (CH3O)3-Si-O-Si-(OCH3)3
及びそれらのフッ素化誘導体を含む。
[0112]窒素ドープされた炭化シリコンは、20原子%までの窒素を含み、例えば、アンモニア、窒素ガス、窒素及び水素ガスの混合物、及び、シラザン化合物のようなSi-N-Si結合基を有する化合物を含む窒素含有化合物を加えることによって堆積させることができる。適切なシラザン前駆物質の例としては、ヘキサメチルジシラザンやジビニルテトラメチルジシラザンのような脂肪族化合物、ヘキサメチルシクロトリシラザンのような環状化合物をが挙げられる。
[0113]例えば、ドープされた炭化シリコン層は、酸素源及び/又は窒素源、又は他のドーパントを、約50sccm〜約10,000sccmの流量で処理チャンバに導入することによって堆積させることができる。例えば、窒素含有又は窒素ドープされた炭化シリコン層は、炭化シリコン層の堆積中、アンモニア、窒素、窒素及び水素の混合物、又はそれらの組合せのような窒素源を導入することによって堆積させることができる。
[0114]低k炭化シリコン層のリン及び/又はホウ素ドーピングは、堆積プロセス中、ホスフィン(PH)又はボラン(BH)、又はジボラン(B)のようなそれらのボラン誘導体をチャンバに導入することによって行うことができる。ドーパントは堆積した炭化シリコン材料の誘電率を低下させることができると考えられる。リン及び/又はホウ素ドーパントは約50sccm〜約10,000sccmの流量で処理チャンバに導入することができる。
[0115]脂肪族炭化水素化合物のような有機化合物は、また、堆積した炭化シリコン材料の炭素含量を増加させるために処理ガス中で用いることができる。適切な脂肪族炭化水素化合物としては、1〜約20個の隣接した炭素原子を有する化合物が含まれる。炭化水素化合物は、単結合、二重結合、三重結合のあらゆる組み合わせによって結合する隣接した炭素原子を含むことができる。
[0116]窒素含有炭化シリコン層を堆積させる方法の例は、2000年7月28日、2001年2月23日出願の米国特許出願第09/627,667号及び2003年3月25日発行の米国特許出願第6,537,733号に開示され、その中に記載される特許請求の範囲及び開示と一致する範囲によって本明細書に援用されている。酸素含有炭化シリコン層を堆積させる方法の一例は、2002年7月15日出願の米国特許出願第10/196,498号に開示され、その中に記載される特許請求の範囲及び開示と一致する範囲によって援用されている。ホウ素及び/又はリン炭化シリコン層を堆積させる方法の一例は、2003年1月13日出願の米国特許出願第10/342,079号に開示され、その中に記載される特許請求の範囲及び開示と一致する範囲によって本明細書に援用されている。
[0117]一般的には、有機ケイ素化合物、不活性ガス、任意のドーパントは、炭化シリコン層が堆積されている基板から約200ミリメートル(mm)〜約600ミリメートルの隔置されたガス分配プレートによって処理チャンバに導入される。
[0118]電力は、単一又はデュアル周波数RF電源を適用することができる。例えば、プラズマは、200mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約500W〜約1100WのRF電力レベルである、約0.03W/cm〜約6.4W/cmの範囲の電力密度を適用することによって生成させることができる。プラズマは、300mm基板に対して約10W〜約2000W、例えば、13MHz〜14MHz、例えば、13.56MHzのような高周波数で約500W〜約1100WのRF電力レベルである、約0.01W/cm〜約2.8W/cmの範囲の電力密度を適用することによって生成させることができる。
[0119]電力は、デュアル周波数RF出力源から適用することができる。混合RF電力のデュアル周波数源は、約10MHz〜約30MHzの範囲、例えば、約13.56MHzの高周波数電力と、約100KHz〜約500KHzの範囲、例えば、約350KHzの低周波数電力を供給する。混合周波数RF電力印加の一例としては、約200ワット〜約1000ワットの範囲の電力の約10MHz〜約30MHzの範囲の周波数をもつ第1RF電力と、約1ワット〜約200ワットの範囲の電力の約100KHz〜約500KHzの範囲の周波数をもつ少なくとも第2RF電力を含むことができる。第2RF電力と総混合周波数電力との比は、好ましくは約0.2未満:1.0である。
[0120]更に、ガス混合物におけるシリコン源とドーパントとの比は、約1:1〜約100:1の範囲になければならない。上記のプロセスパラメータは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できる堆積チャンバ内で200mm(ミリメール)基板上で実施した場合、約100オングストローム/分〜約3000オングストローム/分の範囲の炭化シリコン層の堆積速度を与える。
[0121]炭化シリコン層を堆積させるために本明細書に記載される実施形態は、本発明を具体的に説明するために示され、図示された具体的な実施形態は本発明の範囲を制限するために用いるべきではない。本発明は、また、炭化シリコン層を堆積させるために用いられる他のプロセス及び材料を企図している。
[0122]上記は本発明の好ましい実施形態に関するが、本発明の更に多くの実施形態がその基本的範囲から逸脱せずに構成されてもよく、その範囲は以下の特許請求の範囲によって決定される。
図1Aは、本発明のデュアルダマシン堆積順序の一実施形態を示す断面図 である。 図1Bは、本発明のデュアルダマシン堆積順序の一実施形態を示す断面図 である。 図1Cは、本発明のデュアルダマシン堆積順序の一実施形態を示す断面図 である。 図1Dは、本発明のデュアルダマシン堆積順序の一実施形態を示す断面図 である。 図2Aは、本発明の改善された層間接着順序の一実施形態を示す断面図で ある。 図2Bは、本発明の改善された層間接着順序の一実施形態を示す断面図で ある。 図2Cは、本発明の改善された層間接着順序の一実施形態を示す断面図で ある。 図3Aは、本発明の改善された層間接着順序の一実施形態を示す断面図で ある。 図3Bは、本発明の改善された層間接着順序の一実施形態を示す断面図で ある。 図3Cは、本発明の改善された層間接着順序の一実施形態を示す断面図で ある。
100…基板、105…基板表面材料、107…金属特徴部、110…バリヤ層、112…誘電体層、114…エッチストップ、116…コンタクト/バイア、118…誘電体層、120…相互接続ライン、122…レジスト材料、124…バリヤ層、126…特徴部、128…酸化物、130…ニトロ化層、132…炭化シリコン層、140…導電材料、142…シリサイド層、144…炭化シリコン層。

Claims (47)

  1. 基板を処理する方法であって、
    処理チャンバ内に基板を配置するステップであって、該基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
    シリコンベースの化合物を該処理チャンバに導入するステップと、
    該導電材料のシリサイド層を形成するステップと、
    該シリサイド層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、を含む、前記方法。
  2. 該シリサイドが、該シリコンベースの化合物と該導電材料を熱増強プロセス、プラズマ増強プロセス、又はその双方によって反応させることにより形成される、請求項1記載の方法。
  3. 該炭化シリコン層の堆積前に、該シリサイド層を窒素と水素を含む還元化合物のプラズマに曝すステップを更に含む、請求項1記載の方法。
  4. 該シリコンベースの化合物が炭素を含まないシリコンベースの化合物を含む、請求項1記載の方法。
  5. 該炭素を含まないシリコンベースの化合物がシランを含む、請求項4記載の方法。
  6. 該炭化シリコン層が、
    トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる有機ケイ素化合物を導入するステップと、
    該有機ケイ素化合物のプラズマを生成させるステップと、
    によって堆積される、請求項1記載の方法。
  7. 該炭化シリコン層の堆積中、不活性ガス、還元化合物、シリコンベースの化合物、又はそれらの組合せを導入するステップを更に含む、請求項6記載の方法。
  8. 該シリコンベースの化合物が、炭素含有シリコンベースの化合物を含む、請求項1記載の方法。
  9. 該炭素含有シリコンベースの化合物が、トリメチルシラン、ジメチルフェニルシラン、ジメチルメチルシラン、及びそれらの組合せを含む、請求項8記載の方法。
  10. 該炭素含有シリコンベースの化合物とともに不活性ガスを導入するステップを更に含む、請求項9記載の方法。
  11. 該不活性ガスがヘリウム、アルゴン、又はそれらの組合せを含む、請求項10記載の方法。
  12. 該シリサイドが、該炭素含有シリコンベースの化合物と該導電材料を不活性ガスの存在下にプラズマ増強プロセスによって反応させることにより形成される、請求項10記載の方法。
  13. 窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
    該還元化合物のプラズマを該処理チャンバ内で開始させるステップと、
    該シリコンベースの化合物を該処理チャンバに導入する前に、該導電材料を該還元化合物の該プラズマに曝すステップと、
    を更に含む、請求項1記載の方法。
  14. 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項13記載の方法。
  15. 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項13記載の方法。
  16. 該シリコンベースの化合物とともに窒素と水素を含む還元化合物を導入するステップを更に含む、請求項1記載の方法。
  17. 基板を処理する方法であって、
    該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形
    成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
    シリコンベースの化合物と還元化合物を該処理チャンバに導入するステップと、
    該導電材料のシリサイド層を形成するステップと、
    該シリコンベースの化合物と還元化合物のプラズマを開始させるステップと、
    窒化シリコン層を堆積させるステップと、
    該窒化シリコン層上に炭化シリコン層を真空を破壊せずに堆積させるステップと、
    を含む、前記方法。
  18. 該シリサイドが、該シリコンベースの化合物と該導電材料を熱増強プロセス、プラズマ増強プロセス、又はその双方によって反応させることにより形成される、請求項17記載の方法。
  19. 該シリコンベースの化合物が、炭素を含まないシリコンベースの化合物を含む、請求項17記載の方法。
  20. 該炭素を含まないシリコンベースの化合物がシランを含む、請求項19記載の方法。
  21. 該炭化シリコン層が、
    トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる有機ケイ素化合物を導入するステップと、
    該有機ケイ素化合物のプラズマを生成させるステップと、
    によって堆積される、請求項17記載の方法。
  22. 該炭化シリコン層の堆積中、不活性ガス、還元化合物、シリコンベースの化合物、又はそれらの組合せを導入するステップを更に含む、請求項21記載の方法。
  23. 該シリコンベースの化合物が、炭素含有シリコンベースの化合物を含む、請求項17記載の方法。
  24. 該炭素含有シリコンベースの化合物が、トリメチルシラン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せを含む、請求項17記載の方法。
  25. 該炭素含有シリコンベースの化合物とともに不活性ガスを導入するステップであって、該不活性ガスがヘリウム、アルゴン、又はそれらの組合せを含む、前記ステップを更に含む、請求項24記載の方法。
  26. 該窒化シリコン層の堆積前に、該シリサイド層を窒素と水素を含む還元化合物のプラズマに曝すステップを更に含む、請求項17記載の方法。
  27. 該シリサイドが、該炭素含有シリコンベースの化合物と該導電材料を不活性ガスの存在下にプラズマ増強プロセスによって反応させることにより形成される、請求項25記載の方法。
  28. 窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
    該還元化合物のプラズマを該処理チャンバ内で開始させるステップと、
    該シリコンベースの化合物を該処理チャンバに導入する前に、該導電材料を該還元化合
    物の該プラズマに曝すステップと、
    を更に含む、請求項17記載の方法。
  29. 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項28記載の方法。
  30. 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項28記載の方法。
  31. 基板を処理する方法であって、
    該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形
    成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
    窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
    該還元化合物のプラズマを該処理チャンバ内で開始させるステップと、
    該導電材料を該還元化合物の該プラズマに曝すステップと、
    有機ケイ素前駆物質を該処理チャンバに導入するステップと、
    該有機ケイ素前駆物質と該還元化合物とを反応させるステップと、
    窒素ドープされた炭化シリコン誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に堆積させるステップと、
    炭化シリコン層を該ドープされた炭化シリコン層上に真空を破壊せずに堆積させるステップと、
    を含む前記方法。
  32. 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項31記載の方法。
  33. 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項31記載の方法。
  34. 該有機ケイ素化合物が、トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる、請求項31記載の方法。
  35. 該有機ケイ素化合物とともに不活性ガス、水素ガス、該還元化合物、又はそれらの組合せを導入するステップを更に含む、請求項31記載の方法。
  36. プラズマを開始させる該ステップが、単一周波数RF電源又はデュアル周波数RF電源によってプラズマを生成させる工程を含む、請求項31記載の方法。
  37. 該導電材料が、銅、ドープされた銅、又は銅合金を含む、請求項31記載の方法。
  38. 該1つ以上のパターン形成低k誘電体層が、炭化シリコン、ドープされた炭化シリコン、シリコンオキシカーバイド、又はそれらの組合せを含む、請求項31記載の方法。
  39. 基板を処理する方法であって、
    該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含む、前記ステップと、
    窒素と水素を含む還元化合物を該処理チャンバに導入するステップと、
    該還元化合物の第1プラズマを該処理チャンバ内で開始させるステップと、
    該導電材料を該還元化合物の該プラズマに曝すステップと、
    該第1プラズマと還元化合物を停止させるステップと、
    有機ケイ素前駆物質を該処理チャンバ内に導入するステップと、
    該有機ケイ素前駆物質の第2プラズマを該処理チャンバ内で開始させるステップと、
    該有機ケイ素化合物とともに該還元化合物を導入するステップと、
    窒素ドープされた炭化シリコン誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に真空を破壊せずに堆積させるステップと、
    を含む前記方法。
  40. 該還元化合物が、アンモニア又は窒素ガスと水素ガスの混合物を含む、請求項39記載の方法。
  41. 該還元化合物とともに不活性ガスを導入するステップを更に含む、請求項39記載の方法。
  42. 該有機ケイ素化合物が、トリメチルシラン、2,4,6,8-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ジメチルフェニルシラン、ジフェニルメチルシラン、及びそれらの組合せの群より選ばれる、請求項39記載の方法。
  43. 該有機ケイ素化合物とともに、不活性ガス、水素ガス、該還元化合物、又はそれらの組合せを導入するステップを更に含む、請求項39記載の方法。
  44. プラズマを開始させる該ステップが、単一周波数RF電源又はデュアル周波数RF電源によってプラズマを生成させる工程を含む、請求項39記載の方法。
  45. 該導電材料が、銅、ドープされた銅、又は銅合金を含む、請求項39記載の方法。
  46. 該1つ以上のパターン形成低k誘電体層が、炭化シリコン、ドープされた炭化シリコン、シリコンオキシカーバイド、又はそれらの組合せを含む、請求項39記載の方法。
  47. 基板を処理する方法であって、
    該基板を処理チャンバ内に配置するステップであって、該基板が1つ以上のパターン形成低k誘電体層とその中に形成された導電材料を含む前記ステップと、
    窒素と水素を含む還元化合物を第1流量で該処理チャンバに導入するステップと、
    該還元化合物の第1プラズマを該処理チャンバ内で開始させるステップと、
    該導電材料を該還元化合物の該プラズマに曝すステップと、
    該第1プラズマを停止させるステップと、
    有機ケイ素前駆物質を該処理チャンバに導入するステップと、
    該還元化合物を該第1流量を超える第2流量で導入するステップと、
    該有機ケイ素前駆物質と該還元化合物の第2プラズマを該処理チャンバ内で開始させるステップと、
    炭素ドープされた窒化シリコン誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に堆積させるステップと、
    該第2プラズマを停止させるステップと、
    該有機ケイ素前駆物質を該処理チャンバに導入するステップと、
    該還元化合物を該第2流量未満の第3流量で導入するステップと、
    該有機ケイ素前駆物質と該還元化合物の第3プラズマを該処理チャンバ内で開始させるステップと、
    窒素ドープされた炭化ケイ素誘電材料を該1つ以上のパターン形成低k誘電体層と導電材料上に真空を破壊せずに堆積させるステップと、
    を含む、前記方法。
JP2011114735A 2004-04-19 2011-05-23 低k誘電体と導電材料との接着改善 Pending JP2011228717A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/828,023 US20050233555A1 (en) 2004-04-19 2004-04-19 Adhesion improvement for low k dielectrics to conductive materials
US10/828,023 2004-04-19
US10/929,884 2004-08-30
US10/929,884 US7229911B2 (en) 2004-04-19 2004-08-30 Adhesion improvement for low k dielectrics to conductive materials

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007509570A Division JP4801662B2 (ja) 2004-04-19 2005-04-19 低k誘電体と導電材料との接着改善

Publications (1)

Publication Number Publication Date
JP2011228717A true JP2011228717A (ja) 2011-11-10

Family

ID=35045398

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007509570A Expired - Fee Related JP4801662B2 (ja) 2004-04-19 2005-04-19 低k誘電体と導電材料との接着改善
JP2011114735A Pending JP2011228717A (ja) 2004-04-19 2011-05-23 低k誘電体と導電材料との接着改善

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007509570A Expired - Fee Related JP4801662B2 (ja) 2004-04-19 2005-04-19 低k誘電体と導電材料との接着改善

Country Status (4)

Country Link
US (1) US7229911B2 (ja)
JP (2) JP4801662B2 (ja)
KR (1) KR101230326B1 (ja)
WO (1) WO2005109473A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014027285A (ja) * 2012-07-30 2014-02-06 Air Products And Chemicals Inc 酸素不含ケイ素系膜及びその形成方法
JP2014053341A (ja) * 2012-09-05 2014-03-20 Renesas Electronics Corp 半導体装置およびその製造方法
KR20170129475A (ko) * 2016-05-17 2017-11-27 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20060281299A1 (en) * 2004-08-18 2006-12-14 Jei-Ming Chen Method of fabricating silicon carbide-capped copper damascene interconnect
US7338857B2 (en) * 2004-10-14 2008-03-04 Ovonyx, Inc. Increasing adherence of dielectrics to phase change materials
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7396759B1 (en) 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7344897B2 (en) * 2005-05-04 2008-03-18 Intel Corporation Ferroelectric polymer memory structure and method therefor
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
JP4548280B2 (ja) * 2005-08-31 2010-09-22 ソニー株式会社 半導体装置の製造方法
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
US7691736B2 (en) * 2006-02-10 2010-04-06 Infineon Technologies Ag Minimizing low-k dielectric damage during plasma processing
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070232078A1 (en) * 2006-03-31 2007-10-04 Metz Matthew V In situ processing for ultra-thin gate oxide scaling
US7375021B2 (en) * 2006-04-04 2008-05-20 International Business Machines Corporation Method and structure for eliminating aluminum terminal pad material in semiconductor devices
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7544605B2 (en) * 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
DE102007022621B4 (de) * 2007-05-15 2017-06-01 Advanced Micro Devices Inc. Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
KR100993451B1 (ko) * 2007-06-05 2010-11-09 주식회사 엘지화학 광학 이방성 화합물 및 이를 포함하는 수지 조성물
WO2009055450A1 (en) * 2007-10-25 2009-04-30 Applied Materials, Inc. Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
EP2065927B1 (en) * 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US8278205B2 (en) * 2008-03-12 2012-10-02 Tokyo Electron Limited Semiconductor device and method for manufacturing the same
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US20100087062A1 (en) * 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
JP6167263B2 (ja) * 2013-03-06 2017-07-26 国立大学法人山口大学 窒素含有アモルファスシリコンカーバイドからなるn型半導体及びその製造方法
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
CN106298637B (zh) * 2015-06-01 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US11571876B2 (en) 2017-03-17 2023-02-07 Intel Corporation Dielectric film with pressure sensitive microcapsules of adhesion promoter
EP3973566A4 (en) * 2019-05-20 2023-05-24 Lam Research Corporation SIXNY USED AS A NUCLEATION LAYER FOR SICXOY

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020119250A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002246391A (ja) * 2001-02-21 2002-08-30 Nec Corp 半導体装置の製造方法
JP2003133412A (ja) * 2001-08-01 2003-05-09 Texas Instruments Inc 銅に対する誘電体層の接着改良方法
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2004079761A (ja) * 2002-08-19 2004-03-11 Nec Electronics Corp 半導体装置およびその製造方法
JP2004096052A (ja) * 2002-03-13 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法ならびに金属配線

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4795947A (en) * 1984-11-16 1989-01-03 Deutsche Thomson-Brandt Gmbh Device for eliminating the interline flicker
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
FR2666324B1 (fr) 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
US5324360A (en) 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5224441A (en) 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5480300A (en) 1992-05-15 1996-01-02 Shin-Etsu Quartz Products Co. Ltd. Vertical heat-treating apparatus and heat insulator
US5298597A (en) 1992-09-18 1994-03-29 Industrial Technology Research Institute Aqueous preparation of polyamide with catalyst mixture
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
SG64869A1 (en) * 1993-02-25 1999-05-25 Motorola Inc Receiver having concealed external antenna
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5441768A (en) 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
JPH07245332A (ja) 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607773A (en) 1994-12-20 1997-03-04 Texas Instruments Incorporated Method of forming a multilevel dielectric
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5599736A (en) 1995-06-28 1997-02-04 Vanguard International Semiconductor Corporation Fabrication method for polysilicon contact plugs
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
DE19637334A1 (de) 1996-09-13 1998-03-19 Bayer Ag Stabilisierte blockierte Isocyanate
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6143640A (en) 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
KR19990030660A (ko) 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6071813A (en) 1997-10-20 2000-06-06 Advanced Micro Devices, Inc. Method and system for electrical coupling to copper interconnects
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6555476B1 (en) * 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
JP3305251B2 (ja) 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6172421B1 (en) 1998-08-11 2001-01-09 Advanced Micro Devices, Inc. Semiconductor device having an intermetallic layer on metal interconnects
US6071809A (en) 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6169039B1 (en) 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
PL348252A1 (en) * 1998-12-22 2002-05-20 Firmenich & Cie Porous polymethylsilsesquioxane with adsorbent properties
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
SG125881A1 (en) * 1999-12-03 2006-10-30 Lytle Steven Alan Define via in dual damascene process
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US7122900B2 (en) * 2000-06-26 2006-10-17 Renesas Technology Corp. Semiconductor device and method manufacturing the same
US6573196B1 (en) * 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
KR100389032B1 (ko) * 2000-11-21 2003-06-25 삼성전자주식회사 강유전체 메모리 장치 및 그의 제조 방법
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6532150B2 (en) * 2001-05-31 2003-03-11 American Megatrends, Inc. Disk drive carrier apparatus and associated method
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030040195A1 (en) * 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
KR101005434B1 (ko) * 2002-04-26 2011-01-05 에이저 시스템즈 인크 신뢰성 개선을 위한 규화 구리 패시베이션
DE10239464B4 (de) * 2002-08-28 2007-05-31 Sabbagh, Aladin, Dr. Vorrichtung zur Behandlung des Kiefergelenks
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6818557B1 (en) * 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002246391A (ja) * 2001-02-21 2002-08-30 Nec Corp 半導体装置の製造方法
US20020119250A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2003133412A (ja) * 2001-08-01 2003-05-09 Texas Instruments Inc 銅に対する誘電体層の接着改良方法
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2004096052A (ja) * 2002-03-13 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法ならびに金属配線
JP2004079761A (ja) * 2002-08-19 2004-03-11 Nec Electronics Corp 半導体装置およびその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014027285A (ja) * 2012-07-30 2014-02-06 Air Products And Chemicals Inc 酸素不含ケイ素系膜及びその形成方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
JP2014053341A (ja) * 2012-09-05 2014-03-20 Renesas Electronics Corp 半導体装置およびその製造方法
KR20170129475A (ko) * 2016-05-17 2017-11-27 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
JP4801662B2 (ja) 2011-10-26
US20060046479A1 (en) 2006-03-02
WO2005109473A2 (en) 2005-11-17
WO2005109473A3 (en) 2006-01-12
KR20070007937A (ko) 2007-01-16
US7229911B2 (en) 2007-06-12
JP2007533171A (ja) 2007-11-15
KR101230326B1 (ko) 2013-02-06

Similar Documents

Publication Publication Date Title
JP4801662B2 (ja) 低k誘電体と導電材料との接着改善
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials
US7030041B2 (en) Adhesion improvement for low k dielectrics
US8569166B2 (en) Methods of modifying interlayer adhesion
US6890850B2 (en) Method of depositing dielectric materials in damascene applications
KR101122458B1 (ko) 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130712

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130718

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130814

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130819

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130913

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131015

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140422