TW201404918A - 不含氧的矽基膜及其形成方法 - Google Patents

不含氧的矽基膜及其形成方法 Download PDF

Info

Publication number
TW201404918A
TW201404918A TW102127178A TW102127178A TW201404918A TW 201404918 A TW201404918 A TW 201404918A TW 102127178 A TW102127178 A TW 102127178A TW 102127178 A TW102127178 A TW 102127178A TW 201404918 A TW201404918 A TW 201404918A
Authority
TW
Taiwan
Prior art keywords
ruthenium
film
precursor
oxygen
group
Prior art date
Application number
TW102127178A
Other languages
English (en)
Other versions
TWI504775B (zh
Inventor
Heather Regina Bowen
jian-heng Li
Mark Leonard O'neill
Manchao Xiao
Andrew David Johnson
Xinjian Lei
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201404918A publication Critical patent/TW201404918A/zh
Application granted granted Critical
Publication of TWI504775B publication Critical patent/TWI504775B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本文揭示的是不含氧的矽基膜及其形成方法。該等不含氧的矽基膜含有>50原子%的矽。在一方面中,該等矽基膜具有一組成SixCyNz,其中當藉由XPS測量時x係約51至100,y係0至49,而且z係0至50原子重量(wt.)百分比(%)。有一具體實施例中,該等不含氧的矽基膜係利用至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物例如1,4-二矽雜丁烷來沉積。

Description

不含氧的矽基膜及其形成方法 相關申請案之相互參照
本專利申請案請求2012年7月30日申請的先前美國臨時專利申請案序號第61/677,267號的權益。
本發明係關於不含氧的矽基膜及其形成方法。該等不含氧的矽基膜含有>50原子%的矽。
本文揭示的是含矽或矽基膜,其不含氧或實質上不含氧,及其形成方法。本文所述的矽基膜包括,但不限於,用於多種不同電子應用的非化學計量的碳化矽、碳氮化矽、氮化矽或非晶矽。
除了矽以外的其他元素也可能存在於該等不含氧的矽基膜中。這些其他元素有時候可能依據該膜最終的應用或所欲的最終性質故意經由沉積製程加於該組成混合物中。舉例來說,該元素氮(N)可加於該等矽基膜以形成可提供一定介電效能例如較低洩漏電流的碳氮化物或氮化矽膜。然而,依據應用,該膜中的某些元素可能不宜,即使是在較低 濃度下也一樣。
此參考資料,發明名稱為"Method of depositing a thin film on a semiconductor substrate"的IPCOM000172513D揭示用於將膜沉積於供半導體製造應用用的基材上的裝置及方法之非限定具體實施例。此參考資料描述在LPCVD反應器中於2至5托耳/400至550℃下使用下列前驅物中的一或更多者,三甲矽烷基胺(TSA)、1,4-二矽雜丁烷(1,4-DSB)及氨(NH3),以獲得SixCyNz膜。本具體實施例具有低溫沉積(低於550℃)、高蒸氣壓的前驅物及藉由變化反應物/比率調節碳含量的能力。
發明名稱為"Extra Low-Temperature SiC Deposition"的IPCOM000168604D揭示在熱CVD法中於低溫(<500℃)下沉積SiC薄膜。該SiC來源係以氮當作稀釋氣體的有機矽烷。該等有機矽烷氣體係經矽取代的烷類例如二矽雜丁烷(例如,1,3-二矽雜丁烷)及三矽雜庚烷(例如,2,4,6-三矽雜庚烷)。
美國專利第4,923,716號(“該‘716號專利”)描述藉由化學氣相沉積從單一分子物種中同時含有矽和碳的蒸氣來源沉積SiC。該等分子物種具有通式CnSinHm,式中m介於2n+1至4n+2,包括頭尾,而且n=2至6,包括頭尾,並且顯示製造同時含有矽和碳原子的反應性片斷的主要水解機構。該矽和碳原子按照等數目一起沉積於該等基材上並且於等速率下製造化學計量沉積的SiC。
美國專利第7,651,725號(“該‘725號專利”)揭示 藉由有機矽化合物和氧化性氣體的反應於約10W至約200W的一定射頻功率水準或約20W至約500W的脈衝射頻功率水準沉積低介電常數膜的方法及設備。該‘725號專利教導碳例如一些有機官能基留在經氧化的有機矽烷層中,促成低介電常數及優良的阻絕性質。
美國專利第7,972,975號及美國專利公報第2011/275507號揭示具有低介電常數的介電層的沉積,該等層係用以隔開金屬性互連件,尤其是在製造積體電路板(在該電路的BEOL部件中)的期間。該介電層包含低介電常數SiC及/或SiOC,而且係由至少一包含至少一"Si-"C”n-Si鏈(式中n=1)的前驅物製得。
美國專利公報第2010/0143609號揭示藉由CVD將一低碳含量的含矽膜形成於具有溝槽的基材上的方法包括:引進分子中具有3個烴單元並且具有35至220℃的沸點之含矽化合物;對該氣體施以射頻功率;及將膜沉積於具有溝槽的基材上,其中該基材係控制於使該含矽化合物的組分至少部分於該基材上液化的溫度下,藉以利用該膜填充該等溝槽。
美國專利第6,858,548號揭示一種用於將低介電常數層(k<3)沉積於平板顯示器上的方法。該方法包括使一或更多有機矽化合物與含氧化合物於約0.345至1.265W/cm2的射頻功率下反應。該平板顯示器包括一電漿顯示面板,該電漿顯示面板具有第一基板、多數沉積於該第一面板上的阻障層、第二基板、沉積於該第二基板上的低介電常數層(k<3)及 多數形成於該等阻障層與該介電層之間的接地電極。
美國專利公報第2003/0194496號揭示用於沉積低介電常數材料的方法。在一方面中,此參考資料描述一種用於沉積低介電常數材料的方法,其包括把包含含氫及氧的有機矽化合物、不含O的有機矽化合物或其組合的加工氣體引到加工艙中的基材表面並且使該加工氣體於加工條件下反應以將該低介電常數材料沉積於該基材表面上,其中該低k介電材料至少包含矽和碳。該加工氣體可能另外包括惰性氣體、介穩定化合物或其組合。該方法可能另外包括利用含氫電漿處理該低介電常數材料,將該沉積的低介電常數材料退火,或其組合。
美國專利公報第2010/233886描述形成包含Si的矽基膜(例如,但不限於,氧化矽、氧碳化矽、碳化矽及其組合)的方法,該等矽基膜顯示出下列特徵中之至少其一:低耐濕式蝕刻性、6.0或更低的介電常數及/或能忍受高溫、迅速熱退火製程。該等方法運用具有式R13Si-R2-SiR3的含矽前驅物(例如,舉例來說1,4-二矽雜丁烷)及氧來源,該氧來源係按照對於該矽前驅物低於1:1比率的莫耳量提供。
美國專利第6,448,187號及第6,743,737號揭示一種用於沉積低介電常數膜的方法及設備,其包括沉積氧化矽基膜,較佳為藉由有機矽化合物和氧化性氣體於約10W至約500W的低射頻功率水準下的反應,使該氧化矽基膜暴露於水或增添疏水性的表面活性劑例如六甲基二矽氮烷,及於提高溫度下將該氧化矽基膜固化。
美國專利第7,745,328號揭示一種藉由電漿強化化學氣相沉積法(PECVD)形成摻雜氧的低k介電阻障膜的方法。具有顯著降低的電流洩漏的碳化矽層係沉積於基材上。該碳化矽層擔任阻障層或也包括阻障層的阻障雙層的一部分的角色。
本文所述的是形成不含氧的矽材料或實質上不含氧,或,也可以藉由X-射線光電子光譜術(XPS)測量時包含約0至約2原子重量百分比的氧之包含矽、碳、任意地氮及其組合的膜之方法。在一方面中,該等矽基膜具有一組成SixCyNz,其中當藉由XPS測量時x係約51至100,y係0至49,而且z係0至50原子重量(wt.)百分比(%)。在另一方面中,該等矽基膜具有一組成SixCy,其中x係約51至99,而且y係約1至約25原子重量%。當藉由X-射線光電子光譜術(XPS)測量時該等不含氧的本文所述的矽基膜含有高於50原子重量%的矽。該等不含氧的矽基膜中的碳和氮的原子重量%可藉由改變沉積條件例如溫度,添加氮來源或其組合同時使該材料或膜中保持高於50原子重量%的矽來調整。
在一方面中,提供一種用於將不含氧的矽基膜形成於基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於一反應艙中;將至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物提供於該 反應艙中;及藉由選自由下列所組成的群組的沉積製程將該不含氧的矽基膜形成於該至少一表面上:化學氣相沉積(CVD)、低壓化學氣相沉積(LPCVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD),其中當藉由XPS測量時該不含氧的矽基膜包含約51至約99原子重量百分比的矽。在一方面中,該沉積製程包含LPCVD。在另一方面中,該沉積製程包含PECVD。
在另一方面中,提供一種用於形成具有式SixCyHz的不含氧的矽基膜之方法,其中當藉由XPS測量時x係約51至100,y係0至50,而且z係0至50原子重量(wt.)百分比(%),該方法包含:將該基材的至少一表面提供於一反應艙中;將至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物提供於該反應艙中;及任意將選自由氨、肼、單烷基肼、二烷基肼、一級胺、二級胺、三級胺及其混合物所組成的群組的含氮前驅物提供於該反應艙中;及藉由包含低壓化學氣相沉積(LPCVD)的沉積製程將該不含氧的矽基膜形成於該至少一表面上。在某些具體實施例中,該LPCVD沉積係於介於約200至約600℃的一或更多溫度下進行。在各個不同具體實施例中,該等不含氧的矽基膜中的 碳和氮的原子重量%可藉由改變該等LPCVD沉積條件例如溫度,添加含氮前驅物或其組合來調整。在使用含氮前驅物的具體實施例中,就LPCVD製程而言該含氮前驅物的量對該至少一有機矽前驅物的量之流量比例(R)介於約0.25至約20。
在另一方面中,提供一種用於將不含氧的矽基膜形成於基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於一反應艙中;將至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物提供於該反應艙中;任意將選自由氨、肼、單烷基肼、二烷基肼、一級胺、二級胺、三級胺及其混合物所組成的群組的含氮前驅物提供於該反應艙中;及藉由包含電漿強化化學氣相沉積(PECVD)的沉積製程將該不含氧的矽基膜形成於該至少一表面上,其中當藉由XPS測量時該不含氧的矽基膜包含約51至約99原子重量百分比的矽。在使用含氮前驅物的具體實施例中,就LPCVD製程而言該含氮前驅物的量對該至少一有機矽前驅物的量之流量比例(R)介於約0.25至約20,或其中該R等於或小於1。
在又另一方面中,描述用以運送用於沉積不含氧的矽基膜的前驅物之容器,該前驅物包含:至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物。在一特定具體實施例中,該容器包含至少一裝配適當閥及配件的可加壓的容器(較佳為不銹鋼 製)以便能將一或更多前驅物運送至用於該等沉積製程的反應艙。該至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯(例如但不限於C2鍵聯),的有機矽前驅物係選自由下列所組成的群組:
圖1係富含矽的碳化物膜的X-射線繞射光譜研究,該富含矽的碳化物膜係由表2的試驗11的1,4-二矽雜丁烷沉積並且具有表3中記載的性質。虛線(較淡)曲線係關於原沉積的膜,而實線(較暗)曲線係於650℃下退火之後。
圖2係關於本文所述而且藉由PECVD於300℃下沉積的矽基膜的洩漏-擊穿測量曲線。
本文描述的是實質上不含氧的矽基膜及其形成方法。用於本文時該措辭“實質上不含”意指當藉由XPS測量時包含2原子重量%或更少的氧的膜。該等不含氧的矽基膜顯示下列特徵之至少一或多者:比起熱氧化矽較低的濕式蝕刻速率(例如當暴露於稀釋的HF時)、較低洩漏電流、好的晶圓均勻性(該均勻性可藉著在該等晶圓的不同區域(例如5點地圖)上測量及標準偏差計算獲得)、保形性、氣相製程耐性(例如,舉例來說,氧化性電漿)及其組合。關於後面的特徵,比起熱氧化矽該等不含氧的矽基膜顯示較少至不變的性質及膜結構。
本文也有描述具有至少二SiH3基團,而且該至少 二SiH3基團的矽原子之間有至少一C2-3鍵聯,較佳為C2鍵聯,例如伸乙基橋基,的有機矽化合物之用途,其係用作沉積當藉由XPS測量時實質上不含或含有2原子重量%或更少的氧之不含氧膜的前驅物。該C2-3鍵聯係選自由下列所組成的群組的二基鏈:烷-1,2-二基、烷-1,3-二基、環狀烷-1,2-二基及環狀烷-1,3-二基。烷-1,2-二基及烷-1,3-二基的實例包括,但不限於,伸乙基(-CH2CH2-)、經取代的伸乙基(-CHMeCH2-、-CH(Me)CH(Me)-)、伸丙基(-CH2CH2CH2-)及經取代的伸丙基。環狀烷-1,2-二基及環狀烷-1,3-二基的實例包括環己烷-1,2-二基、環己烷-1,3-二基、環戊烷-1,2-二基、環戊烷-1,3-二基。該等有機矽前驅物的實例包括1,4-二矽雜丁烷(“1,4-DSB”)及其他具有類似結構的有機矽化合物。由該等前驅物所沉積的矽基膜顯示具有獨特的膜性質,例如富含矽(例如,具有高於50原子%的矽),及調整碳化矽膜中的Si、C或調整碳氮化矽中的Si、C及N含量的能力。
為了形成包含矽、碳及任意氮而且實質上不含氧的介電膜,吾人所欲為該有機矽前驅物不含氧。另外吾人所欲為,在某些具體實施例中,該等前驅物的反應性足以於較低溫度(例如,600℃或更低)下沉積膜。儘管要求前驅物反應性,但是該前驅物也必須安定到足以不隨著時間降解或改變到任何顯著程度(例如,少於每年1%變化)。不欲受到理論束縛,文中所述的矽原子間包含伸乙基橋基的有機矽化合物,例如1,4-二矽雜丁烷,顯示於高溫沉積溫度(例如,about 450℃或更高)下使該等C-Si鍵分裂的特殊趨勢。當矽基團與伸乙基 橋基斷開時,在橋基頭部的碳原子上形成自由基或陽離子。另一位於β-位置上的矽透過超共軛效應(hyperconjugation)提供穩定性給該自由基或陽離子,或係Si-C鍵的填滿σ-軌域,其將電子供給空的或單佔據的p-軌域。這也稱作β-矽效應。此超共軛的中間體伴隨第二個Si-C鍵斷裂進一步分解。淨結果是伸乙基橋基呈揮發性副產物消除,而且產生化學反應性矽物種,其隨後相互反應以將文中所述的非矽基膜沉積於該基材上。本文所述的矽基膜不含偵測得到的氧而且如本文的實施例1提供的數據所示對隨著時間的周遭降解(例如氧化、水解等等)保持安定。再者,該等矽基膜富含矽或當藉由XPS測量時具有高於約50原子重量%的矽。
以下是具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,較佳為C2鍵聯,的有機矽化合物的非限定例:
該等不含氧的矽基膜、材料或塗層係經由沉積製程形成。適用於本文所揭示的方法的沉積製程之實例包括,但不限於,低壓化學氣相沉積(LPCVD)、循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣相沉積、電漿強化化學氣相沉積(“PECVD”)、高密度PECVD、光子輔助CVD、電漿-光子輔助(“PPECVD”)、低溫化學氣相沉積、化學輔助氣沉沉積、熱絲極化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積、低能CVD(LECVD)。在一方面中,該沉積製程包含LPCVD。在另一方面中,該沉積製程包含PECVD。
在某些具體實施例中,該等含金屬的膜係經由電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程沉積。用於本文時,該措辭“化學氣相沉積製程”表示任何製程。將基材暴露於一或更多揮發性前驅物,使其於該基材表面上反應及/或分解以產生預期的沉積。用於本文時,該措辭 “原子層沉積製程”表示自限性(例如,在各反應循環時沉積的膜材料量固定不變)的連續表面化學,其將多種材料的保形膜沉積於變化組成的基材上。儘管本文使用的前驅物、試劑及來源有時候可能被描述為“氣態”,但是咸瞭解該等前驅物也可能是經由直接汽化、起泡或昇華而利用或沒用惰性氣體輸送至該反應器中的液體或固體。在一些案例中,汽化的前驅物能通過電漿產生器。有一具體實施例中,該矽基膜係利用ALD製程沉積。在另一具體實施例中,該矽基膜係利用CVD製程沉積。在另一具體實施例,該矽基膜係利用熱CVD製程沉積。
依據該沉積方法,在某些具體實施例中,該一或更多矽基前驅物可於預定莫耳體積或約0.1至約1000微莫耳下引進該反應器。在各個不同具體實施例中,該矽基前驅物可引進該反應器經歷預定時期,或約0.001至約500秒。
本文揭示的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉未消耗的反應物及/或反應副產物,係不會與該等前驅物反應性惰性氣體。例示性惰性氣體包括,但不限於,Ar、N2、He、氖、H2及其混合物。在某些具體實施例中,於介於約10至約2000sccm的流速下把洗淨氣體例如Ar供入該反應器經歷約0.1至1000秒,藉以洗淨未反應的材料及可能留在該反應器中的任何副產物。
在某些具體實施例中,在一定的沉積條件之下純粹,或沒用他反應物或載體氣體,引進該有機矽前驅物以形成固體。在各個不同具體實施例中,可運用氬及/或其他氣體流當作載體氣體以協助在該前驅物脈衝的期間運送該至少一 矽基前驅物的蒸氣至該反應艙。
該至少一矽前驅物可按照各式各樣的方式運送至該反應艙例如循環式CVD或ALD反應器。有一具體實施例中,可利用液體運送系統。在一替代具體實施例中,可運用液體運送及閃蒸聯合製程單元,例如,舉例來說,明尼蘇達州,休爾瓦的MSP有限公司所製造的渦輪汽化器使低揮發性材料能夠以體積測定方式輸送,導致可再現的運送及沉積而不會使該前驅物熱分解。在液體輸送配方中,文中所述的前驅物可以純液體形態運送,或者,可以溶劑配方或包含該前驅物的組合物加以運用。因此,在某些具體實施例中該等前驅物配方可包括指定的最終用途應用可能想要及有益的適合特性的溶劑組分以在基材上形成膜。
在該反應艙中的沉積溫度介於100℃至600℃。例示性沉積溫度包括以下端點之一或多者:100℃、150℃、200℃、250℃、300℃、350℃、400℃、450℃、500℃、550℃及600℃。沉積溫度的適合範圍實例包括但不限於,100℃至400℃、200℃至450℃或300℃至600℃。
在某些具體實施例中,在該反應艙內的沉積製程期間壓力介於約0.5至約6托耳,或約2至約4托耳,或約3至約5托耳。關於PECVD沉積製程,在該沉積製程期間壓力可介於約2至約6托耳。關於LPCVD沉積製程,在該沉積製程期間壓力可介於約0.25至約1.25托耳或約1托耳。
將能量施於該前驅物、其他非氧來源、還原劑、其他前驅物或其組合之至少其一以引發反應並且在該基材上 形成該矽基膜或塗層。此能量可經由,但不限於,熱、電漿、脈衝電漿、螺旋電漿(helicon plasma)、高密度電漿、誘導耦合電漿、X-射線、電子束、光子及遠距電漿方法來提供。在某些具體實施例中,可使用二次射頻頻率來源以改變該基材表面的電漿特性。在沉積涉及電漿的具體實施例中,該電漿產生製程可包含在該反應器中直接產生電漿的直接電漿產生製程,或選擇性地在該反應器外側產生電漿而且供應至該反應器中的遠距電漿產生製程。
在典型的ALD或CVD製程中,在最初暴露於該矽基前驅物的反應艙之加熱器段上加熱基材例如氧化矽基材,以讓該錯合物能化學吸附於該基材的表面上。
咸瞭解文中所述的方法的步驟可依照各種不同的順序進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間),及其任何組合進行。供應該等前驅物及其他來源氣體(氮來源;碳來源)的個別步驟可藉由變化供應彼等的時期以改變所得的矽基膜的化學計量組成。
關於多組分矽基膜,其他前驅物例如矽基前驅物、含氮前驅物、還原劑或其他試劑之至少其一可輪流引進該反應艙。
該含氮前驅物可選自由氨、肼、單烷基肼、二烷基肼、一級胺、二級胺、三級胺及其混合物所組成的群組。例示性單烷基肼包括,但不限於,甲基肼、第三丁基肼。例示性二烷基肼包括,但不限於,1,1-二甲基肼。例示性一級胺包括,但不限於,甲基胺、乙基胺、異丙基胺、第三丁基胺。 例示性二級胺包括,但不限於,二甲基胺、二乙基胺、二異丙基胺。例示性三級胺包括,但不限於,三甲基胺、三乙基胺、吡啶。
該比率“R”在本文中定義為該至少一其他前驅物或試劑,例如含氮前驅物,的量對該至少一有機矽前驅物的量之流量比。因此,R係該至少一其他試劑或前驅物的流速對該流到該沉積或反應艙的至少一有機矽前驅物的流速之比例。舉例來說,當給CVD或LPCVD製程添加含氮前驅物時R可介於約0.25至約20。對應用PECVD製程的具體實施例而言,R係小於等於1或約0.25至約1。
在某些具體實施例中,所得的矽基膜或塗層可暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。
由1,4-二矽雜丁烷沉積的膜證明優於其他已知烷基矽烷類的獨特膜性質。利用1,4-二矽雜丁烷沉積的矽基膜的LPCVD主要是摻雜一些碳的非晶矽。該等膜的折射率(RIs)使該等矽基膜超越先前技藝記載的碳化矽類的範圍例如當在相同條件之下沉積時使用1,3-二矽雜丁烷沉積的碳化矽具有2.3的RI,而運用1,4-二矽雜丁烷矽基膜類具有3.2左右的RI。在某些具體實施例例如使用LPCVD沉積的膜中,就由1,4-二矽雜丁烷不用氨沉積的碳化矽或由1,4-二矽雜丁烷配合氨沉積的碳氮化矽而言由1,4-二矽雜丁烷所沉積的膜均保持高於50原子%的矽。在氫存在的情形下利用1,4-二矽雜丁 烷沉積的矽基膜的PECVD也顯示高於50原子%的矽。
在另一具體實施例中,本文描述的是一種用於沉積矽基膜的容器,該矽基膜包含至少一具有至少二SiH3基團的有機矽前驅物。在一特定具體實施例中,該容器包含至少一裝配適當閥及配件的可加壓的容器(較佳為不銹鋼製)以便能將該至少一有機矽前驅物運送至用於CVD、LPCVD或ALD製程的反應器。在各個不同具體實施例中,把該至少一具有至少二SiH3基團的有機矽前驅物提供於包含不銹鋼的可加壓的容器而且該前驅物的純度係98重量%或更高或99.5%或更高,其適用於大部分半導體應用。在某些具體實施例中,必要的話這樣的容器也能具有用於混合該至少一有機矽前驅物與一或更多其他前驅物的機構。在各個不同具體實施例中,該(等)容器的內容物可與另一前驅物預混合。也可以,該至少一有機矽前驅物及/或其他前驅物能保持於分開的容器中或具有分隔機構的單一容器中以便使該有機胺基矽烷前驅物及其他前驅物在儲存的期間保持分開。
關於在包含溶劑和至少一具有本文所述的有機矽前驅物之組合物中使用該至少一有機矽前驅物之具體實施例,所選擇的溶劑或其混合物均不會與該矽前驅物反應。在該組合物中的溶劑量以重量百分比計介於0.5重量%至99.5%或10重量%至75%。在各個不同具體實施例中,該溶劑具有類似於該至少一有機矽的沸點之沸點(b.p.)或該溶劑的沸點與該至少一有機矽前驅物的沸點之間的差異為40℃或更低、30℃或更低或20℃或更低或10℃或更低。也可以,該等沸 點之間的差異介於以下端點之任一或多者:0、10、20、30或40℃。沸點差異的適合範圍之實例包括,但不限於,0至40℃、20℃至30℃或10℃至30℃。該等組合物中的適合溶劑之實例包括,但不限於,醚(例如1,4-二噁烷、二丁基醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基乙二胺)、腈(例如苯甲腈)、烷(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、均三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。
如先前所述,該至少一有機矽前驅物的純度水準高到足以為可靠性半導體製造所接受。在某些具體實施例中,文中所述的至少一有機矽包含低於2重量%或低於1重量%或低於0.5重量%的以下雜質之一或多者:游離胺類、游離鹵基或鹵離子,及較高分子量物種。較高純度水準的文中所述的有機矽前驅物可透過以下製程之一或多者獲得:純化、吸附及/或蒸餾。該等具有至少二SiH3基團的有機矽前驅物的雜質可能來自於所用的原料、所用的溶劑、副反應或副產物。 舉例來說,1,4-DSB可在溶劑中金屬氫化物或四氫化鋰鋁存在的情形下經由1,1,1,4,4,4-六氯二矽雜丁烷或1,4-烷氧基二矽雜丁烷的還原製備。在某些具體實施例中,含氧的溶劑例如四氫呋喃、甘醇二甲醚類或任何其他副產物,必須經由純化製程除去以消除任何可能併入所得的矽基膜中的氧。在一些案例中,該等副產物可能是可當沉積矽基膜的雜劑使用的有機矽化合物。
在某些具體實施例中,所得的含矽膜或塗層可暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光、熱及/或其他處理以影響該膜的一或更多性質。在一特定具體實施例中,對該矽基膜施以於介於約500至約1000℃的一或更多溫度下的熱退火。在某些具體實施例中,本文所述的含矽膜具有10或更小、9或更小、7或更小、6或更小或5或更小的介電常數。然而,咸能想像具有其他介電常數(例如,更高或更低)的膜均能依據該膜預期的最終用途形成。使用本文所述的有機矽前驅物及方法形成的含矽膜的實例具有此式SixCyNz,其中當舉例來說藉由XPS或其他裝置測定時,Si介於約51%至約100%或約55%至約85%;C介於約0%至約50%或約5%至約25%;N介於約0%至約50%或約0%至25%的原子百分比重量%,其中x+y+z=100原子重量百分比。
本文所述的膜可能適用於當作鈍化層或犧牲層,例如但不限於,蝕刻擋止層或密封阻障層。本文所述的膜也可用於固態電子裝置例如邏輯記憶體、發光二極體(LEDs)、裝置的平面、圖案化電腦晶片、光學裝置、磁性資料儲存、在支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶顯示器(LCD)。
如先前提及的,本文所述的方法可用以將含矽膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、加氫的碳化矽、氮化矽、加氫的氮化矽、碳氮化矽、加氫的碳氮化矽、氮化 硼、抗反射塗層、光阻劑、撓性基材、有機聚合物、多孔性有機及無機材料、金屬例如銅和鋁及擴散阻障層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與各式各樣的後續加工步驟相容例如,舉例來說,化學機械平坦化(CMP)及各向異性蝕刻製程。
下列實施例舉例說明用於製備有機有機胺基二矽烷前驅物以及沉積本文所述的含矽膜之方法而且沒有按照任何方式限制彼的意圖。
實施例 實施例1:低壓化學氣相沉積-LPCVD
利用由德國ATV股份有限公司製造的LPCVD爐,於多種不同溫度下沉積運用1,4-二矽雜丁烷得到的矽基膜並且按照純前驅物的方式或在氨存在的情形下引進該爐。所有含矽膜均沉積於中等電阻率(8至12Ωcm)的單晶矽晶圓基材上。把各膜沉積的數據彙總於表1和表2中。在這些表中,“ND”意指沒偵測到。在後面的實施例中,厚度及光學性質例如該等膜於648nm的折射率之測量係利用標準反射計或橢圓測量系統例如,舉例來說,靠著FilmTek 2000SE橢圓儀,並且利用眾所周知的數據擬合技術進行。關於所有以上分析的典型膜厚度介於約20至約150奈米(第16和17次試驗除外,其中膜厚度太低而無法進行膜組成分析)。該等膜的化學組成特徵化係利用Physical Electronics 5000VersaProbe XPS光譜儀完成,其配備多通道板偵測器(MCD)及鋁單色X-射線 來源。該XPS數據利用Alkα X-射線激發(25mA及15kV)來收集。表1中的所有密度及結晶度測量係利用X-射線反射率(XRR)完成。
該1,4-二矽雜丁烷運送至該爐中係透過蒸氣牽引(vapor draw)並且使用質流控制器(MFC)計量該蒸氣流量。在這些試驗期間的爐壓變化於200mT至1750mT,而且如表2所示典型艙壓為1000mT(1T)。在沉積的期間該1,4-二矽雜丁烷進入該爐的典型流速如表2所示典型介於10至33標準立方公分(sccms)。
表1彙總使用該ATVLPCVD爐的1,4-二矽雜丁烷的7個連續試驗的數據。關於表1中呈現的數據,1,4-二矽雜丁烷的前驅物流量維持固定於27sccms,爐溫及沉積時間而且對於某些試驗沉積隨著氨氣的添加發生(試驗1至3)。對於這些利用氨的試驗,在沉積的期間氨係於20sccms下添加。所有試驗均使爐壓維持固定於1托耳。
有關試驗4,於550℃下,依照純前驅物的方式,1,4-二矽雜丁烷所得的膜主要為非晶矽加上一些碳加入。這能藉著3.63和3.68之非常高的RI見到,遠超過一般為2.8之碳化矽的折射率範圍。
當該爐溫降低時,該膜中的碳含量降低而且總體RI滑落,而且該RI於475℃下掉到3.29或3.32。
引進氨造成一些氮加入該等膜中,但是該膜仍舊主要富含矽(例如,具有高於50原子重量%Si)而且RI介於500℃下的2.8(試驗1)至550℃下的3.50(試驗2)。
那些結果指示該等膜性質可藉由調整沉積的溫度、添加氨或二者加以變化。舉例來說,該氨的添加造成更多氮加入該膜而且溫度的降低造成較少碳加入該膜,該膜在碳化矽或碳氮化矽膜任一者中還是依然保持高於50原子%的矽。
該高於50原子%的矽可藉著表1所提供的組成數據見到,其中該等原子百分比係省略氫(XPS偵測不到)算出來並且標準化為100%。該等膜的組成係藉由XPS測量。結果 指示該膜主要為有一些碳,或加入碳和氮的含矽膜。
藉由純前驅物見到的折射率(試驗4)指示極富含矽的膜不是碳化矽而且XPS也證明該等膜中的高Si:C比例。於500℃的溫度下,該Si:C比例接近3:1(表1的試驗6),那與隨著沉積溫度降低加入較少碳的情形一致。
X-射線繞射(XRD)顯示該等膜事實上為非晶形,不是結晶性。此沉積利用氨的添加將氮加入該膜。最終的膜性質可根據氨的添加及控制沉積溫度來調整以調整碳和氮的加入。
表2顯示第2組膜沉積的結果,其檢視前驅物流量、爐壓、沉積溫度及依據膜組成變化氨流速的效應。參照表2,表2中所有晶圓的膜厚度均高於50nm,但是試驗16除外,其沒有沉積,而且試驗17為0.45nm,太薄而無法進行XPS測量。
如以上表2所示,溫度對於沉積速率的變化最大。關此,當該沉積溫度滑落時,該沉積速率減緩。爐壓(試驗11、15、16及18)對該膜也有些影響,但是250mT的超低壓(試驗16)除外,其中沒達到沉積的目的,可能是由於在該爐內的壓力計畫之下該前驅物與氣體於該爐中的共振時間不足所致。
該膜內的氮含量的調整可藉由在沉積期間變化氨相對對1,4-二矽雜丁烷的量達成,也就是說藉由變化比例R。氨相對於1,4-二矽雜丁烷的高流量(高R)造成該膜中更多氮。然而,唯獨藉著高的氨對1,4-二矽雜丁烷比例可能將顯著量的氮加入該膜。利用10的NH3:1,4-二矽雜丁烷比例R(如表2的試驗14所示的10:1流速),藉由XPS偵測氮在該沉積膜中達到約28% N的最高濃度。然而,儘管變化氨的量,但是矽在該等膜中仍舊維持高於50原子重量%。
利用1,4-二矽雜丁烷及氨沉積的矽膜仍舊顯示比RI變化於2.8與3.1之間的典型氮化矽或碳化矽更高的RIs。如同利用純前驅物沉積,該等沉積膜的XPS仍舊顯示相對於碳(2.2:1)和氮(9.5:1)二者極高的矽比例。XRD也顯示該膜主要為非晶矽。利用XRD測量時膜密度就低溫CVD沉積而言在預期範圍以內,而且密度在7E+22at/cc左右。
該X-射線光電子光譜術(XPS)係用以分析關於由表1試驗編號2、4和6的1,4-二矽雜丁烷所沉積的膜之膜組成。該等光譜利用CasaXPS配合30%高斯分佈(Gaussian distribution)擬合曲線。關於該化合物普通使用的是FWHM。
經過第一次濺射間隔之後在該Si 2p區中見到的組分數目降至1或有時候2,而且該Si-C組分佔多數,同時氧不存在(沒偵測到)而且氮可能依照未定比例的氮化矽的方式存在。該C 1s區中的主要組分非常窄。這可能意指該碳屬於石墨的而非碳化物的。試驗2樣品的C 1s中的主要組分之FWHM係1.1eV,與碳化物峰一致。試驗4和6的C 1s中的主要組分具有1.0eV的FWHM,那就碳化物峰而言有點窄但是就石墨峰而言也稍微寬。
圖1顯示在表2的試驗條件11之下製造的矽基膜的XRD,該矽基膜係於500℃下利用10sccms 1,4-二矽雜丁烷沉積。利用掠入射XRD,GI-XRD,沒發現結晶相,表示該膜是非晶形。再者,圖1顯示沒有結晶性SiCN、SiC、SiN或SiO2相存在。
表3顯示經過前向氫散射(forward hydrogen scattering;FHS)的退火之前和之後圖1使用的膜(那也是表2的沉積試驗11)的組成。該膜的氫含量由於退火而降低而且當藉由XRD測量時膜密度有稍微變化。膜密度的降低表示該膜對於熱退火相當安定。再者,該退火不會產生結晶相。該原沉積膜和該退火過的膜之膜安定性均非常安定而且不易受氧化或水解作用影響。經過暴露於無濕度控制的實驗室氣氛之後無論在該XPS或FHS測試時該膜中均未偵測到氧。
當對著利用類似的矽前驅物1,3-二矽雜丁烷(1,3-DSB),其中該二矽原子藉由亞甲基連接,沉積的膜做比較時將顯出由1,4-二矽雜丁烷(1,4-DSB)沉積的膜的獨特之處。當在相同條件之下沉積時,源於1,3-二矽雜丁烷的膜證明更像典型的碳化矽膜而且主要不是形成非晶矽膜,那與先前技藝揭示的一致,也就是說,形成化學計量的碳化矽。
表4提供這兩種膜的直接比較。由1,3-二矽雜丁烷沉積的膜提供RI為2.58的典型碳化矽膜而1,4-二矽雜丁烷在相同沉積條件之下卻產生RI為3.68之主要富含矽的碳化物膜。當該二前驅物配合氨沉積時,由1,3-二矽雜丁烷沉積的膜的折射率比典型的化學計量的碳化矽更低,但是該1,4-二矽雜丁烷膜的RI卻高於碳化矽或碳氮化矽的RI,而且即使是加入氮仍舊富含矽(例如,具有高於50原子重量%)。
其他膜係利用該前驅物2,4-二矽雜戊烷(2,4-DSP)沉積以與利用表4所示的相同沉積條件於550℃的沉積溫度下而且有用和沒用氨的1,4-二矽雜丁烷做比較。不像於550℃及高於50Å/min的沉積速率下沉積膜的1,4-二矽雜丁烷,該前驅物2,4-DSP一點沒產生膜。當該爐溫提昇至600℃時,2,4-DSP在2.61A/min左右沉積而且於650℃下沉積速率接近13Å/min。利用2,4-DSP及20sccms NH3沉積的膜的折射率分別於600℃下為2.18而且於650℃下為2.15。利用2,4-DSP沉積的膜並未產生類似於利用1,4-DSB製成者的膜性質。這證明二矽原子之間具有伸乙基橋基的有機矽前驅物傾向於形成具有比其他該二矽原子之間具有亞甲基橋基的前驅物例如1,3-DSB和2,4-DSP更好的沉積表現、膜性質或二者的矽基 膜。再者,該1,3-DSB和該2,4-DSP膜二者的折射率指示該等膜中的矽的原子重量%沒高過50%,否則這些膜將會顯示更高的RI。
實施例2:電漿強化化學氣相沉積-PECVD
把該等含矽膜沉積於中等電阻率(8至12Ωcm)的單晶矽晶圓基材上。所有沉積均靠在安裝Astron EX遠距電漿產生器的200mm DXZ艙中的Applied Materials Precision 5000系統進行,該電漿產生器應用甲矽烷或TEOS製程套組。該PECVD艙有裝配直接液體注射運送毛細管。除了甲矽烷以外,所有前驅物均為液體,而且運送溫度取決於該前驅物的沸點。典型液體前驅物流速介於100至800mg/min;電漿功率密度介於0.75 to 2.5W/cm2;而且壓力介於0.75至8托耳。於632nm下的厚度和折射率(RI)係藉由反射計測量。典型膜厚度介於100至1000nm。該等含矽膜的鍵結性質氫含量(Si-H、C-H及N-H)係藉由Nicolet穿透式傅利葉(Fourier)轉換紅外線光譜(FTIR)儀器測量並且分析。所有密度測量係利用X-射線反射率(XRR)完成。X-射線光電子光譜術(XPS)和拉塞福(Rutherford)背向散射光譜術(RBS)分析係進行以測定該等膜的元素組成。濕式蝕刻速率(WER)係於10:1緩衝氧化物蝕刻(BOE)溶液及稀HF(達於5%)溶液中測量。關於電氣性質,包括介電常數、洩漏電流及擊穿電場(breakdown field),則採用水銀探針。
有機矽前驅物係利用彙總於以下表5和6中的方 法來沉積。無氧的含矽膜係利用1,4-二矽雜丁烷當作前驅物,50至100sccm的前驅物流速,25sccm至50sccm的NH3流量(H2保持不變於750sccm),2至6托耳的艙壓,300至800W的射頻功率(13.56MHz),及設定於200和300℃下的沉積溫度沉積。所有情況均添加300sccm的氦載體流量。
在表1中,沉積試驗編號1,該矽基膜中的Si-H鍵含量係1.33x1022cm-3而且C-H係1.23x1022cm-3。此膜的擊穿電壓係1.9MV/cm。
關於SiCN膜,頃發現富含矽的膜偏好的條件包括較低功率、較低壓力、較低氨流量及相對較高溫度。舉例來說,在沉積試驗編號17中,在該矽基膜中該Si-H鍵含量係1.74x1022cm-3,C-H係1.35x1022cm-3而且N-H係8.38x1020cm-3。如圖2所示,擊穿電壓係3.43MV/cm。該擊穿電壓與表5中沉積試驗編號1的SiC膜(其係1.9MV/cm)相比時獲得顯著改善。
熱退火係對利用1,4-二矽雜丁烷沉積的矽基膜當中某些於700℃的溫度下進行。熱退火結果顯示具有低於50原子重量%矽的矽基膜比具有高於50原子重量%矽的矽基膜更具熱安定性。這些結果與該等LPCVD沉積的矽基膜見到的結果不同。
如以上表6所示關於該等PECVD沉積,唯獨當介於1,4-二矽雜丁烷與NH3之間的比例等於或高於1:1時才獲得高於50原子重量%的矽含量。沉積試驗編號20顯示較低比例產生缺矽的SiCN膜。這與關於LPCVD沉積的實施例1見到的不同。

Claims (21)

  1. 一種用於將不含氧的矽基膜形成於基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於一反應艙中;將至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物提供於該反應艙中;及藉由選自由下列所組成的群組的沉積製程將該不含氧的矽基膜形成於該至少一表面上:化學氣相沉積(CVD)、低壓化學氣相沉積(LPCVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD),其中當藉由X-射線光電子光譜術(XPS)測量時該不含氧的矽基膜包含約51至約99原子重量百分比的矽。
  2. 如申請專利範圍第1項之方法,其中該至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物係選自由下列所組成的群組:
  3. 如申請專利範圍第2項之方法,其中該至少一矽前驅物係1,4-二矽雜丁烷。
  4. 如申請專利範圍第1項之方法,其中該沉積步驟係於介於約100℃至650℃的一或更多溫度下進行。
  5. 如申請專利範圍第1項之方法,其中該不含氧的矽基膜係選自由碳化矽膜、氮化矽膜及碳氮化矽膜所組成的群組。
  6. 如申請專利範圍第1項之方法,其中該沉積製程包含LPCVD。
  7. 如申請專利範圍第6項之方法,其另外包含藉由改變該一或更多溫度調整該不含氧的矽基膜中的碳的原子%之步驟。
  8. 如申請專利範圍第6項之方法,其中該不含氧的矽基膜係富含非晶矽的膜。
  9. 如申請專利範圍第1項之方法,其中該沉積製程包含PECVD。
  10. 如申請專利範圍第9項之方法,其另外包含提供含氮前驅物而且其中該含氮前驅物的量對該至少一有機矽前驅物的量之比例介於約0.25至約1。
  11. 一種用於將具有式SixCyHz的不含氧的矽基膜形成於基材的至少一表面上之方法,其中當藉由XPS測量時x係約51至100,y係0至50,而且z係0至50原子重量(wt.)百分比(%),該方法包含:將該基材的至少一表面提供於一反應艙中; 將至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物提供於該反應艙中;及任意將選自由氨、肼、單烷基肼、二烷基肼、一級胺、二級胺、三級胺及其混合物所組成的群組的含氮前驅物提供於該反應艙中;及藉由包含低壓化學氣相沉積(LPCVD)的沉積製程將該不含氧的矽基膜形成於該至少一表面上。
  12. 如申請專利範圍第11項之方法,其中該至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物係選自由下列所組成的群組:
  13. 如申請專利範圍第12項之方法,其中該至少一矽前驅物係1,4-二矽雜丁烷。
  14. 如申請專利範圍第11項之方法,其中該沉積步驟係於介於約100℃至650℃的一或更多溫度下進行。
  15. 如申請專利範圍第11項之方法,其中該方法另外包含提供含氮前驅物而且其中該含氮前驅物的量對該至少一有機矽前驅物的量之比例介於約0.25至約20。
  16. 如申請專利範圍第11項之方法,其中該不含氧的矽基膜係 選自由碳化矽、氮化矽及碳氮化矽所組成的群組。
  17. 如申請專利範圍第11項之方法,其中該不含氧的矽基膜係富含非晶矽的膜。
  18. 如申請專利範圍第11項之方法,其另外包含一退火步驟。
  19. 一種用於將不含氧的矽基膜形成於基材的至少一表面上之方法,該方法包含:將該基材的至少一表面提供於一反應艙中;將至少一具有至少二SiH3基團,而且該至少二SiH3基團的矽原子之間有至少一C2-3鍵聯,的有機矽前驅物提供於該反應艙中;任意將選自由氨、肼、單烷基肼、二烷基肼、一級胺、二級胺、三級胺及其混合物所組成的群組的含氮前驅物提供於該反應艙中;及藉由包含電漿強化化學氣相沉積(PECVD)的沉積製程將該不含氧的矽基膜形成於該至少一表面上,其中當藉由XPS測量時該不含氧的矽基膜包含約51至約99原子重量百分比的矽。
  20. 如申請專利範圍第19項之方法,其中該反應艙中的反應溫度介於100℃至650℃。
  21. 如申請專利範圍第19項之方法,其包含:提供含氮前驅物而且其中該含氮前驅物的量對該至少一有機矽前驅物的量之比例介於約0至約1。
TW102127178A 2012-07-30 2013-07-29 不含氧的矽基膜及其形成方法 TWI504775B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261677267P 2012-07-30 2012-07-30
US13/949,420 US9243324B2 (en) 2012-07-30 2013-07-24 Methods of forming non-oxygen containing silicon-based films

Publications (2)

Publication Number Publication Date
TW201404918A true TW201404918A (zh) 2014-02-01
TWI504775B TWI504775B (zh) 2015-10-21

Family

ID=48917373

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102127178A TWI504775B (zh) 2012-07-30 2013-07-29 不含氧的矽基膜及其形成方法

Country Status (6)

Country Link
US (1) US9243324B2 (zh)
EP (1) EP2692897B1 (zh)
JP (2) JP5788932B2 (zh)
KR (1) KR101640153B1 (zh)
CN (1) CN103572251B (zh)
TW (1) TWI504775B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI697577B (zh) * 2015-11-12 2020-07-01 荷蘭商Asm Ip控股公司 形成氮碳氧化矽薄膜的方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
JP5855691B2 (ja) 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6340251B2 (ja) * 2014-05-30 2018-06-06 東京エレクトロン株式会社 SiCN膜の成膜方法
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
CN107002236B (zh) 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
KR20190141034A (ko) * 2015-02-06 2019-12-20 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
TWI706957B (zh) 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
TWI724141B (zh) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
CN116892014A (zh) * 2017-04-13 2023-10-17 应用材料公司 用于沉积低介电常数膜的方法与设备
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
WO2020242868A1 (en) * 2019-05-24 2020-12-03 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4863755A (en) * 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4923716A (en) 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5204141A (en) 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JPH073822A (ja) 1993-06-19 1995-01-06 Kyushu Sefutei:Kk 柱または壁用孔付コンクリート製品およびその型枠
KR960012710B1 (ko) 1993-10-11 1996-09-24 한국화학연구소 단일 유기규소 화합물을 이용한 탄화규소 막의 제조
TW285753B (zh) 1995-01-04 1996-09-11 Air Prod & Chem
EP1607493B1 (en) * 1998-02-11 2008-12-10 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6858548B2 (en) 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US20060008661A1 (en) 2003-08-01 2006-01-12 Wijesundara Muthu B Manufacturable low-temperature silicon carbide deposition technology
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
TW201214526A (en) 2010-07-02 2012-04-01 Matheson Tri Gas Inc Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8921014B2 (en) * 2011-10-14 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and method of forming a lithography mask
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI697577B (zh) * 2015-11-12 2020-07-01 荷蘭商Asm Ip控股公司 形成氮碳氧化矽薄膜的方法
TWI759747B (zh) * 2015-11-12 2022-04-01 荷蘭商Asm Ip控股公司 形成氮碳氧化矽薄膜的方法

Also Published As

Publication number Publication date
KR101640153B1 (ko) 2016-07-15
US9243324B2 (en) 2016-01-26
CN103572251B (zh) 2016-08-24
JP6356630B2 (ja) 2018-07-11
JP2014027285A (ja) 2014-02-06
EP2692897A1 (en) 2014-02-05
US20140030448A1 (en) 2014-01-30
KR20140016203A (ko) 2014-02-07
TWI504775B (zh) 2015-10-21
JP2015146461A (ja) 2015-08-13
EP2692897B1 (en) 2018-12-05
CN103572251A (zh) 2014-02-12
JP5788932B2 (ja) 2015-10-07

Similar Documents

Publication Publication Date Title
TWI504775B (zh) 不含氧的矽基膜及其形成方法
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
KR101070953B1 (ko) 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법
JP6018149B2 (ja) 窒化ケイ素膜被着方法
EP3023514B1 (en) Silicon-based films and methods of forming the same
WO2020163359A1 (en) Deposition of carbon doped silicon oxide
JP2022518595A (ja) ケイ素含有膜のための組成物及びその組成物を使用する方法
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI431147B (zh) 製備含矽膜的方法