JP6018149B2 - 窒化ケイ素膜被着方法 - Google Patents

窒化ケイ素膜被着方法 Download PDF

Info

Publication number
JP6018149B2
JP6018149B2 JP2014204854A JP2014204854A JP6018149B2 JP 6018149 B2 JP6018149 B2 JP 6018149B2 JP 2014204854 A JP2014204854 A JP 2014204854A JP 2014204854 A JP2014204854 A JP 2014204854A JP 6018149 B2 JP6018149 B2 JP 6018149B2
Authority
JP
Japan
Prior art keywords
plasma
silicon nitride
nitrogen
reactor
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014204854A
Other languages
English (en)
Other versions
JP2015073099A (ja
Inventor
チャンドラ ハリピン
チャンドラ ハリピン
マリカルジュナン アヌパマ
マリカルジュナン アヌパマ
レイ シンジャン
レイ シンジャン
ム−スン キム
ム−スン キム
スコット カットヒル カーク
スコット カットヒル カーク
レオナルド オネイル マーク
レオナルド オネイル マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2015073099A publication Critical patent/JP2015073099A/ja
Application granted granted Critical
Publication of JP6018149B2 publication Critical patent/JP6018149B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/027Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

(関連出願に対する相互参照)
この出願は、2013年10月3日に出願され、参照によりここに完全に記載されているかの如く組み入れられる、米国仮特許出願第61/886406号の利益を主張するものである。
ここに記載されるのは、1種以上のオルガノアミノシラン前駆物質を使って、化学量論的又は非化学量論的なコンフォーマル窒化ケイ素膜を被着するための方法である。より具体的に言えば、ここに記載されるのは、集積回路デバイスの製作において窒化ケイ素膜を被着するのに用いられるプラズマ支援原子層堆積(“PEALD”)、プラズマ支援サイクリック化学気相堆積(“PECCVD”)を含めた、とは言えそれらに限定はされない、プラズマに基づく方法である。
低圧化学気相堆積(LPCVD)法は、窒化ケイ素膜の被着のために半導体産業によって用いられているより広く認められた方法の一つである。アンモニアを使用する低圧化学気相堆積(LPCVD)は、適度な成長速度と均一性を得るのに650℃より高い堆積温度を必要とすることがある。より高い堆積温度は一般に、膜特性を向上させるために用いられる。窒化ケイ素を成長させるのにより一般的な工業的方法の一つは、750℃を超える温度のホットウォール反応器での前駆物質のシラン、ジクロロシラン及び/又はアンモニアを使用する低圧化学気相堆積によるものである。しかし、この方法を使用するのにはいくつかの欠点がある。例えば、一部の前駆物質、例としてシランなどは、自然発火性である。これは、取り扱いと使用上の問題を提起することがある。また、ジクロロシランから堆積した膜は、堆積プロセスの間に副生物として生成する特定の不純物、例えば塩素及び塩化アンモニウムなど、を含有することがある。
窒化ケイ素膜を堆積させるのに用いられる例えばBTBAS及びクロロシランなどの前駆物質は一般に、550℃より高い温度で膜を堆積する。半導体デバイスの小型化及び低熱量というトレンドは、400℃より低い処理温度とより速い成長速度を必要とする。ケイ素膜を被着させる温度は、特にメタライゼーション層を含む基材と多くのIII−V及びII−VIデバイスについては、格子におけるイオン拡散を防ぐために低下させるべきである。
米国特許出願公開第2013/183835号明細書(「公開’835」)には、基材上に低温でコンフォーマル窒化ケイ素膜を形成するための方法と装置が記載されている。窒化ケイ素層を形成するこれらの方法は、基材を入れた処理チャンバーに処理ガス混合物を流し、この処理ガス混合物は不安定なケイ素−窒素結合、ケイ素−炭素結合、又は窒素−炭素結合を有する前駆物質ガス分子を含むこと、不安定な結合を優先的に壊すことにより約20℃と約480℃の間の温度で前駆物質ガスを活性化させて前駆物質ガス分子に沿って1以上の反応部位を提供すること、基材上に前駆材料層を形成し、その際に活性化した前駆物質ガス分子が上記の1以上の反応部位において基材の表面と結合すること、そして前駆材料層上でプラズマ処理プロセスを行ってコンフォーマル窒化ケイ素層を形成すること、を含む被着サイクルを行うことを含む。公開’835には、処理ガス混合物は更にアンモニア、ヒドラジン、ヘリウム、アルゴン、水素、窒素、キセノン及びヘリウムを含んでもよいことが教示されている(公開’835、[0031]参照)。公開’835には更に、処理ガス混合物がプラズマ状態ではあまりに反応性であって前駆物質分子の過度の解離を誘発しかねない(不安定な結合を壊すのを単に支援する代わりに)ので、アルゴンとヘリウムは、より高いパワー(例えば1W/cm2より高い)で処理ガス混合物中において使用するのにはそれほど望ましくないであろうということが教示されている(同上)。
米国特許出願公開第2009/075490号明細書(公開’490)には、シリコンウエハを反応チャンバーに入れること、反応チャンバーに窒化ケイ素化合物を導入すること、反応チャンバーを不活性ガスでパージすること、そして窒素を含有する気体状の共反応物質を、シリコンウエハ上に窒化ケイ素膜の単分子層を形成するのに適した条件下の反応チャンバーに導入すること、を含む窒化ケイ素膜の作製方法が記載されている。
米国特許出願公開第2009/155606号明細書(公開’606)には、基材上に窒化ケイ素膜を堆積するサイクル式の方法が記載されている。1つの実施形態における方法は、基材を処理する反応器にクロロシランを供給すること、反応器にパージガスを供給すること、そして反応器にアンモニアプラズマを供給すること、を含む。
米国特許第6391803号明細書(特許’803)には、Siを含有する固体薄膜層を形成する原子層堆積方法が記載されている。
米国特許第6528430号明細書(特許’430)には、Si2Cl6とNH3、又はSi2Cl6と活性化したNH3を反応物質として使用して窒化ケイ素薄膜を形成するためのALD法が記載されている。この方法の1つの実施形態では、プラズマを生じさせるための遠隔プラズマ発生器中でNH3反応物質を発生させて、Arキャリアガス流でもってチャンバー中へ導入する(特許’430、4欄56〜62行参照)。
米国特許出願公開第2010/0081293号明細書(公開’293)には、ケイ素前駆物質とラジカル窒素前駆物質とを堆積チャンバーへ導入することを含む窒化ケイ素を被着させるための方法が記載されている。ケイ素前駆物質は、N−“Si−”H結合、N−“Si−”Si結合及び/又はSi−“Si−”H結合を有する。ラジカル窒素前駆物質は、混入した酸素を実質的に含まない。ラジカル窒素前駆物質は、堆積チャンバーの外部で発生させられる。ケイ素前駆物質とラジカル窒素前駆物質は相互に作用して、窒化ケイ素に基づいた誘電性層を形成する。公開’293には更に、Ne、Ar、Kr及び/又はXeから選ばれる出発物質から堆積チャンバーの外部で発生させることができるラジカル不活性ガス前駆物質を用いることが教示されている(公開’293、[0027]〜[0028]及び請求項17参照)。ラジカル不活性前駆物質は、ケイ素と炭素に基づく誘電性層を被着させるため、又はN、NH及びNH2から選ばれるラジカル窒素前駆物質と一緒にケイ素と窒素に基づく誘電性層を被着させるために使用することができる(同上。請求項4参照)。
米国特許出願公開第2012/196048号明細書(公開’048)には、前駆物質を基材上に吸着する工程と吸着した表面を反応物質ガス及びプラズマを使って処理する工程とをそれぞれ複数回交互に行って薄膜を形成するための方法が記載されており、この方法では反応物質ガスは基材の全面に実質的に均一に供給され、そしてプラズマは反応物質ガスを供給する工程においてパルス時間変調されて適用される。
参考文献のKlaus, et al., “Atomic layer controlled growth of Si34 films using sequential surface reactions”, Surface Science 418: L14−L19(1998)には、順次表面化学反応を利用するSi(100)基材上での原子層制御でSi34薄膜を堆積させるための方法が記載されている。このSi34膜の成長は、二成分系反応の3SiCl4+4NH3→Si34+12HClを2つの半反応に分けることにより行われた。ABAB……シーケンスでもってSiCl4とNH3の半反応を連続して適用することで、500°Kと900°Kの間の基材温度と1〜10TorrのSiCl4及びNH3反応物質圧力にてSi34を被着させた。
参考文献のKnoops, et al., “Plasma−assisted ALD of Silicon Nitride from BTBAS: Influence of Plasma Exposure and Substrate Temperature”, 12th International Conference on Atomic Layer Deposition, San Diego, CA. (ALD2013)には、N2プラズマとともにBTBAS(ビスアミノシラン)を使用するSi窒化物の堆積が教示されている。
参考文献のSchuh et al., “Disilanyl−amines − Compounds Comprising the Structure Unit Si−Si−N, as Single−Source Precursors for Plasma−Enhanced Chemical Vapor Deposition(PE−CVD) of Silicon Nitride”, Zeitschrift Fur Anorganische und Allgemeine Chemie, 619(1993), pp.1347−52には、窒化ケイ素膜のPECVDのための可能性のある単一源前駆物質が記載されており、ここでの前駆物質は、例えば(Et2N)2HSi−SiH3、(Et2N)2HSi−SiH(NEt22、(i−Pr)2NH2Si−SiH3及び[(i−Pr)2N]H2Si−SiH2[N(i−Pr)2]などのように、構造単位Si−Si−Nを有する。前駆物質1,2−ビス(ジ−i−プロピルアミノ)ジシラン(BIPADS)が、窒化ケイ素膜のPECVD堆積のために使用された。BIPADS前駆物質から得られた膜は、1.631〜1.814の範囲の屈折率を示し、炭素含有量が小さく酸素含有量が非常に小さかったが、(Siに結合した)水素の含有量は大きかった。
従って、高品質のコンフォーマル窒化ケイ素膜を被着するための低温(例えば400℃未満の処理温度)の方法を提供することが当該技術分野において必要とされており、ここでの膜は、他の被着方法を使用する他の窒化ケイ素膜と比べて、次の特性、すなわち、2.4グラム/立方センチメートル(g/cc)以上の密度、遅いウェットエッチ速度(希フッ化水素酸(HF)中で測定して)、及びそれらの組み合わせ、のうちの1以上を有する。
ここに記載されるのは、基材の少なくとも一部分の上に化学量論的な又は非化学量論的な窒化ケイ素膜を形成するための方法である。
1つの実施形態においては、窒化ケイ素膜を形成する方法であって、次の工程、すなわち、
a.反応器内に基材を供給する工程、
b.次の式I、II及びIIIにより表され、基材表面の少なくとも一部分で反応して化学吸着された層を提供する少なくとも1種のオルガノアミノシランを反応器へ導入する工程、
Figure 0006018149
(式中のR1は、直鎖の又は枝分かれしたC3〜C10アルキル基、直鎖の又は枝分かれしたC3〜C10アルケニル基、直鎖の又は枝分かれしたC3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子求引性基、及びC6〜C10アリール基から選択され、R2は、水素、直鎖の又は枝分かれしたC1〜C10アルキル基、直鎖の又は枝分かれしたC3〜C6アルケニル基、直鎖の又は枝分かれしたC3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖の又は枝分かれしたC1〜C6フッ素化アルキル基、電子求引性基、及びC4〜C10アリール基から選択され、任意選択的にR1とR2は結合して、置換又は非置換芳香環あるいは置換又は非置換脂肪族環から選ばれる環を形成し、そして式IIIにおいてn=1又は2である)
c.反応器をパージガスでパージする工程、
d.窒素と不活性ガスとを含むプラズマを反応器へ導入して上記の化学吸着された層の少なくとも一部分と反応させ、そして少なくとも1つの反応性部位を提供し、当該プラズマは約0.01〜約1.5W/cm2の範囲のパワー密度で発生させる工程、及び、
e.反応器を不活性ガスで任意選択的にパージする工程、
を含み、そして工程b〜eを窒化ケイ素膜の所望の厚さが得られるまで繰り返す、窒化ケイ素膜を形成する方法が提供される。一部の態様では、R1とR2は同一である。別の態様では、R1とR2は異なる。前述の又はその他の態様において、R1とR2は結合して環を形成してもよい。更なる態様では、R1とR2は環を形成するために結合はしない。
別の実施形態においては、プラズマ支援原子層堆積法又はプラズマ支援ALD様の方法によって窒化ケイ素膜を形成する方法であって、
a.反応器内に基材を供給する工程、
b.ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、2−メチルピペリジノシラン、N−シリルデカヒドロキノリン、2,2,6,6−テトラメチルピペリジノシラン、2−(N−シリルメチルアミノ)ピリジン、N−t−ブチルジシラザン、N−t−ペンチルジシラザン、N−(3−メチル−2−ピリジル)ジシラザン、N−(2−メチルフェニル)ジシラザン、N−(2−エチルフェニル)ジシラザン、N−(2,4,6−トリメチルフェニル)ジシラザン、N−(2,6−ジイソプリピルフェニル)ジシラザン、ジイソブチルアミノジシラン、ジ−sec−ブチルアミノジシラン、2,6−ジメチルピペリジノシラン、ジイソプロピルアミノジシラン、N−メチルシクロヘキシルアミノジシラン、N−エチルシクロヘキシルアミノジシラン、フェニルメチルアミノジシラン、2−(N−ジシリルメチルアミノ)ピリジン、N−フェニルエチルジシラン、N−イソプロピルシクロヘキシルアミノジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシランからなる群より選ばれる少なくとも1種のオルガノアミノシラン前駆物質を反応器へ導入し、当該少なくとも1種のオルガノアミノシランが基材の表面の少なくとも一部分で反応して化学吸着された層を提供する工程、
c.窒素、希ガス及びそれらの組み合わせから選ばれる少なくとも1つを含むパージガスで反応器をパージする工程、
d.窒素含有プラズマを反応器へ導入して上記の化学吸着された層の少なくとも一部分と反応させ、そして少なくとも1つの反応性部位を提供し、当該プラズマは約0.01〜約1.5W/cm2の範囲のパワー密度で発生させる工程、及び、
e.反応器を不活性ガスで任意選択的にパージする工程、
を含み、そして工程b〜eを窒化ケイ素膜の所望の厚さが得られるまで繰り返す、窒化ケイ素膜を形成する方法が提供される。一部の態様では、R1とR2は同一である。別の態様では、R1とR2は異なる。前述の又はその他の態様において、R1とR2は結合して環を形成してもよい。更なる態様では、R1とR2は環を形成するために結合はしない。
更なる実施形態においては、基材の少なくとも1つの表面上に、約5〜約50原子%の炭素を含む窒化ケイ素膜を形成する方法であって、
a.反応器内に基材を供給する工程、
b.ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、2−メチルピペリジノシラン、N−シリルデカヒドロキノリン、2,2,6,6−テトラメチルピペリジノシラン、2−(N−シリルメチルアミノ)ピリジン、N−t−ブチルジシラザン、N−t−ペンチルジシラザン、N−(3−メチル−2−ピリジル)ジシラザン、N−(2−メチルフェニル)ジシラザン、N−(2−エチルフェニル)ジシラザン、N−(2,4,6−トリメチルフェニル)ジシラザン、N−(2,6−ジイソプリピルフェニル)ジシラザン、ジイソプロピルアミノジシラン、ジイソブチルアミノジシラン、ジ−sec−ブチルアミノジシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノジシラン、N−エチルシクロヘキシルアミノジシラン、フェニルメチルアミノジシラン、2−(N−ジシリルメチルアミノ)ピリジン、N−フェニルエチルジシラン、N−イソプロピルシクロヘキシルアミノジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシランからなる群より選ばれる少なくとも1種のオルガノアミノシラン前駆物質を反応器へ導入し、当該少なくとも1種のオルガノアミノシランが基材の表面の少なくとも一部分で反応して化学吸着された層を提供する工程、
c.窒素、希ガス及びそれらの組み合わせから選ばれる少なくとも1つを含むパージガスで反応器をパージする工程、
d.希ガスプラズマを反応器へ導入して上記の化学吸着された層の少なくとも一部分と反応させ、そして少なくとも1つの反応性部位を提供し、当該プラズマは約0.01〜約1.5W/cm2の範囲のパワー密度で発生させる工程、及び、
e.反応器を不活性ガスで任意選択的にパージする工程、
を含み、そして工程b〜eを窒化ケイ素膜の所望の厚さが得られるまで繰り返す、窒化ケイ素膜を形成する方法が提供される。
ビス(tert−ブチルアミノ)シラン(BTBAS)及びジ−sec−ブチルアミノシラン(DSBAS)から300℃の温度で被着させた窒化ケイ素膜の漏れ絶縁破壊を比較する図である。 前駆物質のDSBAS、BTBAS及びビス(ジエチルアミノ)シラン(BDEAS)から被着させた窒化ケイ素膜の屈折率の安定性を比較する図である。 ジイソプロピルアミノシラン(DIPAS)を使用する全流量のうちのアルゴン(Ar)百分率に対する屈折率(RI)の関係を示す図である。
高品質膜のために考慮すべき1以上の基準を満たす、低温での、例えば400℃以下の温度での、コンフォーマル窒化ケイ素膜の被着は、業界における積年の難問であった。窒化ケイ素膜は、他の窒化ケイ素膜と比べて次の特性、すなわち、2.4グラム/立方センチメートル(g/cc)以上の密度、遅いウェットエッチ速度(希フッ化水素酸(HF)中で測定して)、及びそれらの組み合わせ、のうちの1以上を有するならば、「高品質」膜と見なされる。これらのあるいはその他の実施形態において、高品質窒化ケイ素膜についての屈折率は1.9以上であるべきである。半導体の分野においては、例えば高度なパターニングやスペーサーなどのように、高品質膜を必要とするいくつかの用途がある。1つの実施形態において、ここに記載されるのは、窒素を含み任意選択的に希ガスを含むプラズマプロセスでここに記載される式I〜IIIを有するオルガノアミノシラン前駆物質を使用する、低温での、すなわち約25℃〜約400℃の範囲の1以上の被着温度での、原子層堆積(ALD)又はALD様の方法である。
ここに記載されるのは、基材の少なくとも一部分の上にケイ素と窒素とを含む化学量論的な又は非化学量論的な窒化ケイ素膜を形成するための方法である。一部の実施形態においては、窒化ケイ素膜は更に炭素を含む。この又は他の実施形態において、窒化ケイ素膜は更に酸素を含む。
ここに記載される窒化ケイ素膜は、窒素原子に結合した少なくとも1つのSiH3基を含む少なくとも1種のオルガノアミノシランを使って被着される。その少なくとも1種のオルガノアミノシランは、次の式I、II及びIIIで表される。
Figure 0006018149
(式中のR1は、直鎖の又は枝分かれしたC3〜C10アルキル基、直鎖の又は枝分かれしたC3〜C10アルケニル基、直鎖の又は枝分かれしたC3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子求引性基、及びC6〜C10アリール基から選択され、R2は、水素、直鎖の又は枝分かれしたC1〜C10アルキル基、直鎖の又は枝分かれしたC3〜C6アルケニル基、直鎖の又は枝分かれしたC3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖の又は枝分かれしたC1〜C6フッ素化アルキル基、電子求引性基、及びC4〜C10アリール基から選択され、任意選択的にR1とR2は結合して、置換又は非置換芳香環あるいは置換又は非置換脂肪族環から選ばれる環を形成し、そして式IIIにおいてn=1又は2である)
式I、II及びIIIを有する代表的なオルガノアミノシラン前駆物質としては、表1(1/3)〜表1(3/3)に示した以下の前駆物質が挙げられるが、それらに限定はされない。
Figure 0006018149
Figure 0006018149
Figure 0006018149
ここに記載した式I、II及びIIIを有するオルガノアミノシラン前駆物質は、マイクロ電子デバイス製造方法におけるPEALD又はPECCVD前駆物質としてそれらを理想的に好適なものとする反応性と安定性とのバランスを示す。反応性に関しては、一部の前駆物質は、基材上に膜を被着させようとする反応器へ気化させて送るのには高過ぎる沸点を有する可能性がある。比較的高い沸点を有する前駆物質は、送給容器と配管を、当該容器、配管、又は両者において凝縮あるいは粒子が生じるのを防ぐため、所定の減圧下での当該前駆物質の沸点で又はそれより高い温度で加熱しなければならないことを必要とする。安定性に関しては、その他の前駆物質はそれらが分解するにつれてシラン(SiH4)又はジシラン(Si26)を生成することがある。シランは室温で自然発火性であり、あるいはそれは自然に燃焼しかねず、これは安全性と取り扱いの問題を提起する。更に、シラン又はジシランとその他の副生物の生成は前駆物質の純度レベルを低下させ、化学的純度の1〜2%程度の変化は信頼性のある半導体製造にとっては許容できないと考えられる可能性がある。一部の実施形態では、ここに記載された式I〜IIIを有するオルガノアミノシラン前駆物質は、2重量%以下、あるいは1重量%以下、あるいは0.5重量%以下の副生物(貯蔵安定性であることの目安である6箇月以上あるいは1年以上の保管後において)を含む。前述の利点に加え、一部の実施形態において、例えばPEALD又はPECCVD被着法を使って窒化ケイ素膜を被着させる場合などは、ここに記載されたオルガノアミノシラン前駆物質は比較的低い被着温度で、例えば400℃以下、又は300℃以下、200℃以下、100℃以下で、高密度の材料を被着することができよう。1つの特別な実施形態では、例えば式Iの前駆物質(例えばジイソプロピルアミノシラン又はジ−sec−ブチルアミノシラン)、式IIの前駆物質(例えば2,6−ジメチルピペリジノシラン)、あるいは式IIIの前駆物質(例えばジイソプロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、又は2,6−ジメチルピペリジノジシラン)などのオルガノアミノシラン前駆物質を使用して、200℃程度の低温で又は周囲温度もしくは室温(例えば25℃)でPEALD又はPECCVDにより窒化ケイ素又は炭窒化ケイ素膜を被着することができる。
上記の式において且つ本書を通じて、「環式アルキル」という用語は、3〜10もしくは4〜10の炭素原子又は5〜10の炭素原子を有する環式官能基を表す。代表的な環式アルキル基としては、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基が挙げられるが、それらに限定はされない。
上記の式において且つ本書を通じて、「アリール」という用語は、5〜12の炭素原子又は6〜10の炭素原子を有する芳香族環式官能基を表す。代表的なアリール基としては、フェニル、ベンジル、クロロベンジル、トリル及びo−キシリル基が挙げられるが、それらに限定はされない。
上記の式において且つ本書を通じて、「アルケニル基」という用語は、1以上の炭素−炭素二重結合を有するとともに3〜10又は3〜6又は3〜4の炭素原子を有する基を表す。
上記の式において且つ本書を通じて、「アルキニル基」という用語は、1以上の炭素−炭素三重結合を有するとともに3〜10又は3〜6又は3〜4の炭素原子を有する基を表す。
上記の式において且つ本書を通じて、「ジアルキルアミノ基」という用語は、窒素原子に結合した2つのアルキル基を有するとともに1〜10又は2〜6又は2〜4の炭素原子を有する基を表す。代表的なアリール基としては、ジメチルアミノ、ジエチルアミノ及びエチルメチルアミノ基が挙げられるが、それらに限定はされない。
ここで使用する「電子求引性基」という用語は、Si−N結合から電子を引き離す働きをする原子又は一群の原子を表す。好適な電子求引性基又は置換基の例としてはニトリル(CN)が挙げられるが、これに限定はされない。一部の実施形態では、電子求引性置換基は式Iのいずれか1つにおけるNに隣接又は近接することができる。電子求引性基の更なる非限定の例としては、F、Cl、Br、I、CN、NO2、RSO及び/又はRSO2が挙げられ、ここでのRはC1〜C10アルキル基でよく、例えばメチル基又は別の基などであるが、それらに限定はされない。
一部の実施形態では、式I〜IIIにおけるアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノ基、アリール基、及び/又は電子求引性基のうちの1つ以上は、置換されていてもよく、あるいは、例えば水素原子に代えて置換された1以上の原子又は原子団を有してもよい。代表的な置換基としては、酸素、イオウ、ハロゲン原子(例えばF、Cl、I又はBr)、窒素及びリンが挙げられるが、それらに限定はされない。その他の実施形態では、式I〜IIIにおけるアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノ基、アリール基、及び/又は電子求引性基のうちの1つ以上は、非置換でよい。
窒化ケイ素膜又はコーティングを形成するのに用いられる方法は堆積法である。ここに開示された方法のための好適な堆積法の例としては、プラズマ支援ALD(PEALD)又はプラズマ支援サイクリックCVD(PECCVD)法が挙げられるが、それらに限定はされない。ここで使用する「化学気相堆積法」という用語は、基材表面上で反応及び/又は分解して所望の堆積物を生じさせる1種以上の揮発性前駆物質に基材を暴露する任意の方法を指す。ここで使用する「原子層堆積法」という用語は、基材上に変動する組成の材料の膜を被着させる自己制御式の(例えば、各反応サイクルで被着する膜材料の量が一定である)、逐次的な表面化学反応を指す。ここで使用する前駆物質、反応物及び源は時により「ガス状」と称されるとは言え、前駆物質は、直接の気化、バブリング又は昇華により不活性ガスとともにあるいはそれなしに反応器へ移送される液体又は固体のいずれであることもできるとことが理解される。場合によっては、気化した前駆物質はプラズマ発生器を通過することができる。1つの実施形態では、ALD法を使用して窒化ケイ素膜を被着させる。別の実施形態では、CCVD法を使用して窒化ケイ素膜を被着させる。更なる実施形態では、熱CVD法を使用して窒化ケイ素膜を被着させる。ここで使用する「反応器」という用語は、制限されることなく、反応チャンバーあるいは堆積チャンバーを包含する。ALD様の方法は、ここでは、エリプソメーターで測定して約5%以下の不均一性の割合、サイクル当たり1Å以上の被着速度、あるいはそれらの組み合わせのうちの少なくとも1つを有することによって示される、例えば窒化ケイ素又は炭窒化ケイ素などハイコンフォーマル窒化ケイ素膜を基材上に提供するサイクリックCVD法として定義される。
一部の実施形態において、ここに開示された方法は、反応器への導入の前に及び/又はその間に前駆物質を切り離すPEALD又はPECCVD法を使用することにより、前駆物質の事前の反応を回避する。これに関連して、PEALD又はPECCVD法などの被着技術が窒化ケイ素膜を被着するのに用いられる。1つの実施形態では、1種以上の窒化ケイ素前駆物質、窒素含有源、又はその他の前駆物質もしくは反応物に基材表面を交互に暴露することによりPEALD法で膜を被着させる。膜の成長は、表面反応、各前駆物質又は反応物のパルス長さ、及び被着温度の自己制御式の調節により進行する。とは言え、基材の表面が飽和したならば、膜の成長は停止する。
一部の実施形態において、ここに記載された方法は、上記の式I〜IIIを有するオルガノアミノシラン前駆物質以外の1種以上の追加の窒化ケイ素前駆物質を更に含む。追加の窒化ケイ素前駆物質の例としては、モノクロロシラン、ジクロロシラン、ヘキサクロロシランが挙げられるが、それらに限定はされない。
被着方法に応じて、一部の実施形態では、少なくとも1種のオルガノアミノシラン前駆物質を所定のモル体積で、又は約0.1〜約1000マイクロモルで、反応器へ導入することができる。この又はその他の実施形態において、少なくとも1種のオルガノアミノシラン前駆物質は反応器へ所定の時間導入することができる。一部の実施形態では、この時間は約0.001〜約500秒の範囲である。
一部の実施形態において、窒化ケイ素膜はケイ素と窒素を含む。これらの実施形態では、ここに記載された方法を使って被着される窒化ケイ素膜は窒素含有源の存在下で形成される。窒素含有源は、少なくとも1種の窒素含有源の形で反応器へ導入してもよく、及び/又は被着処理で使用する他の前駆物質中に付随して存在してもよい。好適な窒素含有源ガスとしては、例えば窒素/アルゴンプラズマを挙げることができる。一部の実施形態では、窒素含有源は、約1〜約2000スタンダード立方センチメートル(sccm)又は約1〜1000sccmの範囲の流量で反応器へ導入される窒素/アルゴンプラズマ源ガスを含む。窒素含有源は、約0.1〜約100秒の範囲の時間導入することができる。膜をALD又はサイクリックCVD法で被着させる実施形態では、前駆物質のパルスは0.01秒より長いパルス幅を有することができ、窒素含有源は0.01秒より短いパルス幅を有することができる一方で、水のパルス幅は0.01秒より短いパルス幅を有することができる。更に別の実施形態では、パルス間のパージ継続時間は0秒ほどと短くてよく、あるいは中間でパージすることなく続けてパルスされる。
ここに記載された方法では、窒素含有ガスを含み、例えば、制限されることなく、窒素を含み、所望に応じて希ガスを含む窒素含有プラズマは、その場で又は離れたところで発生させることができ、好ましくは希ガスは窒素の原子質量(すなわち28amu)より大きい原子質量を有する。窒素の原子質量より大きい原子質量を持つ希ガスの存在は、より多くの原子窒素ラジカルを生じさせると考えられる。窒素プラズマ源ガスは、約1〜約2000平方立方センチメートル(sccm)又は約1〜約1000sccm以上の範囲の流量で反応器へ導入される。窒素含有プラズマは、約0.01〜約100秒以上の範囲の時間導入することができる。実施形態では、前駆物質のパルスは0.01秒より長いパルス幅を有することができ、窒素含有プラズマは0.01秒より短いパルス幅を有することができる一方で、水のパルス幅は0.01秒より短いパルス幅を有することができる。更に別の実施形態では、前駆物質パルスと窒素プラズマ間のパージ継続時間は0秒ほどと短くてよい。更に別の実施形態において、水素プラズマを使用することができる場合には、希ガスと混合した純粋水素(H2)を使用して、水素プラズマをその場で又は離れたところで発生させることができる。窒素と希ガスの両方を含有するプラズマ中の希ガスの重量割合は、1wt%から99wt%まで様々であることができる一方で、水素と希ガスの両方を含有するプラズマ中の希ガスの重量割合も、1wt%から99wt%まで様々であることができる。
本書の実施例で実証されるように、アンモニアプラズマ、水素/窒素プラズマなどの従来技術で開示された通常の窒素含有プラズマは、BTBASなどの既存のオルガノアミノシランを使って高品質の窒化ケイ素を提供することができなかった。理論に縛られるわけではないが、窒素と例えばアルゴンなどの希ガスの両方を含有しているプラズマは窒化ケイ素の生成を促進することができるだけでなく、式I〜IIIを有するオルガノアミノシランのための反応性部位を化学吸着された表面の少なくとも一部分に提供することができるとともにこれらの反応性部位の上に固定するためその後の被着サイクルにおいて少なくとも1つのSiH3基を提供することができると考えられる。これがサイクル式の被着が起こるのを可能にするのに対し、例えばアンモニアプラズマ、水素/窒素プラズマなどの通常の窒素含有プラズマは表面を汚染して、その結果膜の被着が最小限になりかねない。いかなる理論にも縛られるわけではないが、基材のみならず基材の固有の構造への潜在的なプラズマによる損傷を減らし、なお更に半導体製造プロセスへ導入することができる高品質の窒化ケイ素膜を製造するために、電極面積当たりのプラズマパワーにより定義されるプラズマ密度(例えば、6”ウエハに対して450WのプラズマパワーのALD反応器では、電極面積がウエハと同じであると仮定すればプラズマ密度は約2.5W/cm2となる)は2W/cm2未満であるのが好ましい。ここに記載された方法のプラズマ密度は、約0.01〜約2W/cm2、あるいは約0.01〜約1.5W/cm2、あるいは約0.01〜1W/cm2の範囲である。典型的なプラズマ周波数は10kHz〜2.4GHzの範囲、好ましくは10kHz〜60MHzの範囲である。一部の実施形態では、デュアルRFプラズマを使用することができ、一方の低周波数は10kHz〜1MHzの範囲であり、他方は13.56MHzと27.1MHzからなる群から選ばれる中程度の周波数である。
ここに記載された被着方法は、1種以上のパージガスを必要とすることができる。未消費の反応物質及び/又は反応副生物をパージするのに用いられるパージガスは、前駆物質と反応しない不活性ガスである。代表的なパージガスとしては、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン(Ne)、水素(H2)、及びそれらの混合物が挙げられるが、これらに限定はされない。一部の実施形態では、パージガスとして用いられる不活性ガスは希ガスを含む。ここで使用する「希ガス」という用語は、周期表の18族に含まれるガスを意味し、ヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、キセノン(Xe)、クリプトン(Kr)、及びそれらの混合物が挙げられる。1つの特定の実施形態では、パージガスとして用いられる希ガスはアルゴンを含む。この及び他の実施形態において、Arを含むパージガスは約10〜約2000sccmの範囲の流量で0.1〜1000秒間反応器へ供給されて、それにより反応器内に残存している可能性のある未反応の前駆物質と副生物をパージする。
前駆物質、窒素含有源、及び/又は他の前駆物質、源ガス、及び/又は反応物を供給するそれぞれの工程は、結果として得られる窒化ケイ素膜の化学量論的組成を変化させるためそれらを供給するための時間を変更して行うことができる。
前駆物質、窒素含有源、還元剤、その他の前駆物質又はそれらの組み合わせのうちの少なくとも1つに、反応を誘起して基材上に窒化ケイ素膜又はコーティングを形成するためエネルギーを加える。そのようなエネルギーは、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、遠隔プラズマ法、及びそれらの組み合わせにより供給することができるが、それらに限定されることはない。一部の実施形態では、二次的なRF周波数源を使用して基材表面におけるプラズマ特性を変更することができる。被着にプラズマを必要とする実施形態では、プラズマを発生させる方法は、反応器内でプラズマを直接発生させる直接プラズマ発生法、あるいはまた反応器の外部でプラズマを発生させて反応器へ供給する遠隔プラズマ発生法を含むことができる。
オルガノアミノシラン前駆物質は、例えばPEALD又はPECCVD反応器などの反応チャンバーの単一の又は一群のウエハに、バブリング、抽気、又は直接液体注入(DLI)などのいろいろな方法で送給することができる。1つの実施形態では、液体送給装置を利用することができる。別の実施形態では、結果として前駆物質の熱分解なしの再現性のある移送と被着に通じる、液体送給とフラッシュ蒸発処理を組み合わせたユニット、例えば米国ミネソタ州ShoreviewのMSPコーポレーション製のターボ蒸発器を使用して、低揮発性物質の容量式の送給を可能にすることができる。液体送給の方式では、ここに記載された前駆物質を希釈しない液体の形で送給してもよく、あるいは同じものを含む溶媒配合物又は組成物でもって使用してもよい。従って、一部の実施形態では、前駆物質の配合物は、基材上に膜を形成するための所定の最終用途において望ましく且つ有利であることができるように好適な特性の溶剤成分を含むことができる。
一部の実施形態では、前駆物質容器から反応チャンバーまで接続するガス配管をプロセス要件に応じて1以上の温度まで加熱し、そしてここに記載された式I〜IIIを有するオルガノアミノシラン前駆物質の容器をバブリングのための1以上の温度に保持する。別の実施形態では、ここに記載された式を有する少なくとも1種の窒化ケイ素前駆物質を含む溶液を直接の液体注入のための1以上の温度に保持した気化器へ注入する。
アルゴン及び/又は他の不活性ガスの流れをキャリアガスとして使用して、前駆物質をパルス化する間反応チャンバーへ少なくとも1種のオルガノアミノシラン前駆物質の蒸気を送給するのを促進することができる。一部の実施形態では、反応チャンバーの処理圧力は約2Torr以下である。別の実施形態では、反応チャンバーの処理圧力は約10Torr以下である。
典型的なPEALD又はPECCVD又はPEALD様の方法では、限定されることなく例えば酸化ケイ素、炭素をドープした酸化ケイ素、軟質基材、又は金属窒化物基材などの基材を、オルガノアミノシランが基材の表面に化学的に吸着するのを可能にするため反応チャンバー内の窒化ケイ素前駆物質に暴露される加熱器ステージ上で最初に加熱する。窒素、アルゴン又はその他の不活性ガスなどのパージガスが、処理チャンバーから未吸着の過剰オルガノアミノシランをパージして取り除く。十分なパージ後に、窒素含有源を反応チャンバー内へ導入して吸着表面と反応させ、続いて別のガスパージで反応の副生物をチャンバーから除去することができる。この処理サイクルを繰り返して所望の膜厚を得ることができる。別の実施形態では、減圧下でのポンプによる排気を利用して処理チャンバーから未吸着の過剰オルガノアミノシランを除去し、ポンプによる排気下での十分な排気後に、窒素含有源を反応チャンバーへ導入して吸着面と反応させ、続いて別のポンプ引きのパージでチャンバーから反応副生物を除去することができる。
1つの実施形態においては、窒化ケイ素を形成する方法であって、次の工程、すなわち、
a.反応器内に基材を供給する工程、
b.次の式I、II及びIIIにより表される、基材の表面の少なくとも一部分で反応して化学吸着された層を提供する少なくとも1種のオルガノアミノシランを反応器へ導入する工程、
Figure 0006018149
(式中のR1は、直鎖の又は枝分かれしたC3〜C10アルキル基、直鎖の又は枝分かれしたC3〜C10アルケニル基、直鎖の又は枝分かれしたC3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子求引性基、及びC6〜C10アリール基から選択され、R2は、水素、直鎖の又は枝分かれしたC1〜C10アルキル基、直鎖の又は枝分かれしたC3〜C6アルケニル基、直鎖の又は枝分かれしたC3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖の又は枝分かれしたC1〜C6フッ素化アルキル基、電子求引性基、及びC4〜C10アリール基から選択され、任意選択的にR1とR2は結合して、置換又は非置換芳香環あるいは置換又は非置換脂肪族環から選ばれる環を形成し、そして式IIIにおいてn=1又は2である)
c.反応器をパージガスでパージする工程、
d.窒素と不活性ガスとを含むプラズマを反応器へ導入して上記の化学吸着された層の少なくとも一部分と反応させ、そして少なくとも1つの反応性部位を提供し、当該プラズマは約0.01〜約1.5W/cm2の範囲のパワー密度で発生させる工程、及び、
e.反応器を不活性ガスで任意選択的にパージする工程、
を含み、そして工程b〜eを窒化ケイ素膜の所望の厚さが得られるまで繰り返す、窒化ケイ素膜を形成する方法が提供される。一部の態様では、R1とR2は同一である。別の態様では、R1とR2は異なる。前述の又はその他の態様において、R1とR2は結合して環を形成してもよい。更なる態様では、R1とR2は環を形成するために結合はしない。所望により、水素を含むプラズマを工程dの前に入れて、オルガノアミノシランと表面との反応から発生する炭化水素を除去するのを促進することができる。水素を含むプラズマは、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ、及びそれらの混合物からなる群より選ばれる。
別の実施形態においては、プラズマ支援原子層堆積法又はプラズマ支援ALD様の方法により窒化ケイ素膜を形成する方法であって、次の工程、すなわち、
a.反応器内に基材を供給する工程、
b.ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、2−メチルピペリジノシラン、N−シリルデカヒドロキノリン、2,2,6,6−テトラメチルピペリジノシラン、2−(N−シリルメチルアミノ)ピリジン、N−t−ブチルジシラザン、N−t−ペンチルジシラザン、N−(3−メチル−2−ピリジル)ジシラザン、N−(2−メチルフェニル)ジシラザン、N−(2−エチルフェニル)ジシラザン、N−(2,4,6−トリメチルフェニル)ジシラザン、N−(2,6−ジイソプリピルフェニル)ジシラザン、ジイソプロピルアミノジシラン、ジイソブチルアミノジシラン、ジ−sec−ブチルアミノジシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノジシラン、N−エチルシクロヘキシルアミノジシラン、フェニルメチルアミノジシラン、2−(N−ジシリルメチルアミノ)ピリジン、N−フェニルエチルジシラン、N−イソプロピルシクロヘキシルアミノジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシランからなる群より選ばれる少なくとも1種の、基材の表面の少なくとも一部分で反応して化学吸着された層を提供するオルガノアミノシラン前駆物質を反応器へ導入する工程、
c.窒素、希ガス及びそれらの組み合わせから選ばれる少なくとも1種を含むパージガスで反応器をパージする工程、
d.窒素含有プラズマを反応器へ導入して上記の化学吸着された層の少なくとも一部分と反応させ、そして少なくとも1つの反応性部位を提供し、当該プラズマは約0.01〜約1.5W/cm2の範囲のパワー密度で発生させる工程、及び、
e.反応器を不活性ガスで任意選択的にパージする工程、
を含み、そして工程b〜eを窒化ケイ素膜の所望の厚さが得られるまで繰り返す、窒化ケイ素膜を形成する方法が提供される。
上記の工程は、ここに記載された方法のための1サイクルを規定するものであり、このサイクルを窒化ケイ素膜の所望の厚さが得られるまで繰り返すことができる。この又はその他の実施形態において、ここに記載された方法の工程は様々な順序で行うことができ、逐次的に又は同時に(例えば別の工程の少なくとも一部分の間に)行うことができ、及びそれらの任意の組み合わせで行うことができることが理解される。前駆物質と酸素含有源を供給するそれぞれの工程は、それらを供給するための時間を変えて行って結果として得られる窒化ケイ素膜の化学量論組成を変更するができるが、とは言え窒素は常に、利用できるケイ素と比べて化学量論的量よりも少ない量を使用する。
ここに記載された方法の一部の実施形態においては、工程b〜eを繰り返して、約0.1〜約500Å、又は約0.1〜約5Å、又は約0.1〜約10Å、又は約0.1〜約50Å、又は0.1〜100Åの範囲の厚さを持つ窒化ケイ素膜を提供する。ここに記載された方法の1つの特別な実施形態では、工程dの前に水素を含むプラズマを入れて、オルガノアミノシランと表面との反応から発生した炭化水素を除去するのを促進することができる。水素を含むプラズマは、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ及びそれらの混合物からなる群より選ばれる。
一部の実施形態では、結果として得られた窒化ケイ素を含有している膜又はコーティングを、プラズマ処理、化学的処理、紫外線への暴露、電子線への暴露、及び/又はその他の処理などの、膜の1以上の特性に影響を及ぼすための被着後の処理に付すことができる。
一部の実施形態では、ここに記載された窒化ケイ素を含有している膜は6以下の誘電率を有する。これら又はその他の実施形態においては、膜は約5以下、又は約4以下、又は約3.5以下の誘電率を持つことができる。とは言え、別の誘電率(例えばより高い、又はより低い)を持つ膜を、膜の所望の最終用途に応じて形成できることが想定される。ここに記載されたオルガノアミノシラン前駆物質と方法を使って形成されるケイ素を含有している膜又は窒化ケイ素膜の例は、式Sixyzvwを有し、例えばXPS又はその他の手段で測定した原子百分率で、この式におけるSiは約10%〜約40%の範囲であり、Oは約0%〜約5%の範囲であり、Cは約0%〜約10%の範囲であり、Nは約0%〜約75%又は約0%〜50%の範囲であり、Hは約0%〜約10%の範囲であり、x+y+z+v+w=100原子パーセントである。
希ガスを含むプラズマを使用して膜が被着する1つの特定の実施形態では、窒化ケイ素膜は、例えばXPS又はその他の手段で測定して、原子百分率で約5%〜約50%の炭素を含む。この特定の実施形態では、窒化ケイ素膜は更にを含み、原子百分率でSiは約10%〜約40%の範囲であり、Oは約0%〜約5%の範囲であり、Nは約0%〜約75%又は約0%〜50%の範囲であり、そしてHは約0%〜約10%の範囲であって、膜の総重量百分率は合計で100原子パーセントになる。
先に述べたように、ここに記載された方法を使用して基材の少なくとも一部分の上に窒化ケイ素膜を被着することができる。好適な基材の例としては、ケイ素、SiO2、Si34、OSG、FSG、炭化ケイ素、水素化した炭化ケイ素、窒化ケイ素、水素化した窒化ケイ素、炭窒化ケイ素、水素化した炭窒化ケイ素、ホウ窒化物、反射防止コーティング、ホトレジスト、例えばIGZO、有機ポリマー、多孔質の有機及び無機材料などの軟質基材、例えば銅及びアルミニウムなどの金属、そして例えばTiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWNなどの、とは言えこれらに限定はされない拡散バリア層が挙げられるが、それらに限定はされない。膜は、例えば化学的機械的平坦化(CMP)及び異方性エッチングプロセスなどの、様々なその後の処理工程との相性がよい。
被着した膜には、コンピューターチップ、光学デバイス、磁気情報ストレージ、支持用材料又は基材上のコーティング、マイクロエレクトロメカニカルシステム(MEMS)、ナノエレクトロメカニカルシステム、薄膜トランジスタ(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO、及び液晶表示装置(LCD)を含めた、とは言えこれらに限定はされない、用途がある。
以下の例は、ここに記載された窒化ケイ素膜を被着するための方法を例示するものであって、多少なりとも限定しようとするものではない。
以下の例において、違った記載がない限り、特性は中程度の抵抗率(14〜17Ω・cm)の単結晶シリコンウエハ基材上に被着させたサンプル膜から得たものである。全ての膜の被着は、300mmの生産用ツール、ASM Stellar 3000 PEALD反応器、あるいはCN−1、実験室規模の150mmシャワーヘッドタイプALD反応器のいずれかを使って行った。
ASM Stellar反応器のツールは、単一ウエハの製造ツールである。反応チャンバーは、27.1MHzの直接プラズマを装備したFolded Lateral Reactor(FLR)であった。典型的な被着では、違った記載がない限り、チャンバー圧力は2Torrに固定した。チャンバー圧力を維持するために、被着中に追加の不活性ガスを使用した。オルガノアミノシラン前駆物質は、15Torrでの抽気を利用するか、あるいは1Torrの蒸気圧の200スタンダード立方センチメートル(sccm)のArガスを同伴して、反応チャンバーへ送給した。比較例を含めて、全ての例は、0.7W/cm2のパワー密度を供給する、約300mmのウエハの大体の面積である電極面積当たり500WのRFプラズマパワーを使用して行った。
CN−1反応器は、13.56MHzの直接プラズマを備えたシャワーヘッドの設計を有する。典型的な処理条件では、違った記載がない限り、チャンバー圧力は2Torrで固定した。チャンバー圧力を維持するために追加の不活性ガスを使用した。オルガノアミノシラン前駆物質は、14Torrの蒸気圧の50sccmのArを使って送給するか、あるいは窒素だけのプロセスの場合には、前駆物質を抽気を利用して(すなわちアルゴンを全く使用しないで)送給した。使用した典型的なRFパワーは150mmのウエハの電極面積当たり125Wであって、0.7W/cm2のパワー密度を提供した。膜の被着は、表2に記載した工程を含んでいた。表2の工程1〜4は1つのPEALDサイクルを構成し繰り返されるものであって、特に違った記載がない限りは、所望の膜厚を得るために合計で1000回繰り返された。
Figure 0006018149
被着した膜の反応性指数(RI)と厚さをエリプソメーターを使って測定した。標準的な式である、%非均一性=((最大膜厚−最小膜厚)/(2×平均(avg)膜厚))を使って、膜の非均一性を計算した。膜の構造と組成を、フーリエ変換赤外(FTIR)分光法及びX線光電子分光法(XPS)を使って分析した。膜の密度をX線反射率測定法(XRR)で測定した。ウェットエッチ速度を、49%HFと水の比が1:99の希HF溶液で行った。熱酸化物のSiO2膜を同じやり方でエッチングして溶液濃度の整合性を確認した。
〔比較例1−ビス(tert−ブチルアミノ)シラン(BTBAS)とAr/N2プラズマを使用したPEALD窒化ケイ素膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃の温度に加熱した。被着プロセスは表2に記載したとおりであり、下記の処理条件下で1000回繰り返した。
1.送給オルガノアミノシラン前駆物質: ビス(tert−ブチルアミノ)シラン(BTBAS)
送給方法: 抽気
アルゴン流量: 300sccm
BTBASパルス: 1秒
2.不活性ガスパージ
アルゴン流量: 300sccm
パージ時間: 2秒
3.プラズマ励起
アルゴン流量: 300sccm
窒素流量: 400sccm
プラズマパワー: 500W(0.7W/cm2
プラズマ時間: 5秒
4.プラズマパージ
アルゴン流量: 300sccm
パージ時間: 2秒
屈折率が1.84の窒化ケイ素膜が0.41Å/サイクルで被着した。膜は1.3%の酸素と11.7%の炭素を含有しており、2.3g/ccの密度であった。膜のウェットエッチ速度は4.5Å/sec(27nm/min)より大きい。
〔比較例2−ビス(ジエチルアミノ)シラン(BDEAS)とAr/N2プラズマを使用したPEALD窒化ケイ素膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃の温度に加熱した。被着プロセスは比較例1で説明したのと同じであった。
屈折率が1.88の窒化ケイ素膜が0.22Å/サイクルで被着した。膜は3.9%の酸素、11.9%の炭素を含有しており、2.2g/ccの密度であった。膜のウェットエッチ速度は3.75Å/secより大きい(>23nm/min)。
〔比較例3−ビス(tert−ブチルアミノ)シラン(BTBAS)とAr/NH3プラズマを使用したPEALD窒化ケイ素膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃に加熱した。被着プロセスを表2に記載したとおりに行い、下記の処理条件下で1000回繰り返した。
1.送給オルガノアミノシラン前駆物質: ビス(tert−ブチルアミノ)シラン(BTBAS)
送給方法: 抽気
アルゴン流量: 300sccm
BTBASパルス: 1秒
2.不活性ガスパージ
アルゴン流量: 300sccm
パージ時間: 2秒
3.プラズマ励起
アルゴン流量: 300sccm
アンモニア流量: 400sccm
プラズマパワー: 500W(0.7W/cm2
プラズマ時間: 5秒
4.プラズマパージ
アルゴン流量: 300sccm
パージ時間: 2秒
最小被着速度(<0.05Å/サイクル)の窒化ケイ素膜が観測された。膜は薄すぎて屈折率を正確に測定できない。
〔例1−ジイソプロピルアミノシラン(DIPAS)とAr/N2プラズマを使用したPEALD窒化ケイ素膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃に加熱した。表2に記載した工程を使って被着プロセスを行い、1000回繰り返した。使用した処理条件は比較例1で説明したのと同じであるが、前駆物質パルスは0.5〜5秒で可変であった。被着速度と屈折率をまとめて下記の表3に示す。
Figure 0006018149
Figure 0006018149
更なる膜特性についての例を示すために膜2、5、6を選んだ。これらの膜について膜の混入物、密度及び希HFでのウェットエッチ速度を測定した。結果を表4に提示する。表4の結果が示すように、DIPASから被着させた窒化ケイ素膜は、比較例1でBTBASから被着させた膜よりも炭素含有量が少なく、密度が高く、ウェットエッチ速度が小さかった。
〔例2−ジ−sec−ブチルアミノシラン(DSBAS)とAr/N2プラズマを使用したPEALD窒化ケイ素含有膜〕
表2に記載した工程を使って被着プロセスを行い、1000回繰り返した。使用した処理条件は比較例1で説明したのと同じであるが、前駆物質パルスは0.2〜5秒の範囲で可変であった。被着した全ての膜の被着速度と屈折率をまとめて下記の表5に示す。被着速度と屈折率の両方とも例1で示したDIPASと一致している。
Figure 0006018149
〔例3−ジ−sec−ブチルアミノシラン(DSBAS)とアルゴン(Ar)の割合がいろいろのAr/N2プラズマを使用したPEALD窒化ケイ素含有膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃に加熱した。室温で200sccmのArキャリアガスを使って1Torrの蒸気圧でDSBASをチャンバーへ送給した。基材温度を300℃に設定した。ガスと前駆物質の配管温度をしかるべく調整して、反応器より前での凝縮を防止した。表2に記載した工程を使用しそして下記のプロセスパラメータを使用して、被着を行った。
1.反応器への導入オルガノアミノシラン前駆物質: DSBAS
アルゴン流量=300sccm
Si前駆物質パルス: 1秒
2.不活性ガスパージ
アルゴン流量: 300sccm
パージ時間: 5秒
3.プラズマ励起
アルゴン流量: 325〜425sccm
窒素流量: 75〜200sccm
Arと窒素の合計流量: 500sccm
チャンバー圧力: 2Torr
プラズマパワー: 500W(0.7W/cm2
プラズマ時間: 5秒
4.プラズマパージ
アルゴン流量: 300sccm
チャンバー圧力: 2Torr
パージ時間: 0.5秒
DSBASといろいろなAr対N2比を使用するAr/N2プラズマとを用いて被着した窒化ケイ素膜についてサイクル当たりの成長速度(GPC)と屈折率を計算し、表6に示す。
Figure 0006018149
表7に、ジ−sec−ブチルアミノシラン(DSBAS)とアルゴン対窒素の比がいろいろのAr/N2プラズマとから被着した窒化ケイ素含有膜についてのXPSで測定した炭素含有量と希HFウェットエッチ速度の比較を示す。アルゴンと窒素の流量を最適化すると、膜特性に影響を及ぼすことなくサイクル当たりの成長速度と膜の均一性が向上する。いずれの場合も、被着した膜の炭素含有量は6〜7%、エッチ速度は0.50Å/s、膜密度は2.8g/ccであった。
Figure 0006018149
〔例4−フェニルメチルアミノシラン(PMAS)とAr/N2プラズマを使用したPEALD窒化ケイ素含有窒化ケイ素膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃に加熱した。室温で200sccmのArキャリアガスを使用して、PMASを1Torrの蒸気圧でチャンバーへ送給した。基材温度を300℃に設定した。ガスと前駆物質の配管温度をしかるべく調整して、反応器の前での凝縮を防止した。表2に記載した工程を使用して例3で示したのと同様のプロセスパラメーターの下で被着を行った。プラズマ工程又は工程3の間、アルゴンと窒素の流量はそれぞれ300sccm及び200sccmであった。得られた膜のサイクル当たりの成長は0.18Å/サイクル、屈折率は1.95であった。この膜はまた、希HFウェットエッチ速度が0.53Å/secであった。
〔例5−フェニルメチルアミノジシラン(PMADS)とAr/N2プラズマを使用したPEALD窒化ケイ素含有膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃に加熱した。室温で200sccmのArキャリアガスを使用して、PMADSを1Torrの蒸気圧でチャンバーへ送給した。基材温度を300℃に設定した。ガスと前駆物質の配管温度をしかるべく調整して、反応器の前での凝縮を防止した。表2に記載した工程と例3で示したプロセスパラメーターを使用して被着を行った。プラズマ工程又は工程3の間、アルゴンと窒素の流量はそれぞれ300sccm及び200sccmであった。得られた膜のサイクル当たりの成長は0.22Å/サイクル、屈折率は1.94であった。この膜はまた、希HFウェットエッチ速度が0.77Å/sec、炭素混入物が7.0原子%(at%)であった。
〔例6−ジイソプロピルアミノジシラン(DIPADS)とAr/N2プラズマを使用したPEALD窒化ケイ素含有膜〕
シリコンウエハをStellar 3000 PEALD反応器に入れ、約2Torrのチャンバー圧力で300℃に加熱した。抽気を利用してDIPADSを15Torrでチャンバーへ送給した。基材温度を300℃に設定した。ガスと前駆物質の配管温度をしかるべく調整して、反応器に到達する前の凝縮を防止した。表2に記載した工程を使用するとともに例3で示したプロセスパラメーターを使用して被着を行った。プラズマ工程又は工程3の間、アルゴンと窒素の流量はそれぞれ300sccm及び200sccmであった。得られた膜のサイクル当たりの成長は0.26Å/サイクル、屈折率は1.92であった。この膜はまた、希HFウェットエッチ速度が0.67Å/s、炭素混入物が4.9at%であった。
〔例7−ジ−sec−ブチルアミノシラン(DSBAS)とビス(t−ブチルアミノ)シラン(BTBAS)とを使用して被着したPEALD膜の電気特性の比較〕
ASM Stellar PEALDツール内でモノアミノシラン前駆物質すなわちジ−sec−ブチルアミノシラン(DSBAS)と次のビスアミノシラン前駆物質すなわちビス(t−ブチルアミノ)シラン(BTBAS)及びビス(ジエチルアミノ)シラン(BDEAS)を使用して、膜を被着した。室温で200sccmのArキャリアガスを使用して、前駆物質を1Torrの蒸気圧でチャンバーへ送給した。基材温度を300℃に設定した。表2に示した処理工程を使用するとともに例3で説明した処理条件を使用し、そしてプラズマ工程又は工程3の間375sccmのArと125sccmのN2を使用して、被着を行った。
DSBASとBTBASからの被着膜の漏れ電流の比較を図1に示す。図1は、DSBASから被着した膜は低電場(E)(<4MV/cm)での漏れ電流(J)が1桁良好であったことを示している。
〔例8−ジ−sec−ブチルアミノシラン(DSBAS)とビス(t−ブチルアミノ)シラン(BTBAS)とビス(ジエチルアミノシラン)(BDEAS)を使用して被着したPEALD膜の比較〕
ASM Stellar PEALDツール内で前駆物質ジ−sec−ブチルアミノシラン(DSBAS)とビス(t−ブチルアミノ)シラン(BTBAS)とビス(ジエチルアミノ)シラン(BDEAS)を使用して、膜を被着した。室温で200sccmのArキャリアガスを使用して、前駆物質を1Torrの蒸気圧でチャンバーへそれぞれ送給した。基材温度を200℃に設定した。ガスと前駆物質の配管温度をしかるべく調整して、反応器の前での凝縮を防止した。上記以外は、例7で説明したとおりに被着を行った。被着した各膜の屈折率とサイクル当たりの成長速度を被着直後に測定した。それらを表8に提示する。
Figure 0006018149
表8を参照して、屈折率が一番大きいモノアミノシラン前駆物質DSBASを使って被着した膜から、それが窒化ケイ素のより多い膜であることが示される。ビスアミノシランのうちでは、BDEASが屈折率が1.54と最低であり、より酸化ケイ素に似た膜であることが示される。これらの膜の屈折率を、サンプルを周囲雰囲気で保管後に再度測定した。図2は、膜の屈折率が酸化のため時間とともに低下したことを示している。3つの膜のうち、モノアミノシランで膜を被着させたDSBAS膜の屈折率がビスアミノシランので被着させたBTBAS又はBDEAS膜のいずれよりも安定性が高かった。ビスアミノシランで被着させた膜のうちでは、BTBAS膜が周囲環境にておよそ1日(約24時間)後に屈折率が1.75から1.57まで低下することが示された。ビスアミノシランで被着させた残りのBDEAS膜は、安定性が一層悪いことが示され、あるいは膜の屈折率が被着直後で1.57であることが示された。これらの膜を、周囲環境中に150時間(7日)放置後のXPSにより比較のため分析した。このXPS分析から、ビス(アミノ)シランで被着した膜あるいはBDEAS被着膜とBTBAS被着膜の両方とも58%のO、6%のC及び3.5%のNを含有しているのに対し、モノアミノシランで被着した膜あるいはDSBAS膜は2.5%のO、13.9%のC及び41%のNを含有していることが示された。このように、DSBASで被着させた膜はBTBAS又はBDEASで被着させた膜のいずれよりも安定であった。
〔例9−CN−1シャワーヘッドタイプの反応器でジイソプロピルアミノシラン(DIPAS)を使用したPEALD窒化ケイ素含有膜〕
シリコンウエハをCN−1 PEALD反応器に入れ、2Torrのチャンバー圧力で300℃に加熱した。バブリング法を使ってDIPASを反応器へ送給した。ALDサイクルは表2に提示した処理工程から構成されるものであり、下記のプロセスパラメーターを使用した。
1.オルガノアミノシラン前駆物質を反応器へ導入
DIPAS: 50sccmのアルゴンが前駆物質容器を通過
アルゴン流量: 100sccm
Si前駆物質パルス: 1秒
2.不活性ガスパージ
アルゴンと窒素の合計流量500sccm
パージ時間: 10秒
3.プラズマ励起
Ar流量: 0〜500sccm
窒素流量: 0〜500sccm
Arと窒素の合計流量: 500sccm
プラズマパワー: 125W(0.7W/cm2
プラズマ時間: 5秒
4.プラズマパージ
アルゴンと窒素の合計流量: 500sccm
パージ時間: 10秒
表9は、DIPASを使用して被着した膜のプロセスパラメーターと膜特性を提示している。代表的なDIPAS膜の炭素混入物は1.2〜2.2%であった。
Figure 0006018149
〔例10−CN−1シャワーヘッドタイプ反応器でジイソプロピルアミノシラン(DIPAS)を使用したPEALDケイ素及び窒素含有膜〕
ジイソプロピルアミノシラン(DIPAS)とHe/N2プラズマからケイ素含有膜を被着させた。プロセスパラメーターとALDの工程は、Arガスの代わりにヘリウムを使用したことを除き、例9で説明したのと同じであった。表10は、He/N2プラズマを使用して被着した膜では希HFウェットエッチ抵抗(WER)が非常に小さいことを示している。試験した全てのHe/N2比において、膜はWER>22.1nm/minであり、屈折率が1.85未満である。
Figure 0006018149
〔例11−CN−1シャワーヘッドタイプ反応器でジイソプロピルアミノシラン(DIPAS)を使用したPEALD窒化ケイ素含有膜〕
300℃のスクリーニングPEALD反応器と2Torrのチャンバー圧力を使用し、下記の詳細なプロセスパラメーターを用いて、ジイソプロピルアミノシラン(DIPAS)とN2のみのプラズマとからSi含有膜を被着させた。
1.反応器への導入オルガノアミノシラン前駆物質: DIPAS
送給方法: 抽気
Si前駆物質パルス: 1秒
2流量: 500sccm
2.不活性ガスパージ
2流量: 500sccm
パージ時間: 10秒
3.プラズマ励起
窒素流量: 500sccm
プラズマパワー: 125W(0.7W/cm2
プラズマ時間: 5秒
4.プラズマをパージ
窒素流量: 500sccm
パージ時間: 10秒
サイクル当たりの成長は0.15Å/サイクルであり、屈折率は1.93である。

Claims (8)

  1. 基材の少なくとも一表面上に窒化ケイ素膜を形成する方法であって、
    a.反応器内に基材を供給する工程、
    b.ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノシラン、N−エチルシクロヘキシルアミノシラン、N−イソプロピルシクロヘキシルアミノシラン、2−メチルピペリジノシラン、N−シリルデカヒドロキノリン、2,2,6,6−テトラメチルピペリジノシラン、2−(N−シリルメチルアミノ)ピリジン、N−t−ブチルジシラザン、N−t−ペンチルジシラザン、N−(3−メチル−2−ピリジル)ジシラザン、N−(2−メチルフェニル)ジシラザン、N−(2−エチルフェニル)ジシラザン、N−(2,4,6−トリメチルフェニル)ジシラザン、N−(2,6−ジイソプリピルフェニル)ジシラザン、ジイソプロピルアミノジシラン、ジイソブチルアミノジシラン、ジ−sec−ブチルアミノジシラン、2,6−ジメチルピペリジノシラン、N−メチルシクロヘキシルアミノジシラン、N−エチルシクロヘキシルアミノジシラン、フェニルメチルアミノジシラン、2−(N−ジシリルメチルアミノ)ピリジン、N−フェニルエチルジシラン、N−イソプロピルシクロヘキシルアミノジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシランからなる群より選ばれる、基材の表面の少なくとも一部分で反応して化学吸着された層を提供する少なくとも1種のオルガノアミノシラン前駆物質を反応器へ導入する工程、
    c.窒素、希ガス及びそれらの組み合わせから選ばれる少なくとも1つを含むパージガスで反応器をパージする工程、
    d.窒素含有プラズマを反応器へ導入して上記の化学吸着された層の少なくとも一部分と反応させ、そして少なくとも1つの反応性部位を提供し、当該プラズマは約0.01〜約1.5W/cm2の範囲のパワー密度で発生させる工程、及び、
    e.反応器を不活性ガスで任意選択的にパージする工程、
    を含み、
    前記オルガノアミノシランと前記表面との反応から発生した炭化水素を除去するのを促進するため工程dの前に水素を含むプラズマを入れ、
    そして工程b〜eを窒化ケイ素膜の所望の厚さが得られるまで繰り返す、窒化ケイ素膜形成方法。
  2. 前記窒化ケイ素膜が2.4g/cc以上の密度を有する、請求項記載の方法。
  3. 当該方法がプラズマ支援化学気相堆積及びプラズマ支援サイクリック化学気相堆積から選ばれる少なくとも1つからなる群より選ばれる気相堆積法である、請求項記載の方法。
  4. 当該方法を約400℃以下の温度で実施する、請求項記載の方法。
  5. 当該方法を約300℃以下の温度で実施する、請求項記載の方法。
  6. 前記窒素含有プラズマを、窒素プラズマ、アルゴン/窒素プラズマ、ネオン/窒素プラズマ、クリプトン/窒素プラズマ、キセノン/窒素プラズマ、及びそれらの組み合わせからなる群より選択する、請求項記載の方法。
  7. 工程bが前記反応器へ希ガスを導入することを更に含む、請求項記載の方法。
  8. 前記水素を含むプラズマを、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ及びそれらの混合物からなる群より選択する、請求項記載の方法。
JP2014204854A 2013-10-03 2014-10-03 窒化ケイ素膜被着方法 Active JP6018149B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361886406P 2013-10-03 2013-10-03
US61/886,406 2013-10-03
US14/498,044 US9905415B2 (en) 2013-10-03 2014-09-26 Methods for depositing silicon nitride films
US14/498,044 2014-09-26

Publications (2)

Publication Number Publication Date
JP2015073099A JP2015073099A (ja) 2015-04-16
JP6018149B2 true JP6018149B2 (ja) 2016-11-02

Family

ID=51663047

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014204854A Active JP6018149B2 (ja) 2013-10-03 2014-10-03 窒化ケイ素膜被着方法

Country Status (6)

Country Link
US (1) US9905415B2 (ja)
EP (1) EP2857552A3 (ja)
JP (1) JP6018149B2 (ja)
KR (4) KR20150040234A (ja)
CN (1) CN104831254B (ja)
TW (1) TWI565822B (ja)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113025992B (zh) * 2014-10-24 2024-02-02 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
JP6600074B2 (ja) * 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US11104990B2 (en) * 2015-09-11 2021-08-31 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
EP3428959B1 (en) 2016-03-11 2023-03-01 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film, and silicon nitride film
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102451069B1 (ko) * 2016-09-01 2022-10-05 에이에스엠 아이피 홀딩 비.브이. 탄화수소-기반 극박막을 형성하여 층을 보호하기 위한 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11017997B2 (en) * 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102105976B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
TWI762194B (zh) 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112805405B (zh) * 2018-09-24 2024-04-23 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) * 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
WO2020101437A1 (ko) * 2018-11-15 2020-05-22 주식회사 유피케미칼 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11965239B2 (en) * 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111883543B (zh) * 2020-07-28 2022-09-27 北海惠科光电技术有限公司 阵列基板的制作方法、阵列基板和显示装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230126516A1 (en) * 2021-10-27 2023-04-27 Asm Ip Holding B.V. Methods and systems for forming doped silicon nitride films
KR102638053B1 (ko) 2021-11-15 2024-02-16 이지운 파손 시 부력을 유지하는 기능을 갖는 부구 및 그 제조방법
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
CN101572232B (zh) * 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100821082B1 (ko) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20160093093A (ko) 2011-06-03 2016-08-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same

Also Published As

Publication number Publication date
JP2015073099A (ja) 2015-04-16
CN104831254A (zh) 2015-08-12
EP2857552A3 (en) 2015-09-23
KR102256536B1 (ko) 2021-05-25
KR20210060412A (ko) 2021-05-26
KR102478568B1 (ko) 2022-12-15
US9905415B2 (en) 2018-02-27
KR102281913B1 (ko) 2021-07-23
KR20150040234A (ko) 2015-04-14
CN104831254B (zh) 2019-04-12
TWI565822B (zh) 2017-01-11
KR20160132804A (ko) 2016-11-21
TW201514332A (zh) 2015-04-16
US20150099375A1 (en) 2015-04-09
EP2857552A2 (en) 2015-04-08
KR20170018872A (ko) 2017-02-20

Similar Documents

Publication Publication Date Title
JP6018149B2 (ja) 窒化ケイ素膜被着方法
KR102434249B1 (ko) 규소-함유 막을 증착시키기 위한 조성물 및 방법
KR102245160B1 (ko) 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법
JP6466897B2 (ja) 炭素ドープケイ素含有膜を堆積するための組成物及び方法
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
EP3347504A1 (en) Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
TW202321499A (zh) 多層矽氮化物膜

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160506

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160830

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160929

R150 Certificate of patent or registration of utility model

Ref document number: 6018149

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250