TW201514332A - 沉積氮化矽膜的方法 - Google Patents

沉積氮化矽膜的方法 Download PDF

Info

Publication number
TW201514332A
TW201514332A TW103134304A TW103134304A TW201514332A TW 201514332 A TW201514332 A TW 201514332A TW 103134304 A TW103134304 A TW 103134304A TW 103134304 A TW103134304 A TW 103134304A TW 201514332 A TW201514332 A TW 201514332A
Authority
TW
Taiwan
Prior art keywords
decane
dioxane
plasma
reactor
group
Prior art date
Application number
TW103134304A
Other languages
English (en)
Other versions
TWI565822B (zh
Inventor
Haripin Chandra
Anupama Mallikarjunan
Xinjian Lei
Moo-Sung Kim
Kirk Scott Cuthill
Mark Leonard O'neill
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201514332A publication Critical patent/TW201514332A/zh
Application granted granted Critical
Publication of TWI565822B publication Critical patent/TWI565822B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/027Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文描述的是形成氮化矽膜的方法。在一態樣中,提供一種形成氮化矽膜的方法,其包含下述步驟:將基材提供於反應器中;將本文所述的至少一具有至少一SiH3基團的有機胺基矽烷物引進該該反應器,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;利用洗淨氣體洗淨該反應器;將包含氮和惰性氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生。

Description

沉積氮化矽膜的方法 相關申請案之相互參照
本案請求2013年10月3日申請的美國臨時申請案第61/886,406號的權益,在此以引用的方式將其全文併入本文。
本文描述的是一種使用一或更多有機胺基矽烷前驅物沉積保形的化學計量或非化學計量的氮化矽膜之方法。更明確地說,本文描述的是以電漿為基礎的方法,其包括,但不限於,用於沉積裝配積體電路裝置時使用的氮化矽膜之電漿強化原子層沉積(“PEALD”)、電漿強化循環式化學氣相沉積(“PECCVD”)。
低壓化學氣相沉積(LPCVD)方法是半導體業沉積氮化矽膜所用的更廣為接受的方法之一。使用氨的低壓化學氣相沉積(LPCVD)可能需要高於650℃的沉積溫度以獲得適度的生長速率及均勻度。較高的沉積溫度通常係用以提供改善的膜性質。生長氮化矽更常見的工業方法之一是透過低壓化學氣相沉積於熱壁反應器中於>750℃的溫度下使用該等 前驅物甲矽烷、二氯矽烷及/或氨。然而,使用此方法有數個缺點。舉例來說,某些前驅物,例如甲矽烷係自燃性。這可能存在處理和使用的問題。另外,由甲矽烷和二氯矽烷沉積的膜可能含有某些雜質。舉例來說,使用二氯矽烷沉積的膜可能含有某些雜質,例如氯及氯化銨,其係依沉積過程中的副產物之形式生成。
沉積氮化矽膜使用用的前驅物例如BTBAS及氯矽烷類一般於高於550℃的溫度下沉積該等膜。半導體裝置微型化及低熱預算的趨勢需要低於400℃的製程溫度及較高沉積速率。該等矽膜沉積用的溫度要降低以防止晶格中的離子擴散,特別是包含金屬化層及在許多III-V族和II-VI族裝置上的那些基材。
美國專利公開案第2013/183835號(“該‘835號公開案”)描述於低溫下將保形性氮化矽膜形成於基材上的方法及設備。該等形成氮化矽層的方法包括執行使處理氣體混合物流入內含基材的處理艙的沉積循環,其中該處理氣體混合物包含具有不穩定的矽氮鍵、矽碳鍵或氮碳鍵之前驅物氣體分子,於介於約20℃至約480℃的溫度下藉由優先將不穩定鍵打斷以提供沿著前驅物氣體分子的一或更多反應部位而活化該前驅物氣體,將前驅物材料層形成於該基材上,其中該等經活化的前驅物氣體分子以一或更多反應部位與該基材的表面鍵結,及於該前驅物材料層上執行電漿處理製程以形成保形性氮化矽層。該‘835號公開案教導該處理氣體混合物可另外包括氨、肼、氦、氬、氫、氮、氙及氦(參見該‘835號公 開案的[0031])。該‘835號另外教導氬和氦可能較不適合於較高功率(例如,高於1W/cm2)下應用於該處理氣體混合物,因為其處於電漿狀態時可能具有太高反應性並且引發該前驅物分子的過度分解(而非只是有助於打斷不穩定鍵(同上))。
美國專利公開案第2009/075490號(“該‘490號公開案”)描述一種製備氮化矽膜的方法,其包含將矽晶圓引進反應艙;將氮化矽化合物引進該反應艙;以惰性氣體洗淨該反應艙;並且在適於將氮化矽膜的單分子層形成於該矽晶圓上的條件之下將含氮共反應物以氣態引進該反應艙。
美國專利公開案第2009/155606號(“該‘606號公開案”)描述將氮化矽膜沉積於基材上的循環式方法。在一具體實施例中有一方法包括將氯矽烷供應至處理基材的反應器;將洗淨氣體供應至該反應器;並且將氨電漿提供至該反應器。
美國專利第6,391,803號(“該‘803號專利”)描述一種形成含矽的固體薄膜層的原子層沉積法。
美國專利第6,528,430號(“該‘430號專利”)描述一種使用Si2Cl6和NH3或Si2Cl6和活化的NH3當反應物形成氮化矽薄膜之ALD方法。在該方法之一具體實施例中,該NH3反應物係於遠距離電漿產生器中產生以形成電漿並且以氬載運氣流引進該艙(參見第‘430號專利的第4欄,56至62行)。
美國公開案第2010/0081293號(“該‘293號公開案”)描述一種沉積氮化矽的方法,其包括將矽前驅物和自由基氮前驅物引進沉積艙。該矽前驅物具有N-"Si-"H鍵、N-"Si-"Si鍵及/或Si-"Si-"H鍵。該自由基氮前驅物實質 上不含氧。該自由基氮前驅物係於該沉積艙外側產生。該矽前驅物和該自由基氮前驅物相互作用以形成該以氮化矽為基礎的介電層。該‘293號公開案另外教導該自由基惰性氣體前驅物用途,其能由選自Ne、Ar、Kr及/或Xe的起始材料於該沉積艙外側產生(參見‘293號公開案的[0027]至[0028]及申請專利範圍第17項)。該自由基惰性氣體前驅物能聯合選自N、NH及NH2的自由基氮前驅物用於沉積以矽碳為基礎的介電層或沉積以氮化矽為基礎的介電層(參見同上的申請專利範圍第4項)。
美國公開案第2012/196048號(“該‘048號公開案”)描述一種藉由分別輪流進行將前驅物吸附於基材上的製程及使用反應物氣體處理被吸附的表面的製程多次形成薄膜的方法,其中該反應物氣體係實質上均勻地供應於基材上面,而且電漿係以脈衝時間調變並且應用於供應該反應物氣體的製程。
標題名為"Atomic layer controlled growth of Si3N4 films using sequential surface reactions."的參考資料,Klaus等人,Surface Science 418:L14-L19(1998)描述一種使用連續性表面反應利用原子層控制將Si3N4薄膜沉積於Si(100)基材上的方法。該Si3N4膜生長係藉由將該二元反應3SiCl4+4NH3→Si3N4+12HCl分成兩個半反應而完成。該SiC4和NH3半反應連續應用於ABAB...序列於介於500與900°K的基材溫度及1-10托耳的SiCl4和NH3反應物壓力下產生Si3N4沉積。
標題名為“Plasma-assisted ALD of Silicon Nitride from BTBAS:Influence of Plasma Exposure and Substrate Temperature”的參考資料,12th International Conference on Atomic Layer Deposition.San Diego,CA.Knoops等人(ALD2013)教導使用BTBAS(雙-胺基矽烷)利用N2電漿沉積氮化矽的方法。該沉積膜具有約5% O2及約5%碳。
標題名為“Disilanyl-amines-Compounds Comprising the Structure Unit Si-Si-N,as Single-Source Precursors for Plasma-Enhanced Chemical Vopor Deopsition(PE-CVD)of Silicon Nitride”的參考資料,Schuh等人,Zeitschrift Für Anorganische und Allgemeine Chemie,619(1993),pp.1347-52描述有可能用於氮化矽膜的PECVD的單源前驅物(single-source precursor),其中該等前驅物具有結構單元Si-Si-N例如(Et2N)2HSi-SiH3、(Et2N)2HSi-SiH(NEt2)2、(i-Pr)2NH2Si-SiH3及[(i-Pr)2N]H2Si-SiH2[N(i-Pr)2]。該前驅物1,2-雙(二異丙基胺基)二矽烷(BIPADS)係用於氮化矽膜的PECVD沉積。由該BIPADS前驅物得到的膜顯示出介於1.631至1.814的折射率而且具有低碳含量和極低氧含量但是高(與Si鍵結的)氫含量。
所以,此技藝需要提供一種沉積保形性高品質氮化矽膜的低溫(例如,400℃或以下的處理溫度)方法,其中該膜比起使用其他沉積方法的其他氮化矽膜,具有一或更多下述特性:每立方公分2.4克(g/cc)或更高的密度、低濕式蝕刻速率(於稀氫氟酸(HF)中測量)及其組合。
本文描述的是將化學計量或非化學計量的氮化矽膜形成於基材的至少一部分上的方法。
在一態樣中,提供一種形成氮化矽膜之方法,該方法包含下述步驟:a.將基材提供於反應器中;b.將下述式I、II及III所示的至少一有機胺基矽烷引進該反應器: 其中R1係選自線性或分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連在一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環,而且在式III中n=1或2,其中 該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器;d.將包含氮和惰性氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。在某些具體實施例中,R1及R2相同。在其他具體實施例中,R1及R2不同。在各個不同具體實施例中,R1及R2能連在一起以形成一環。在又其他具體實施例中,R1及R2沒連在一起以形成一環。
在另一態樣中,提供一種藉著電漿強化原子層沉積製程或類似電漿強化ALD的製程形成氮化矽膜之方法,該方法包含下述步驟:a.將基材提供於反應器中;b.將選自由以下所組成的群組之至少一有機胺基矽烷前驅物引進該反應器:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-甲矽烷基十氫喹啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-甲矽烷基甲基胺基)吡啶、N-第三丁基二矽烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基戊基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基 戊基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、二異丙基胺基二矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二甲矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙基二胺基)二矽烷,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器,該洗淨氣體包含選自氮、稀有氣體(noble gas)及其組合中的至少一者;d.將含氮電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。在某些具體實施例中,R1及R2相同。在其他具體實施例中,R1及R2不同。在各個不同具體實施例中,R1及R2能連在一起以形成一環。在又其他具體實施例中,R1及R2沒連在一起以形成一環。
在另一態樣中,提供一種將包含約5至約50個原子重量百分比碳的氮化矽膜形成於基材的至少一表面上之方法,該方法包含下述步驟:a.將基材提供於反應器中;b.將選自由以下所組成的群組的至少一有機胺基矽烷前驅物引進該反應器:二異丙基胺基矽烷、二第二丁基胺基矽 烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-甲矽烷基十氫喹啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-甲矽烷基甲基胺基)吡啶、N-第三丁基二矽烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基戊基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基戊基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二甲矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙基二胺基)二矽烷,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器,該洗淨氣體包含選自氮、稀有氣體及其組合中的至少一者;d.將稀有氣體電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。
圖1提供由雙(第三丁基胺基)矽烷(BTBAS)和二第二丁基胺基矽烷(DSBAS)沉積的氮化矽膜之漏電擊穿比較,該等氮化矽膜係於300℃的溫度下沉積。
圖2提供由下述前驅物沉積的氮化矽膜的折射率穩定度比較:DSBAS、BTBAS及雙(二乙基胺基)矽烷(BDEAS)。
圖3提供使用二異丙基胺基矽烷(DIPAS)的折射率(RI)對比於總流量的氬(Ar)百分比之間的關係。
於低溫,例如,400□C或更低的溫度,下沉積符合被視為高品質膜的一或更多標準的保形性氮化矽膜衍然成為長久已來的產業挑戰。假使氮化矽膜具有一或更多下述特性便將其視為“高品質”膜:每立方公分2.4克(g/cc)或更高的密度、比其他氮化矽膜低的濕式蝕刻速率(於稀氫氟酸(HF)中測量)及其組合。在各個不同具體實施例中,該高品質氮化矽膜的折射率理應為1.9或更高。在半導體領域中有數種應用例如需要高品質膜的先進圖案化或間隔件。在一具體實施例中,本文所述的是於低溫或介於約25℃至約400□C的一或更多沉積溫度下,於電漿製程中使用具有本文所述的式I至III的有機胺基矽烷前驅物,之原子層沉積(ALD)或類似ALD的方法,該有機胺基矽烷前驅物包含氮及任意地稀有氣體。
本文描述的是將包含矽和氮化物的化學計量或非化學計量的氮化矽膜形成於基材的至少一部分上之方法。在某些具體實施例中,該氮化矽膜另外包含碳。在各個不同 具體實施例中,該氮化矽膜另外包含氧。
本文所述的氮化矽膜係使用至少一有機胺基矽烷來沉積,該少一有機胺基矽烷包含鍵結於氮原子的至少一SiH3基團。該至少一有機胺基矽烷係由下述式I、II及III表示: 其中R1係選自線性或分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連在一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環;而且在式III中n=1或2。具有式I、II及III的示範有機胺基矽烷前驅物包括,但不限於,表1所示的下述前驅物。
本文所述之具有式I、II及III的有機胺基矽烷前驅物顯現使其非常適合當成微電子裝置製程中的PEALD或PECCVD前驅物的反應性和安定性之均衡。關於反應性,某些前驅物可能具有太高而無法被汽化並且運送至該反應器以便以膜形式沉積於基材上的沸點。具有相對較高沸點的前驅物要求該運送容器及管道必須於該前驅物的沸點或以上在指定真空度之下加熱以防止凝結或粒子形成於該容器、管道或二者中。關於安定性,當其他前驅物降解時其可能生成甲矽烷(SiH4)或二矽烷(Si2H6)。甲矽烷於室溫下具有自燃性或其能自發性地燃燒而出現安全和處理上的問題。再者,甲矽烷或 二矽烷及其他副產物的形成使該前驅物的純度水準降低而且就可靠的半導體製造而言小到像1至2%般的化學純度變化可能就被視為不能接受。在某些具體實施例中,該具有式I至III的有機胺基矽烷前驅物包含2重量%或更小,或1重量%或更小,或0.5重量%或更小的副產物(在儲存經過6個月或更久,或1年或更久以後),那表示具有貯藏安定性。除了前述優點以外,在某些具體實施例中,例如利用PEALD或PECCVD沉積方法沉積氮化矽膜,本文所述的有機胺基矽烷前驅物可能能於較低溫度下沉積高密度材料,例如,400℃或更低或300℃或更低,200℃或更低,100℃或更低或50℃或更低。在一特定具體實施例中,該有機胺基矽烷前驅物,例如式I前驅物(例如,二異丙基胺基矽烷或二第二丁基胺基矽烷)、式II前驅物(例如,2,6-二甲基六氫吡啶基矽烷)或式III前驅物(例如,二異丙基胺基二矽烷、二第二丁基胺基二矽烷或2,6-二甲基六氫吡啶基二矽烷),可能用以藉著PEALD或PECCVD於低到像200℃或更低般的溫度下或於周遭或室溫(例如,25℃)下沉積氮化矽或碳氮化矽膜。
在該等式子中及整個說明書中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在該等式子中及整個說明中,該措辭“芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、 甲苯基及鄰-二甲苯基。
在該等式子中及整個說明書中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有3至10或3至6或3至4個碳原子的基團。
在該等式子中及整個說明書中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有3至10或3至6或3至4個碳原子的基團。
在該等式子中及整個說明書中,該措辭“二烷基胺基”表示有兩個接附於氮原子並且具有1至10或2至6或2至4個碳原子的基團。示範二烷基胺基包括,但不限於,二甲基胺基、二乙基胺基及乙基甲基胺基。
本文所用的措辭“拉電子基”描述其扮作從該Si-N鍵拉走電子的原子或基團。適當拉電子基或取代基的實例包括,但不限於,腈基(CN)。在某些具體實施例中,拉電子取代基可能毗鄰或靠近任何一式I中的N。其他拉電子基的非限定實例包括包括F、Cl、Br、I、CN、NO2、RSO及/或RSO2,其中R可為是C1至C10烷基例如,但不限於,甲基或另一基團。
在某些具體實施例中,式I至III中的烷基、烯基、炔基、烷氧基、二烷基胺基、芳基及/或拉電子基中的一或更多者可能被取代或有一或更多原子或原子團被取代以代替,舉例來說,氫原子。示範取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。在其他具體實施例中,式I至III中的烷基、烯基、炔基、烷氧基、二烷 基胺基芳基及/或拉電子基中的一或更多者可能未經取代。
用以形成該等氮化矽膜或塗層的方法係沉積製程。用於本文所揭示的方法之適當沉積製程的實例包括,但不限於,電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程。如本文所用的,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該前驅物於該基材表面上反應及/或分解以產生預期沉積作用的任何製程。如本文所用的,該措辭“原子層沉積製程”表示將材料的膜沉積於多變組成的基材上之自限性(例如,各反應周期中沉積的膜材料量恆定)的連續表面化學。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該等前驅物可能是液態或固態,該等前驅物經由直接汽化、起泡或昇華利用或沒用惰性氣體轉移至該反應器中。在一些案例中,該等經汽化的前驅物能通過電漿產生器。在一具體實施例中,該氮化矽膜係利用ALD製程來沉積。在另一具體實施例中,該氮化矽膜係利用CCVD製程來沉積。在另一具體實施例中,該氮化矽膜係利用熱CVD製程來沉積。本文所用的措辭“反應器”包括,但不限於,反應艙或沉積艙。該類似ALD的製程在此係定義成一循環式CVD製程,其將高保形性氮化矽膜例如,氮化矽或碳氮化矽,提供於所述基材上使其具有以下至少一者:以橢圓儀測量約5%或更低的不均勻性百分比、每個循環1Å或更高的沉積速率或其組合。
在某些具體實施例中,本文所揭露的方法藉由使用在引進該反應器以前及/或期間分開該等前驅物的PEALD 或PECCVD方法避免該等前驅物的預反應。關此,利用沉積技術例如PEALD或PECCVD方法來沉積該氮化矽膜。在一具體實施例中,該膜係經由PEALD製程藉由使該基材表面輪流暴露於該氮化矽前驅物、含氮來源或其他前驅物或試劑中的其一或更多者而沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,便停止該膜生長。
在某些具體實施例中,本文所述的方法另外包含該具有上式I至III的有機胺基矽烷前驅物以外的一或更多其他氮化矽前驅物。其他氮化矽前驅物的實例包括,但不限於,單氯矽烷、二氯矽烷、六氯二矽烷。
依據該沉積方法,在某些具體實施例中,該至少一有機胺基矽烷前驅物可以預定莫耳體積,或約0.1至約1000微莫耳引進該反應器。在各個不同具體實施例中,該至少一有機胺基矽烷前驅物可經歷預定時期引進該反應器。在某些具體實施例中,該時期介於約0.001至約500秒。
在某些具體實施例中,該等氮化矽膜包含矽和氮。在這些具體實施例中,利用本文所述的方法沉積的氮化矽膜係於含氮來源存在之下形成。含氮來源可依照至少一含氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可能包括,舉例來說,氮/氬電漿。在某些具體實施例中,該含氮來源包含於介於約1至約2000標準立方釐米(sccm)或約1至約1000sccm的流速下引進該反應器的氮/氬電漿來源氣體。該含氮來 源能引進經歷介於約0.1至約100秒的時間。在藉由ALD或循環式CVD製程沉積該膜的多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該含氮來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。
在本文所述的方法中,包含含氮氣體例如,但不限於,氮及任意地稀有氣體的含氮電漿能於現場或遠距產生,較佳為原子質量大於氮的原子質量(亦即,28amu)的稀有氣體。咸相信在原子質量大於氮的原子質量的稀有氣體存在將創造更多原子氮自由基。該氮電漿來源氣體係於介於約1至約2000標準立方釐米(sccm)或約1至約1000sccm或更高的流速下引進該反應器。該含氮電漿能引進經歷介於約0.01至約100秒或更長的時間。在多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該含氮電漿可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該前驅物脈衝與氮電漿之間的洗淨時期可能小到0秒。在又另一具體實施例中,當能運用氫電漿時,該氫電漿能於現場或遠距使用純氫(H2)與稀有氣體混合產生。該同時含氮和稀有氣體的電漿中之稀有氣體百分比能變化於1重量%至99重量%,而該同時含氫和稀有氣體的電漿中之稀有氣體百分比也能變化於1重量%至99重量%。
如本文的工作實施例所證實,先前技藝所揭露的 習用含氮電漿例如氨電漿、氫/氮電漿可能無法使用現有的有機胺基矽烷類例如BTBAS提供高品質氮化矽。不欲受理論束縛,咸相信該同時含氮和稀有氣體例如氬的電漿能助於氮化矽膜生成並且在其後的沉積循環中於該具有式I至III及至少一SiH3基團的有機胺基矽烷被化學吸附的表面之至少一部分上提供反應性部位以拋錨於這些反應性部位上。這使該循環式沉積能夠發生,然而習用的含氮電漿例如氨電漿、氫/氮電漿卻可能毒化該表面,藉以導致最小量膜沉積。不欲受任何理論束縛,該電漿密度係由電漿功率除以電極面積來定義(舉例來說,6”晶圓ALD反應器的電漿功率為450W,假設電極面積與該晶圓相同,則電漿密度便為約2.5W/cm2)而且較佳為低於2W/cm2以減少於該基材以及該基材上的任何內建結構上可能的電漿損害,卻仍舊產生能提供給半導體製程的高品質氮化矽膜。本文所述的方法之電漿密度介於約0.01至約2W/cm2,或約0.01至約1.5W/cm2,或約0.01至1W/cm2。典型的電漿頻率介於10KHz至2.4GHz,較佳為10kHz至60MHz。在某些具體實施例中,能運用雙重射頻電漿,一低頻介於10kHz至1MHz而且另一中等頻率選自由13.56MHz和27.1MHz所組成的群組。
本文所揭露的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖(Ne)、氫(H2)及其混合物。在某些具體實施例中,當洗淨氣體用的惰性氣 體包含稀有氣體。本文所用的措辭“稀有氣體”意指於周期表第18族中見到者並且包括,氦(He)、氖(Ne)、氬(Ar)、氙(Xe)、氪(Kr)及其混合物。在一特定具體實施例中,當洗淨氣體用的稀有氣體包含氬。在各個不同具體實施例中,該包含Ar的洗淨氣體係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的材料和可能留在該反應器中的任何副產物。
供應該等前驅物、該含氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變所得氮化矽膜的化學計量組成。
把能量施加於該前驅物、含氮來源、還原劑、其他前驅物或其組合中的至少其一以引發反應並且將該氮化矽膜或塗層形成於該基材上。此能量可藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特性。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生方法。
該等有機胺基矽烷前驅物可以單晶圓或批次依各式各樣的方式例如起泡、蒸氣牽引(蒸氣牽引)或直接液體注射(DLI)輸送給該反應艙例如PEALD或PECCVD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具 體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性而且有益於特定最終用途應用的溶劑組分以於基材上形成膜。
在某些具體實施例中,從該前驅物藥罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且具有本文所述的式I至III之有機胺基矽烷前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含至少一具有本文所述的化學式的氮化矽前驅物之溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
氬及/或其他惰性氣體流可當成載運氣體運用以協助在該前驅物脈衝的期間將該至少一有機胺基矽烷前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙程序壓力係約2托耳或更低。在其他具體實施例中,該反應艙程序壓力係約10托耳或更低。
在典型的PEALD或PECCVD或類似PEALD製程中,在最初暴露於該氮化矽前驅物的反應艙之加熱器段上加熱基材例如,但不限於,氧化矽、摻碳的氧化矽、撓性基材或金屬氮化物基材以便使該有機胺基矽烷能以化學方式吸附於該基材表面上。洗淨氣體例如氮、氬或其他惰性氣體從 該加工艙洗掉沒被吸附的過量有機胺基矽烷。經過充分洗淨以後,含氮來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工周期能重複進行以達成預期的膜厚度。在其他具體實施例中,在真空之下抽排能用以從該加工艙移除沒被吸附的過量有機胺基矽烷,等到在抽排作用之下充分抽空以後,含氧來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。
在一態樣中,提供一種形成氮化矽膜之方法,該方法包含下述步驟:a.將基材提供於反應器中;b.將下述式I、II及III所示的至少一有機胺基矽烷引進該反應器: 其中R1係選自線性或分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔 基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連在一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環,而且在式III中n=1或2,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器;d.將包含氮和惰性氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。在某些具體實施例中,R1及R2相同。在其他具體實施例中,R1及R2不同。在各個不同具體實施例中,R1及R2能連在一起以形成一環。在又其他具體實施例中,R1及R2沒連在一起以形成一環。任意地包含氫的電漿能在步驟d之前介入以助於移除該有機胺基矽烷與該表面之間的反應產生的烴。該包含氫的電漿係選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組。
在另一態樣中,提供一種藉著電漿強化原子層沉積製程或類似電漿強化ALD的製程形成氮化矽膜之方法,該方法包含下述步驟:a.將基材提供於反應器中; b.將選自由以下所組成的群組之至少一有機胺基矽烷前驅物引進該反應器:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-甲矽烷基十氫喹啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-甲矽烷基甲基胺基)吡啶、N-第三丁基二矽烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基戊基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基戊基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二甲矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙基二胺基)二矽烷,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器,該洗淨氣體包含選自氮、稀有氣體及其組合中的至少一者;d.將含氮電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。
以上的步驟定義本文所述的方法的一個循環;而 且該循環可重複進行直到獲得預期的氮化矽膜厚度為止。在各個不同具體實施例中,咸了解本文所述的方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等前驅物和含氧來源的分別步驟可藉由變化供應彼等的時期來進行以改變所產生的氮化矽膜的化學計量組成,但是總是使用少於可利用的矽的化學計量的氮。
本文所述的方法的某些具體實施例中,重複進行步驟b至e以提供厚度介於約0.1至約500Å,或約0.1至約5Å,或約0.1至約10Å,或約0.1至約50Å,或0.1至100Å的氮化矽膜。在本文所述的方法之一特定具體實施例中,包含氫的電漿能在步驟d之前介入以助於移除該有機胺基矽烷與該表面之間的反應產生的烴。該包含氫的電漿係選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組。
在某些具體實施例中,所產生的氮化矽膜或塗層能暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光及/或其他處理以引發該膜的一或更多性質。
在某些具體實施例中,本文所述的含氮化矽膜具有6或更低的介電常數。在各個不同具體實施例中,該等膜具有約5或更低,或約4或更低,或約3.5或更低的介電常數。然而,能想像具有其他介電常數(例如,更高或更低)的膜能依據該膜的預期最終用途形成。利用本文所述的有機胺基矽烷 前驅物和方法所形成的含矽膜或氮化矽膜之實例具有此配方SixOyCzNvHw,其中Si介於約10%至約40%;O介於約0%至約5%;C介於約0%至約10%;N介於約0%至約75%或約0%至50%;而且H介於約0%至約10原子百分比重量%,其中舉例來說藉由XPS或其他裝置測定時,x+y+z+v+w=100個原子重量百分比。
在該膜使用包含稀有氣體的電漿沉積之一特定具體實施例中,舉例來說藉由XPS或其他裝置測定時,該氮化矽膜包含約5%至約50%碳原子重量百分比。在此特定具體實施例中,該氮化矽膜另外包含,其中Si介於約10%至約40%;O介於約0%至約5%;N介於約0%至約75%或約0%至50%;而且H介於約0%至約10原子百分比重量%,其中該膜的總重量百分比加總達100個原子重量百分比。
如先前提及的,本文所述的方法可用以將氮化矽膜沉積於基材的至少一部位上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、氫化碳化矽、氮化矽、氫化氮化矽、碳氮化矽、氫化碳氮化矽、硼氮化物、抗反射塗層、光阻劑、撓性基材例如IGZO、有機聚合物、多孔性有機和無機材料、金屬類例如銅和鋁,及擴散阻障層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與多變的後續處理步驟例如,舉例來說,化學機械平坦化(CMP)和各向異性蝕刻製程均相容。
所沉積的膜具有多種應用,其包括,但不限於,電腦晶片、光學裝置、磁性資料儲存、於支撐材料或基材上 的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。
下述實例舉例說明用於沉積本文所述的氮化矽膜之方法而且不欲依任何方式限制彼。
實施例
在以下實施例中,除非另行指明,否則均由沉積於中等電阻率(14至17Ωcm)單晶矽晶圓基材上的樣品膜獲得性質。將該矽晶圓分別加熱至100℃或300℃。使用300mm製造設備,所有膜沉積均使用300mm製造設備,ASM Stellar 3000 PEALD反應器,或CN-1,150mm實驗室級噴灑頭(showerhead)型ALD反應器來進行。
該ASM Stellar反應器設備係單晶圓製造設備。該反應艙係裝備27.1MHz直接電漿的Folded Lateral Reactor(FLR)。在典型沉積中,除非另行指明,否則該艙壓係固定於2托耳。沉積的期間使用另一惰性氣體來保持艙壓。該有機胺基矽烷前驅物係利用於15托耳下的蒸氣牽引或伴隨著200標準立方公釐(sccm)於1托耳蒸氣壓力下的氬氣輸送至該反應艙。包括比較例在內的所有實施例係利用500W的射頻電漿功率除以約300mm晶圓的電極面積而提供0.7W/cm2的功率密度來進行。
該CN-1反應器具有配合13.56MHz直接電漿的噴灑頭設計。在典型的製程條件中,除非另行指明,否則該 艙壓係固定於2托耳。用另一惰性氣體來保持艙壓。該有機胺基矽烷前驅物係利用50sccm於14托耳蒸氣壓的氬來輸送或,在僅用氮的製程前驅物的案例中利用蒸氣牽引(亦即完全沒使用氬)來輸送。所應用的典型射頻功率係125W除以150mm晶圓的電極面積以提供0.7W/cm2的功率密度。該等膜沉積包含表2列示的步驟。表2中的步驟1至4構成一PEALD周期並且重複進行而且除非另行指明,否則重複進行總共100次以得到預期的膜厚度。
已沉積的膜之折射率(RI)及厚度使用橢圓儀來測量。膜不均勻性利用下述標準方程式來計算:不均勻性%=((最大厚度-最小厚度)/(2*平均(avg)厚度))。膜結構及組成利用傅利葉轉換紅外線(FTIR)光譜學及X-射線光電子光譜學(XPS)來分析。該等膜的密度利用X-射線反射儀(XRR)來測量。濕式蝕刻速率係於稀HF溶液,1:99的49% HF/水比率 中進行。熱氧化物SiO2膜係利用相同排程蝕刻以證實溶液濃度一致。
比較例1.利用雙(第三丁基胺基)矽烷(BTBAS)和Ar/N2電漿進行氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃的溫度而且具有約2托耳的艙壓。沉積製程係描述於表2中,在下述製程條件之下重複進行1000次:
1.輸送有機胺基矽烷前驅物:雙(第三丁基胺基)矽烷(BTBAS)
輸送方法:蒸氣牽引
氬流量:300sccm
BTBAS脈衝:1秒
2.惰性氣體洗淨
氬流量:300sccm
洗淨時間:2秒
3.電漿條件
氬流量:300sccm
氮流量:400sccm
電漿功率:500W(0.7W/cm2)
電漿時間:5秒
4.洗淨電漿
氬流量:300sccm
洗淨時間:2秒
氮化矽膜係於0.41Å/周期下沉積而且折射率為1.84。膜含有1.3%氧及11.7%碳,而且密度為2.3g/cc。膜濕式蝕刻速率高於4.5Å/秒(27nm/min)。
比較例2.利用雙(二乙基胺基)矽烷(BDEAS)和Ar/N2電漿進行氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃的溫度而且具有約2托耳的艙壓。沉積製程與比較例1所述者相同。
氮化矽膜係於0.22Å/周期下沉積而且折射率為1.88。膜含有3.9%氧及11.9%碳,而且密度為2.2g/cc。膜濕式蝕刻速率高於3.75Å/秒(>23nm/min)。
比較例3.利用雙(第三丁基胺基)矽烷(BTBAS)和Ar/NH3電漿進行氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃的溫度而且具有約2托耳的艙壓。沉積製程係描述於表2中,在下述製程條件之下重複進行1000次:
1.輸送有機胺基矽烷前驅物:雙(第三丁基胺基)矽烷(BTBAS)
輸送方法:蒸氣牽引
氬流量:300sccm
BTBAS脈衝:1秒
2.惰性氣體洗淨
氬流量:300sccm
洗淨時間:2秒
3.電漿條件
氬流量:300sccm
氮流量:400sccm
電漿功率:500W(0.7W/cm2)
電漿時間:5秒
4.洗淨電漿
氬流量:300sccm
洗淨時間:2秒
觀察到具有最小沉積速率(<0.05Å/周期)的氮化矽膜。膜太薄而無法正確地測量折射率。
實施例1:利用二異丙基胺基矽烷(DIPAS)及Ar/N2電漿進行氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃的溫度而且具有約2托耳的艙壓。沉積製程係描述於表2中並且重複進行1000次。應用的製程條件與比較例1所述者相同,加上變動的前驅物脈衝:0.5至5秒。將沉積速率及折射率總結於下述表3。
選用膜2、5及6來代表其他膜特性的實施例。測量這些膜的膜雜質、密度及於稀HF中的濕式蝕刻速率並且將結果列於下述表4中。如表4的結果指示,由DIPAS沉積的氮化矽膜具有比比較例1的BTBAS沉積膜更低碳含量、更高密度及更低濕式蝕刻速率。
實施例2:使用二第二丁基胺基矽烷(DSBAS)和Ar/N2電漿進行含氮化矽膜的PEALD
沉積製程係利用表2所述的步驟進行並且重複進行1000次。應用的製程條件與比較例1所述者相同,而且變動的前驅物脈衝時間介於0.2至5秒。測量所有已沉積的膜之沉積速率及折射率並且將其列於下述表5中。沉積速率及折射率二者均與實施例1所示的DIPAS一致。
實施例3:利用二第二丁基胺基矽烷(DSBAS)及Ar/N2電漿和不同氬(Ar)百分比進行氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃而且具有約2托耳的艙壓。DSBAS係利用200sccm於室溫下的Ar載運氣體於1托耳蒸氣壓力下輸送至該艙。基材溫度係調設成300℃。氣體和前驅物管道溫度係相應地調整以預防到該反應器之前凝結。沉積係利用表2所述的 步驟並且利用下述製程參數進行:
1.將有機胺基矽烷前驅物引進該反應器:DSBAS
氬流量:300sccm
矽前驅物脈衝:1秒
2.惰性氣體洗淨
氬流量:300sccm
洗淨時間:5秒
3.電漿條件
氬流量:325至425sccm
氮流量:75至200sccm
氬和氮的總流量:500sccm
艙壓:2托耳
電漿功率:500W(0.7W/cm2)
電漿時間:5秒
4.洗淨電漿
氬流量:300sccm
艙壓:2托耳
洗淨時間:0.5秒
計算以DSBAS及使用不同比率的Ar對比於N2的Ar/N2電漿所沉積的氮化矽膜之每周期的生長量(GPC)及折射率並且將其列於表6。
表7含有由二第二丁基胺基矽烷(DSBAS)及Ar對比於N2的比率不同的Ar/N2電漿所沉積的含氮化矽膜之XPS測得的碳含量及稀HF濕式蝕刻速率比較。使氬和氮流量適化將改善每周期生長量(GPC)及膜均勻性而不會影響膜性質。在任一案例中,沉積膜的碳含量均為6至7%,蝕刻速率為0.50Å/秒而且膜密度為2.8g/cc。
實施例4:利用苯基甲基胺基矽烷(PMAS)及Ar/N2電漿進行含氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃而且具有約2托耳的艙壓。PMAS係利用200 sccm於室溫下的Ar載運氣體於1托耳蒸氣壓力下輸送至該艙。基材溫度係調設成300℃。氣體和前驅物管道溫度係相應地調整以預防到該反應器之前凝結。沉積係利用表2所述的步驟在類似於實施例3提供的製程參數之下進行。在該電漿步驟或步驟3的期間,該氬和氮流量分別為300sccm和200sccm。所得的膜具有0.18Å/周期的每周期生長量及1.95的折射率。該膜也具有0.53Å/秒的稀HF濕式蝕刻速率。
實施例5:利用苯基甲基胺基二矽烷(PMADS)及Ar/N2電漿進行含氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且加熱至300℃而且具有約2托耳的艙壓。PMADS係利用200sccm於室溫下的Ar載運氣體於1托耳蒸氣壓力下輸送至該艙。基材溫度係調設成300℃。氣體和前驅物管道溫度係相應地調整以預防到該反應器之前凝結。沉積係利用表2所述的步驟及實施例3提供的製程參數進行。在該電漿步驟或步驟3的期間,該氬和氮流量分別為300sccm和200sccm。所得的膜具有0.22Å/周期的每周期生長量及1.94的折射率。該膜也具有0.77Å/秒的稀HF濕式蝕刻速率及7.0原子重量%(at.%)的碳雜質。
實施例6:利用二異丙基胺基二矽烷(DIPADS)及Ar/N2電漿進行含氮化矽膜的PEALD
將該矽晶圓載入Stellar 3000 PEALD反應器並且 加熱至300℃而且具有約2托耳的艙壓。DIPADS係利用蒸氣牽引於15托耳下輸送至該艙。基材溫度係調設成300℃。氣體和前驅物管道溫度係相應地調整以預防到達該反應器之前凝結。沉積係利用表2所述的步驟及實施例3提供的製程參數進行。在電漿步驟或步驟3的期間,該氬和氮流量分別為300sccm和200sccm。所得的膜具有0.22Å/周期的每周期生長量及1.92的折射率。該膜也具有0.67Å/秒的稀HF濕式蝕刻速率及4.9at.%的碳雜質。
實施例7:使用二第二丁基胺基矽烷(DSBAS)及雙(第三丁基胺基)矽烷(BTBAS)沉積的PEALD膜之電氣性質的比較
膜係利用單胺基矽烷前驅物或二第二丁基胺基矽烷(DSBAS)及下述雙-胺基矽烷前驅物或雙(第三丁基胺基)矽烷(BTBAS)和雙(二乙基胺基)矽烷(BDEAS)於該ASM Stellar PEALD設備中來沉積。該等前驅物係利用200sccm於室溫下的Ar載運氣體於1托耳蒸氣壓力下輸送至該艙。基材溫度係調設成300℃。沉積係利用表2所述的步驟並且利用實施例3提供的製程條件來進行,並且在電漿步驟或步驟3的期間使用375sccm的氬及125sccm的N2
將DSBAS及BTBAS所沉積的膜之洩漏電漿比較顯示於圖1。圖1顯示該DSBAS所沉積的膜於低電場(E)(<4MV/cm)下具有超過一個數量級的較佳洩漏電流(J)。
實施例8:使用二第二丁基胺基矽烷(DSBAS)及雙(第三丁基 胺基)矽烷(BTBAS)及雙(二乙基胺基)矽烷(BDEAS)所沉積的PEALD膜之比較
膜係利用該等前驅物二第二丁基胺基矽烷(DSBAS)及雙(第三丁基胺基)矽烷(BTBAS)及雙(二乙基胺基)矽烷(BDEAS)於該ASM Stellar PEALD設備中來沉積。該等前驅物各自利用200sccm於室溫下的Ar載運氣體於1托耳蒸氣壓力下輸送至該艙。基材溫度係調設成200℃。氣體和前驅物管道溫度相應地調整以預防到該反應器之前凝結。除前述之外,按照實施例7進行沉積。在沉積之後立即測量各沉積膜的折射率及每周期生長量並且提供於表8。
參照表8,從使用該單胺基矽烷前驅物DSBAS沉積的膜具有最高折射率來看,指示其更像氮化矽膜。在該等雙胺基矽烷當中,BDEAS具有最低折射率,1.54,指示更像氧化矽膜。等到該等樣品儲存於周遭氣氛之後再測量這些膜的折射率。圖2顯示該等膜的折射率由於氧化而隨著時間降低。在此三膜當中,該單胺基矽烷沉積的膜DSBAS膜具有 比該等雙胺基矽烷沉積的膜或BTBAS或BDEAS中任一者更高的安定性。在該雙胺基矽烷沉積的膜當中,BTBAS膜顯示於周遭環境中經過將近一天(約24小時)之後折射率從1.75降至1.57。剛沉積之後剩下的雙胺基矽烷沉積的膜或BDEAS顯示又更差的安定性或膜折射率為1.57。等到擺在周遭環境中經過150小時(7天)之後藉著XPS來分析該等膜的組成。該XPS分析結果顯示兩種雙(胺基)矽烷沉積的膜或該BDEAS和BTBAS沉積的膜含有58%O、6%C及3.5%N,而該等單胺基矽烷沉積的膜或DSBAS膜含有2.5%O、13.9% C及41%N。因此,該DSBAS沉積的膜比該BTBAS或BDEAS所沉積的膜中之任一者均更安定。
實施例9:使用二異丙基胺基矽烷(DIPAS)利用CN-1噴灑頭型反應器進行含氮化矽膜的PEALD
將該矽晶圓載入CN-1 PEALD反應器並且藉著2托耳的艙壓加熱至300□C。利用起泡法將DIPAS輸入該反應器。該ALD周期包含表2提供的製程步驟並且使用下述製程參數:
1.將有機胺基矽烷前驅物引進該反應器:
DIPAS:50sccm氬通過該前驅物容器
氬流量:100sccm
矽前驅物脈衝:1秒
2.惰性氣體洗淨
氬和氮的總流量:500sccm
洗淨時間:10秒
3.電漿條件
氬流量:0至500sccm
氮流量:0至500sccm
氬和氮的總流量:500sccm
電漿功率:125W(0.7W/cm2)
電漿時間:5秒
4.電漿洗淨
氬和氮的總流量:500sccm
洗淨時間:10秒
表9提供製程參數及使用DIPAS沉積的膜之膜性質。典型的DIPAS膜具有1.2至2.2%的碳雜質。
實施例10:使用二異丙基胺基矽烷(DIPAS)於CN-1噴灑頭型反應器中進行含有矽和氮的膜之PEALD
含矽膜係由二異丙基胺基矽烷(DIPAS)及He/N2電漿來沉積。除了使用氦代替氬氣以外,製程參數及ALD步驟與實施例9所述者相同。表10顯示使用He/N2電漿沉積的膜及非常低的耐稀HF濕式蝕刻性(WER)。在所有測試的He/N2比率中,該膜具有>22.1nm/min的WER及小於1.85的折射率。
實施例11:使用二異丙基胺基矽烷(DIPAS)於CN-1噴灑頭型反應器中進行含氮化矽膜之PEALD
含矽膜係由二異丙基胺基矽烷(DIPAS)及僅N2的電漿,使用屏蔽式PEALD反應器(screening PEALD reactor)於300℃及2托耳的艙壓下藉著下列詳列的製程參數來沉積:
1.將有機胺基矽烷前驅物引進該反應器:DIPAS
輸送方法:蒸氣牽引
矽前驅物脈衝:1秒
N2流量:500sccm
2.惰性氣體洗淨
N2流量:500sccm
洗淨時間:10秒
3.電漿條件
氮流量:500sccm
電漿功率:125W(0.7W/cm2)
電漿時間:5秒
4.洗淨電漿
氮流量:500sccm
洗淨時間:10秒
每周期生長量係0.15Å/周期而且折射率為1.93。

Claims (22)

  1. 一種將氮化矽膜形成於基材的至少一表面上之方法,該方法包含:a.將基材提供於反應器中;b.將下述式I、II及III所示的至少一有機胺基矽烷引進該反應器: 其中R1係選自線性或分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連在一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環,而且在式III中n=1或2,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器; d.將包含氮和惰性氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。
  2. 如申請專利範圍第1項之方法,其中該至少一有機胺基矽烷前驅物係選自由以下所組成的群組:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-甲矽烷基十氫喹啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-甲矽烷基甲基胺基)吡啶、N-第三丁基二矽烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基戊基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基戊基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二甲矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙基二胺基)二矽烷。
  3. 如申請專利範圍第1項之方法,其中該電漿包含選自由以下所組成的群組之稀有氣體:氖(Ne)、氬(Ar)、氙(Xe)、氪(Kr) 及其混合物。
  4. 如申請專利範圍第1項之方法,其中該氮化矽膜具有2.4g/cc或更高的密度。
  5. 如申請專利範圍第1項之方法,其中該方法係氣相沉積方法而且係選自由選自電漿強化化學氣相沉積及電漿強化循環式化學氣相沉積中的至少一者所組成的群組。
  6. 如申請專利範圍第1項之方法,其中該方法係於約400℃或更低的一或更多溫度下進行。
  7. 如申請專利範圍第1項之方法,其中該方法係於約300℃或更低的一或更多溫度下進行。
  8. 如申請專利範圍第1項之方法,其中步驟b另外包含將稀有氣體引進該反應器。
  9. 一種將氮化矽膜形成於基材的至少一表面之方法,該方法包含下述步驟:a.將基材提供於反應器中;b.將選自由以下所組成的群組之至少一有機胺基矽烷前驅物引進該反應器:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基 環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-甲矽烷基十氫喹啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-甲矽烷基甲基胺基)吡啶、N-第三丁基二矽烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基戊基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基戊基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二甲矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙基二胺基)二矽烷,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器,該洗淨氣體包含選自氮、稀有氣體及其組合中的至少一者;d.將含氮電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。
  10. 如申請專利範圍第9項之方法,其中該氮化矽膜具有2.4g/cc或更高的密度。
  11. 如申請專利範圍第9項之方法,其中該方法係選自由選自電漿強化化學氣相沉積及電漿強化循環式化學氣相沉積中的至少一者所組成的群組之氣相沉積方法。
  12. 如申請專利範圍第9項之方法,其中該方法係於400℃或更低的溫度下進行。
  13. 如申請專利範圍第9項之方法,其中該方法係於300℃或更低的溫度下進行。
  14. 如申請專利範圍第9項之方法,其中該含氮電漿係選自由以下所組成的群組:氮電漿、氬/氮電漿、氖/氮電漿、氪/氮電漿、氙/氮電漿及其組合。
  15. 如申請專利範圍第9項之方法,其中步驟b另外包含將稀有氣體引進該反應器。
  16. 如申請專利範圍第9項之方法,其中包含氫的電漿能在步驟d之前介入以助於移除該有機胺基矽烷與該表面之間的反應產生的烴。
  17. 如申請專利範圍第16項之方法,其中該包含氫的電漿係選自由以下所組成的群組:氫電漿、氫/氦電漿、氫/氬電漿、氫/氖電漿及其混合物。
  18. 一種將氮化矽膜形成於基材的至少一表面上之方法,該方法包含下述步驟:a.將基材提供於反應器中;b.將選自由以下所組成的群組之至少一有機胺基矽烷前驅物引進該反應器:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-甲矽烷基十氫喹啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-甲矽烷基甲基胺基)吡啶、N-第三丁基二矽烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基戊基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基戊基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二甲矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙基二胺基)二矽烷,其中該至少一有機胺基矽烷於該基材表面的至少一部分上反應以提供化學吸附層;c.利用洗淨氣體洗淨該反應器,該洗淨氣體包含選自氮、稀有氣體及其組合中的至少一者;d.將包含稀有氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應並且提供至少一反應性部位,其中該電 漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中重複進行步驟b至e直到獲得預期厚度的氮化矽膜為止。
  19. 如申請專利範圍第18項之方法,其中該氮化矽膜具有2.4g/cc或更高的密度。
  20. 如申請專利範圍第18項之方法,其中該方法係選自由選自電漿強化化學氣相沉積及電漿強化循環式化學氣相沉積中的至少一者所組成的群組之氣相沉積方法。
  21. 如申請專利範圍第18項之方法,其中該方法係於約400℃或更低的溫度下進行。
  22. 如申請專利範圍第18項之方法,其中該稀有氣體電漿包含選自由以下所組成的群組之稀有氣體:氖(Ne)、氬(Ar)、氙(Xe)、氪(Kr)及其混合物。
TW103134304A 2013-10-03 2014-10-01 沉積氮化矽膜的方法 TWI565822B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361886406P 2013-10-03 2013-10-03
US14/498,044 US9905415B2 (en) 2013-10-03 2014-09-26 Methods for depositing silicon nitride films

Publications (2)

Publication Number Publication Date
TW201514332A true TW201514332A (zh) 2015-04-16
TWI565822B TWI565822B (zh) 2017-01-11

Family

ID=51663047

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103134304A TWI565822B (zh) 2013-10-03 2014-10-01 沉積氮化矽膜的方法

Country Status (6)

Country Link
US (1) US9905415B2 (zh)
EP (1) EP2857552A3 (zh)
JP (1) JP6018149B2 (zh)
KR (4) KR20150040234A (zh)
CN (1) CN104831254B (zh)
TW (1) TWI565822B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107923041A (zh) * 2015-08-12 2018-04-17 Dnf有限公司 利用等离子体原子层沉积法的氮化硅薄膜的制造方法
TWI635196B (zh) * 2016-07-20 2018-09-11 美光科技公司 矽硫族化物前驅物、形成矽硫族化物前驅物之方法及形成氮化矽及半導體結構之相關方法

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703196WA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
JP6600074B2 (ja) * 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
EP3347504A1 (en) * 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
WO2017062614A1 (en) * 2015-10-06 2017-04-13 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
EP3428959B1 (en) 2016-03-11 2023-03-01 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film, and silicon nitride film
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11017997B2 (en) * 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102105977B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실릴아민 화합물, 이를 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI722301B (zh) 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US20220037144A1 (en) * 2018-09-24 2022-02-03 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) * 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR102308644B1 (ko) * 2018-11-15 2021-10-05 주식회사 유피케미칼 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210109046A (ko) * 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 질화규소를 증착하는 방법들
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11965239B2 (en) * 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111883543B (zh) * 2020-07-28 2022-09-27 北海惠科光电技术有限公司 阵列基板的制作方法、阵列基板和显示装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230126516A1 (en) * 2021-10-27 2023-04-27 Asm Ip Holding B.V. Methods and systems for forming doped silicon nitride films
KR102638053B1 (ko) 2021-11-15 2024-02-16 이지운 파손 시 부력을 유지하는 기능을 갖는 부구 및 그 제조방법
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
CN100567564C (zh) * 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100821082B1 (ko) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5785325B2 (ja) 2011-06-03 2015-09-30 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 炭素ドープケイ素含有膜を堆積するための組成物及び方法
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107923041A (zh) * 2015-08-12 2018-04-17 Dnf有限公司 利用等离子体原子层沉积法的氮化硅薄膜的制造方法
TWI635196B (zh) * 2016-07-20 2018-09-11 美光科技公司 矽硫族化物前驅物、形成矽硫族化物前驅物之方法及形成氮化矽及半導體結構之相關方法

Also Published As

Publication number Publication date
KR102256536B1 (ko) 2021-05-25
EP2857552A3 (en) 2015-09-23
KR20210060412A (ko) 2021-05-26
CN104831254B (zh) 2019-04-12
TWI565822B (zh) 2017-01-11
KR20150040234A (ko) 2015-04-14
KR20160132804A (ko) 2016-11-21
CN104831254A (zh) 2015-08-12
KR20170018872A (ko) 2017-02-20
EP2857552A2 (en) 2015-04-08
KR102281913B1 (ko) 2021-07-23
KR102478568B1 (ko) 2022-12-15
JP2015073099A (ja) 2015-04-16
JP6018149B2 (ja) 2016-11-02
US20150099375A1 (en) 2015-04-09
US9905415B2 (en) 2018-02-27

Similar Documents

Publication Publication Date Title
TWI565822B (zh) 沉積氮化矽膜的方法
TWI683024B (zh) 用於沉積矽氮化物膜的組合物及含有其之容器
TWI591198B (zh) 用於沉積第13族金屬或類金屬氮化物膜的方法
TWI642803B (zh) 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜
TWI614261B (zh) 氮雜-多矽烷前驅物及包含氮雜-多矽烷前驅物的膜沉積方法
TWI496934B (zh) 用於沉積碳摻雜含矽膜的組合物及方法
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
TW201615880A (zh) 用於含矽膜的沉積的組合物及其方法
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
TWI767661B (zh) 含矽及氮膜的製造方法
JP2014043640A (ja) ケイ素含有薄膜の製造方法及びケイ素含有薄膜
TW201713671A (zh) 有機胺基二矽烷前驅物及其用於沉積膜的方法
KR20120101865A (ko) 실리콘 화합물 박막의 형성방법