TWI623543B - 含硼化合物、組合物及含硼膜的沉積方法 - Google Patents

含硼化合物、組合物及含硼膜的沉積方法 Download PDF

Info

Publication number
TWI623543B
TWI623543B TW105110113A TW105110113A TWI623543B TW I623543 B TWI623543 B TW I623543B TW 105110113 A TW105110113 A TW 105110113A TW 105110113 A TW105110113 A TW 105110113A TW I623543 B TWI623543 B TW I623543B
Authority
TW
Taiwan
Prior art keywords
boron
branched
linear
alkyl
reactor
Prior art date
Application number
TW105110113A
Other languages
English (en)
Other versions
TW201638097A (zh
Inventor
新建 雷
金武性
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201638097A publication Critical patent/TW201638097A/zh
Application granted granted Critical
Publication of TWI623543B publication Critical patent/TWI623543B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/027Organoboranes and organoborohydrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/022Boron compounds without C-boron linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/05Cyclic compounds having at least one ring containing boron but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

於本文中描述出一種用以形成含硼膜的含硼前驅物化合物及包含其之組合物及方法。在一個態樣中,該膜係從至少一種具有下列於本文中所描述的式I或II之前驅物沉積。

Description

含硼化合物、組合物及含硼膜的沉積方法
本申請案主張2015年3月31日所提出的申請案案號62/140570之利益。所揭示出的申請案案號62/140570藉此以參考方式併入本文。
於本文中描述出一種用以形成含硼膜或摻雜硼的含矽膜之組合物及方法。更特別的是,於本文中描述出一種用以在一或多種沉積溫度下形成化學計量或非化學計量的含硼膜或材料或摻雜硼的含矽膜之化合物及包含其之組合物及方法。
發明背景
例如,在鰭狀場效應電晶體(FinFET)之製造中或在半導體工業的其它應用中需要硼化合物。到目前為止,已經將硼前驅物諸如硼鹵化物(例如,BCl3)、三烷基硼烷或烷氧化硼前驅物使用於摻雜硼的膜。
發表名稱”Silyl-amino boron compounds”,Burg, A.B.及等人,J.Am.Chem.Soc.,Vol.2:PP.3103-3107的參照描述出多種硼化合物之形成。
美國專利案號8288292及US 479683描述出一種形成氮化硼或氮化硼碳(boron carbon nitride)介電質的方法,其產生一保形層而沒有負載效應。該介電質層係藉由化學氣相沉積法(CVD)在基材上形成一含硼膜,該沉積的至少一部分係在沒有電漿下進行,然後將該已沉積的含硼膜曝露至電漿。該CVD組分支配該沉積方法,此產生一保形膜而沒有負載效應。該介電質係可灰化及可以氫電漿移除而沒有衝擊週圍材料。與其它前端間隔器或硬質遮罩材料諸如氧化矽或氮化矽比較,該介電質具有更低的溼蝕刻速率及具有比氮化矽更低的相對低介電常數。
美國公開案號2013/052836A描述出一種用以製造半導體元件的方法,其包括藉由交替地進行下列步驟指定的次數在一基材上形成一具有指定的組合物及指定的膜厚度之絕緣膜:在一加工艙中,將氯矽烷基底來源及胺基矽烷基底來源之一種來源供應至基材,之後,供應另一種來源以在該基材上形成一包括矽、氮及碳的第一層;及在該加工艙中,對該基材供應一與每種來源不同的反應性氣體以修改該第一層及形成一第二層。
發表名稱”Transition Metal-Catalyzed Formation of Boron-Nitrogen Bonds:Catalytic Dehydrocoupling of Amine-Borane Adducts to Form Aminoboranes and Borazines”J.Am.Chem.Soc.125(31):9424-9434的參照描述出一種使用後 過渡金屬錯合物作為前觸媒,從一級或二級胺-硼烷加成物製得胺基硼烷及硼氫炔衍生物之方法。
美國專利案號8,753,717描述出一種藉由在一加工容器中供應一含硼氣體、一氮化物氣體、一矽烷基底氣體及一烴氣體,於加工標的之表面上形成一包括硼、氮、矽及碳的薄膜之膜形成方法,其中該加工標的經考慮係以真空吸住,其中該方法包括:一第一製程,其係藉由進行一交替且間歇供應該含硼氣體及氮化物氣體之循環一或多次來形成氮化硼(BN)膜;及一第二製程,其係藉由進行一間歇供應該矽烷基底的氣體、烴氣體及氮化物氣體之循環一或多次來形成碳氮化矽(SiCN)膜。
美國公開案號2013/239893描述出一種用以安定化膜形成設備的方法,其中該設備可在欲於真空-可抽真空加工艙中加工的至少一個標的物件上選擇性進行一含硼氮化物膜形成製程或一不含硼氮化物膜形成製程,該方法包括當在該含硼氮化物膜形成製程後進行該不含硼氮化物膜形成製程時,於該含硼氮化物膜形成製程與不含硼氮化物膜形成製程間進行一在含氧氣體大氣氛下加熱該加工艙內部之熱安定化製程。
美國專利案號US 6815350及US 6962876描述出一種使用原子層沉積(ALD)方法形成三元薄膜的方法,其包括將第一及第二反應性材料供應至一包含晶圓的艙,該第一及第二反應性材料係吸附在該晶圓表面上;將第一氣體供應至該艙以清除餘留未反應的第一及第二反應性材料;將第三反 應性材料供應至該艙,以便在該第一與第二反應性材料及該第三反應性材料間造成反應而形成一薄膜單層;供應第二氣體以清除餘留未反應的第三反應性材料及副產物;及重覆上述用以形成該薄膜單層之步驟一預定次數,以便在該晶圓上形成一具有預定厚度的三元薄膜。較佳的是,該三元薄膜係硼氮化矽(SiBN)膜。
美國專利案號7633125描述出將硼氮化矽整合在高電壓半導體中。在一個實施例中,一微電子設備包括一半導體基材,在其上面形成積體電路的電晶體;在該半導體基材上形成複數個電晶體閘極;在該閘極間配置一閘極間隔器介電質;及在該閘極與閘極間隔器介電質上配置一接觸蝕刻終止介電質,該接觸蝕刻終止介電質包含SiBN以減低該接觸蝕刻終止介電質在高電壓應用中損壞。
美國專利案號8324014描述出藉由化學氣相沉積法(CVD)或物理氣相沉積法(PVD),使用具有共通結構R1R2R3B(R1=H、C1-5-烷基、芳基、烷基醯胺、-OH、C1-5-烷氧基;R2及R3係一包括硼的基團及可包含一飽和6員雜環化合物(例如,包括3個原子B及3個原子N),選擇性經C1-5-烷基、芳基、烷基醯胺及C1-5-烷氧基(特別是,硼酸三甲酯或硼酸三異丙酯)取代)的硼化合物前驅物,將硼化合物沉積在基材上。特別是,該等化合物可係六取代的硼氫炔類、1,3,5-三取代的硼氫炔類或2,4,6-三取代的硼氫炔類(特別是,1,3,5-三甲基硼氫炔)。該硼可於經加入可作用為用於太陽能電池層的摻雜物之材料存在下進行沉積。
美國專利案號3031503描述出在150-180°下,從四硼烷類,根據下列方程式:2R2NH+B4H10→2R2NB2H5+H2,製備胺基硼烷化合物。
美國專利案號8679958描述出藉由原子層沉積方法沉積摻雜物膜,諸如經摻雜的氧化矽膜。在某些具體實例中,於反應空間中的基材係與矽前驅物及摻雜物前驅物之脈衝接觸,如此該矽前驅物及摻雜物前驅物係吸附在該基材表面上。使用氧電漿將所吸附的矽前驅物及摻雜物前驅物轉換成經摻雜的氧化矽。
前述專利、申請案及文獻之揭示藉此以參考方式併入本文。
因此,對發展出在化學氣相沉積(CVD)、原子層沉積(ALD)、或電漿輔助原子層沉積(ALD)方法或電漿輔助類似ALD的方法中,使用一或多種硼化合物形成含硼膜或摻雜硼的含矽膜之方法仍然有需求。
發明概要
於本文中描述出一種前驅物化合物、包含其之組合物及用以沉積出化學計量或非化學計量之含硼材料、膜或摻雜硼的含矽膜或材料之方法,其中該膜或材料包括諸如但不限於氧化硼、氮化硼、羰基化硼(boron carboxide)、氮化硼碳、摻雜硼的氧化矽、摻雜硼的氧氮化矽膜、摻雜碳的氮化矽膜,其中該方法係在一或多種沉積溫度下,使用電漿輔助 ALD、電漿輔助循環化學氣相沉積(plasma enhanced cyclic chemical vapor deposition)(PECCVD)、電漿輔助類似ALD的方法或ALD方法進行。除了摻雜硼的矽膜外,已預計可使用該等化合物、包含其之組合物及方法將硼摻雜或併入其它金屬或非金屬材料中。
在一個態樣中,有提供一種具有式I或II之含硼前驅物: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列之環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環。在式I或II的某些具體實例中,R1及R2係連結在一起以形成一環。在一個特別具體實例中,R1及R2係選自於線性或分枝的C3至C6烷基及連結以形成一環狀環。在式I或II之可替代的具體實例中,R1 及R2未連結在一起形成環。在其它具體實例中,R1及R2係不同。
在另一個態樣中,該含硼前驅物包含一具有式I的有機胺基硼烷。範例性化合物包括但不限於二異丙基胺基硼烷、二二級丁基胺基硼烷、N-乙基-環己基胺基硼烷、N-甲基-環己基胺基硼烷、N-異丙基-環己基胺基硼烷、苯基甲基胺基硼烷、苯基乙基胺基硼烷及哌啶基硼烷。在式I的某些具體實例中,當R1及R2非為龐大或空間位阻烷基諸如但不限於甲基、乙基、吡咯啶基及哌啶基時,該有機胺基硼烷可係二聚體化合物,諸如在液相或氣相中的[Me2NBH2]2。在式I的某些較佳具體實例中,當R1及R2係龐大的空間位阻烷基諸如異丙基、三級丁基及三級戊基時,該有機胺基硼烷係單體。
在更另一個態樣中,該含硼前驅物包含一具有式II的有機胺基二硼烷。範例性化合物包括但不限於二異丙基胺基二硼烷、二二級丁基胺基二硼烷及2,6-二甲基哌啶基二硼烷。
在另一個態樣中,於本文中描述出一種組合物,其包含:一具有式I或II的含硼前驅物: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列的環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環;及一溶劑。在該組合物的一個具體實例中,該溶劑係選自於由下列所組成之群的至少一種:醚、三級胺、烷基烴、芳香烴、三級胺基醚及其組合。
在又進一步態樣中,有提供一種將一含硼膜沉積到一基材的至少一表面上之方法,其包含下列步驟:在一反應器中提供該基材;使用一選自於化學氣相沉積及原子層沉積方法的沉積方法,使用選自於具有下列式I及II的化合物之含硼前驅物,在該表面上形成該含硼膜: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、 線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列的環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環。
本發明的多個態樣可單獨或彼此組合著使用。
圖1提供如在實施例1中所描述之氮化硼厚度對二二級丁基胺基硼烷(DSBAB)脈衝時間圖,其闡明DSBAB在約1秒處到達ALD自限制。
圖2提供如在實施例1中所描述之氮化硼厚度對N2電漿脈衝時間圖,其闡明N2電漿在約10秒處到達ALD自限制。
圖3提供如在實施例1中所描述之使用DSBAB作為硼前驅物及氮電漿作為含氮來源的氮化硼厚度對基材溫度圖,其闡明DSBAB係合適於使用在ALD方法中。
圖4提供如在實施例3中所描述之從二二級丁基胺基硼烷(DSBAB)、四(二甲基胺基)鈦(TDMAT)及氮電漿作為含氮來源所沉積之摻雜硼的氮化鈦之電阻率圖。
圖5提供如在實施例3中所描述之從二二級丁基胺基硼 烷(DSBAB)、四(二甲基胺基)鈦(TDMAT)及氮電漿作為含氮來源所沉積之摻雜硼的氮化鈦之穿透式電子顯微鏡(TEM)影像,其顯示出從頂端至中間及底部100%的優良步階覆蓋。
較佳實施例之詳細說明
於本文中描述出一種關於使用一或多種溫度形成化學計量或非化學計量之包含硼的膜或材料之方法,其中該膜或材料包括諸如但不限於氧化矽、摻雜碳的氧化矽膜、氧氮化矽、摻雜碳的氧氮化矽、金屬氮化物膜或其組合,其中該溫度係室溫(例如,約25℃)至約1000℃,或室溫至約400℃,或室溫至約300℃,或室溫至約200℃,或室溫至約100℃。於本文中所描述的膜係使用一沉積方法進行沉積,諸如化學氣相沉積(CVD)方法、原子層沉積(ALD)或類似ALD的方法,諸如但不限於電漿輔助ALD或電漿輔助循環化學氣相沉積方法(CCVD)。
在一個具體實例中,於本文中所描述的含硼前驅物係一種具有式I或II之化合物: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、 線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列的環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環。
在某些具體實例中,在式I或II中的取代基R1及R2可連結在一起以形成一環結構。如熟練的人士將了解,其中R1及R2係連結在一起以形成一環及R1將包括用以連結至R2的鍵結及反之亦然。在這些具體實例中,該環結構可係不飽和,諸如例如環烷基環;或飽和,例如芳基環。再者,在這些具體實例中,該環結構亦可經一或多個原子或基團取代或未經取代。範例性環狀環基團包括但不限於吡咯啶基、哌啶基及2,6-二甲基哌啶基。在式I的某些具體實例中,當R1及R2係非龐大的烷基諸如甲基或乙基或吡咯啶基或哌啶基時,該有機胺基硼烷可係在液相或氣相中的二聚體,諸如[Me2NBH2]2。但是,在其它具體實例中,取代基R1及R2未連結形成環結構。
在其它具體實例中,R1及R2係不同。
在其它具體實例中,當R1及R2係較不龐大的烷基諸如甲基、乙基、吡咯啶基或哌啶基時,該有機胺基硼烷可係二聚體。
在式I的某些較佳具體實例中,當R1及R2係龐大的烷基諸如異丙基、三級丁基、三級戊基時,該有機胺基硼烷係單體。
具有於本文中所描述的式I或II之範例性含硼前驅物化合物包括但不限於二甲基胺基硼烷、二乙基胺基硼烷、乙基甲基胺基硼烷、二異丙基胺基硼烷、二二級丁基胺基硼烷、N-乙基-環己基胺基硼烷、N-甲基-環己基胺基硼烷、N-異丙基-環己基胺基硼烷、苯基甲基胺基硼烷、苯基乙基胺基硼烷、哌啶基硼烷、2,6-二甲基哌啶基硼烷、2,2,6,6-四甲基哌啶基硼烷、二異丙基胺基二硼烷、二二級丁基胺基二硼烷及2,6-二甲基哌啶基二硼烷,如顯示在下列結構中:
在上述式中及遍及該說明,用語”烷基”指示為具有1至10或1至6個碳原子的線性或分枝官能基。範例性線性烷基包括但不限於甲基、乙基、丙基、丁基、戊基及己基。範例性分枝烷基包括但不限於異丙基、異丁基、二級丁基、三級丁基、異戊基、三級戊基、異己基及新己基。在某些具體實例中,該烷基可具有一或多個接附至其的官能基,諸如 但不限於烷氧基、二烷基胺基或其組合接附至其。在其它具體實例中,該烷基不具有一或多個官能基接附至其。該烷基可係飽和,或再者,不飽和。該烷基亦可經取代、或具有一或多個雜原子諸如鹵化物或O、或未經取代。
在上述式中及遍及該說明,用語”環烷基”指示為具有4至10個碳原子的環狀官能基。範例性環烷基包括但不限於環丁基、環戊基、環己基及環辛基。
在上述式中及遍及該說明,用語”烯基”指示為具有一或多個碳-碳雙鍵及具有2至10或2至10或2至6個碳原子的基團。
在上述式中及遍及該說明,用語”炔基”指示為具有一或多個碳-碳三鍵及具有3至10或2至10或2至6個碳原子的基團。
在上述式中及遍及該說明,用語”芳基”指示為具有4至10個碳原子、5至10個碳原子或6至10個碳原子的芳香族環狀官能基。範例性芳基包括但不限於苯基、苄基、氯苄基、甲苯基、鄰-茬基、1,2,3-三唑基、吡咯基、及呋喃基、噠基、嘧啶基、吡基及咪唑基。
在上述式中及遍及該說明,用語”胺基”指示為具有1至10個碳原子而衍生自具有式HNR2R3的有機胺之有機胺基。範例性胺基包括但不限於衍生自二級胺的二級胺基,諸如二甲基胺基(Me2N-)、二乙基胺基(Et2N-)、乙基甲基胺基(EtMeN-)、二異丙基胺基(iPr2N-);衍生自一級胺的一級胺基,諸如甲基胺基(MeNH-)、乙基胺基(EtNH-)、異丙基胺基 (iPrNH-)、二級丁基胺基(sBuNH-)、三級丁基胺基(tBuNH-)、三級戊基胺基(tAmNH-),較佳為龐大的一級胺諸如三級丁胺或三級戊胺。
可根據由下列描述出之方法製備於本文中所描述的含硼前驅物化合物,諸如但不限於二異丙基胺基硼烷(DIPAB)或二二級丁基胺基硼烷(DSBAB):Jaska,C.A.,Temple,K.,Lough,A.J.及Manners,I”Transition Metal-Catalyzed Formation of Boron-Nitrogen Bonds:Catalytic Dehydrocoupling of Amine-Borane Adducts to form Aminoboranes and Borazines.”J.Am.Chem.Soc.125,9424(2003),其藉此以參考方式併入本文。但是,可使用其它合成方法來製得這些化合物。
亦於本文中描述出一種包含下列的組合物:(a)由下列式I及II表示的含硼前驅物: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的 C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、I)及C4至C10芳基;及(b)一溶劑。在本文所描述的組合物之某些具體實例中,範例性溶劑可包括但不限於醚、三級胺、烷基烴、芳香烴、三級胺基醚及其組合。在某些具體實例中,於有機胺基二矽烷的沸點與溶劑的沸點間之差異係40℃或較少。咸信某些溶劑可在儲存或傳遞期間幫助安定化於液相或甚至氣相中之有機胺基硼烷。
在另一個態樣中,有提供一種在基材的至少一個表面上形成含硼膜之方法,其包括: 在一反應艙中提供該基材的至少一個表面;及藉由一選自於化學氣相沉積方法及原子層沉積方法的沉積方法,使用由下列式I及II表示的含硼前驅物在該至少一個表面上形成該含硼膜: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的 C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、I)及C4至C10芳基。
在另一個態樣中,有提供一種經由原子層沉積方法或類似ALD的方法形成氧化硼或羰基化硼膜的方法,該方法其步驟包括:a.在一反應器中提供一基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、I)及C4至C10芳基;c.以清除氣體清除該反應器;d.提供一種含氧來源以將該膜沉積到該至少一個表面上; e.以清除氣體清除該反應器;其中重覆步驟b至e直到獲得該膜之想要的厚度。
在一個特別具體實例中,該沉積步驟係在一或多種溫度範圍下進行,如約室溫至約1000℃,或室溫至約400℃,或室溫至約300℃,或室溫至約200℃,或室溫至約100℃。
在另一個態樣中,有提供一種經由原子層沉積方法或類似ALD的方法形成摻雜硼的氧化矽、摻雜硼的羰基化矽膜之方法,該方法其步驟包括:a.在一反應器中提供一基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、 I)及C4至C10芳基;c.以清除氣體清除該反應器;d.提供一含氧來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;f.將至少一種含矽來源引進該反應器中;g.以清除氣體清除該反應器;h.提供一含氧來源以將該膜沉積到該至少一個表面上;i.以清除氣體清除該反應器;其中重覆步驟b至i直到獲得該膜之想要的厚度。在某些具體實例中,重覆步驟b至e,然後重覆步驟f至i以沉積一由氧化硼及氧化矽組成的奈米積層物層。在其它具體實例中,可進行及重覆步驟f至i,接著重覆步驟b至e。對該奈米積層物來說,該氧化矽的厚度範圍可係1埃至5000埃、10埃至2000埃、50埃至1500埃、50埃至1000埃、50埃至500埃,然而該氧化硼的厚度範圍係1埃至5000埃、10埃至2000埃、50埃至1500埃、50埃至1000埃、50埃至500埃。在一個特別具體實例中,該沉積步驟係在一或多種溫度範圍內進行:約室溫至約1000℃、或室溫至約400℃、或室溫至約300℃、或室溫至約200℃、或室溫至約100℃。在另一個特別的具體實例中,當使用具有至少一個SiH3基團的含矽來源諸如二異丙基胺基矽烷、二二級丁基胺基矽烷、二異丙基胺基二矽烷、二二級丁基胺基二矽烷時,該沉積步驟係在溫度低於200℃下進行。
在更另一個態樣中,有提供一種經由原子層沉積 方法或類似ALD的方法形成氮化硼、碳氮化硼、羧基氮化硼(boron carboxynitride)膜之方法,該方法其步驟包括:a.在一反應器中提供一基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中: 其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、I)及C4至C10芳基;c.以清除氣體清除該反應器;d.提供一含氮來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;其中重覆步驟b至e直到獲得該膜之想要的厚度。在一個特別具體實例中,該沉積步驟係在一或多種溫度範圍下進行:約室溫至約1000℃,或室溫至約400℃,或室溫至約300℃, 或室溫至約200℃,或室溫至約100℃。
在另一個態樣中,有提供一種經由原子層沉積方法或類似ALD的方法形成摻雜硼的氮化矽、摻雜硼的碳氮化矽、摻雜硼的羧基氮化矽膜之方法,該方法其步驟包括:a.在一反應器中提供一基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中: 於此,R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、I)及C4至C10芳基;c.以清除氣體清除該反應器;d.提供一含氮來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;f.將至少一種含矽來源引進該反應器中; g.以清除氣體清除該反應器;h.提供一含氮來源以將該膜沉積到該至少一個表面上;i.以清除氣體清除該反應器;其中重覆步驟b至g直到獲得該膜之想要的厚度。在某些具體實例中,重覆步驟b至e,然後重覆步驟f至i以沉積一由氮化硼及氮化矽組成的奈米積層物層。在其它具體實例中,可首先進行及重覆步驟f至i,接著重覆步驟b至e。對該奈米積層物來說,該氮化矽的厚度範圍可係1埃至5000埃、10埃至2000埃、50埃至1500埃、50埃至1000埃、50埃至500埃,然而該氮化硼的厚度範圍係1埃至5000埃、10埃至2000埃、50埃至1500埃、50埃至1000埃、50埃至500埃。一個特別的具體實例,該沉積步驟係在一或多種溫度範圍下進行:約室溫至約1000℃,或室溫至約400℃,或室溫至約300℃,或室溫至約200℃,或室溫至約100℃。在另一個特別的具體實例中,當使用具有至少一個SiH3基團的含矽來源諸如二異丙基胺基矽烷、二二級丁基胺基矽烷、二異丙基胺基二矽烷、二二級丁基胺基二矽烷時,該沉積步驟係在溫度低於200℃下進行。
在使用含矽來源的方法之具體實例中,該含矽來源包括但不限於三矽烷基胺(TSA)、二(二矽烷基胺基)矽烷(SiH2(N(SiH3)2)2)、二(三級丁基胺基)矽烷(BTBAS)、二(二甲基胺基)矽烷、二(二乙基胺基)矽烷、二(乙基甲基胺基)矽烷、三(二甲基胺基)矽烷、三(乙基甲基胺基)矽烷、四(二甲基胺基)矽烷、二異丙基胺基矽烷、二二級丁基胺基矽烷、二三級丁 基胺基矽烷、2,6-二甲基哌啶基矽烷、2,2,6,6-四甲基哌啶基矽烷、環己基-異丙基胺基矽烷、苯基甲基胺基矽烷、苯基乙基胺基二矽烷、二環己基胺基矽烷、二異丙基胺基二矽烷、二二級丁基胺基二矽烷、二三級丁基胺基二矽烷、2,6-二甲基哌啶基二矽烷、2,2,6,6-四甲基哌啶基二矽烷、環己基-異丙基胺基二矽烷、苯基甲基胺基二矽烷、苯基乙基胺基二矽烷、二環己基胺基二矽烷、二甲基胺基三甲基矽烷、二甲基胺基三甲基矽烷、二異丙基胺基三甲基矽烷、哌啶基三甲基矽烷、2,6-二甲基哌啶基三甲基矽烷、二二級丁基胺基三甲基矽烷、異丙基-二級丁基胺基三甲基矽烷、三級丁基胺基三甲基矽烷、異丙基胺基三甲基矽烷、二乙基胺基二甲基矽烷、二甲基胺基二甲基矽烷、二異丙基胺基二甲基矽烷、哌啶基胺基二甲基矽烷、2,6-二甲基哌啶基二甲基矽烷、二二級丁基胺基二甲基矽烷、異丙基-二級丁基胺基二甲基矽烷、三級丁基胺基二甲基矽烷、異丙基胺基二甲基矽烷、三級戊基胺基二甲基胺基矽烷、二(二甲基胺基)甲基矽烷、二(二乙基胺基)甲基矽烷、二(二異丙基胺基)甲基矽烷、二(異丙基-二級丁基胺基)甲基矽烷、二(2,6-二甲基哌啶基)甲基矽烷、二(異丙基胺基)甲基矽烷、二(三級丁基胺基)甲基矽烷、二(二級丁基胺基)甲基矽烷、二(三級戊基胺基)甲基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二(三級)丁氧基甲基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基三甲氧基矽烷、二乙醯氧基甲 基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基三甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1,2-二乙醯氧基-1,2-二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二矽氧烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基(三級)丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷、及二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)及四甲基環四矽氧烷(TMCTS))、二(三乙氧基矽烷基)甲烷、二(三乙氧基矽烷基)乙烷、二(三甲氧基矽烷基)甲烷、二(三甲氧基矽烷基)乙烷、二(二乙氧基甲基矽烷基)甲烷、二(二乙氧基甲基矽烷基)乙烷、二(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷。
在另一個態樣中,有提供一種經由原子層沉積方法或類似ALD的方法形成摻雜硼的金屬氮化物、摻雜硼的金屬碳氮化物、摻雜硼的金屬碳氧氮化物膜之方法,該方法其步驟包括:a.在一反應器中提供一基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反 應器中: 於此,R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團諸如鹵化物(Cl、Br、I)及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團諸如鹵化物(Cl、Br、I)及C4至C10芳基;c.以清除氣體清除該反應器;d.提供一含氮來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;f.將至少一種含金屬來源引進該反應器中;g.以清除氣體清除該反應器;h.提供一含氮來源以將該膜沉積到該至少一個表面上;i.以清除氣體清除該反應器;其中重覆步驟b至g直到獲得該膜之想要的厚度。在某些具體實例中,重覆步驟b至e,然後重覆步驟f至i以沉積一由氮化硼及金屬氮化物組成的奈米積層物層。在其它具體實例 中,可首先進行及重覆步驟f至i,接著重覆步驟b至e。對該奈米積層物來說,該金屬氮化物的厚度範圍可係1埃至5000埃、10埃至2000埃、50埃至1500埃、50埃至1000埃、50埃至500埃,然而該氮化硼的厚度範圍係1埃至5000埃、10埃至2000埃、50埃至1500埃、50埃至1000埃、50埃至500埃。一個特別的具體實例,在一或多種溫度範圍內進行該沉積步驟:約室溫至約1000℃,或室溫至約400℃,或室溫至約300℃,或室溫至約200℃,或室溫至約100℃。
在使用含金屬來源的方法之具體實例中,該含金屬來源包括但不限於三甲基鋁、三乙基鋁、三(二甲基胺基)鋁、三(乙基甲基胺基)鋁、氯化烷基鋁(例如,氯化甲基鋁,DMACl)、AlCl3、三甲基鋁(TMA)、三乙基鋁、氯化甲基鋁(MeAlCl2)、三(二甲基胺基)鋁(TDMAA)、三(二甲基胺基)鋁(TDMAA)、及三(二乙基胺基)鋁(TDEAA)、氯化鋯(ZrCl4)、四(二甲基胺基)鋯(TDMAZ)、四(二乙基胺基)鋯(TDEAZ)、四(乙基甲基胺基)鋯(TEMAZ)、四(二甲基胺基)鉿(TDMAH)、四(二乙基胺基)鉿(TDEAH)、及四(乙基甲基胺基)鉿(TEMAH)、氯化鈦(TiCl4)、四(二甲基胺基)鈦(TDMAT)、四(二乙基胺基)鈦(TDEAT)、四(乙基甲基胺基)鈦(TEMAT)、氯化釩、四(二甲基胺基)釩(TDMAV)、四(二乙基胺基)釩(TDEAV)、四(乙基甲基胺基)釩(TEMAV)、氯化鉭(TaCl5)、三級丁基亞胺基三(二乙基胺基)鉭(TBTDET)、三級丁基亞胺基三(二甲基胺基)鉭(TBTDMT)、三級丁基亞胺基三(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基三(二乙基胺基)鉭(EITDET)、乙基亞胺基三(二甲 基胺基)鉭(EITDMT)、乙基亞胺基三(乙基甲基胺基)鉭(EITEMT)、三級戊基亞胺基三(二甲基胺基)鉭(TAIMAT)、三級戊基亞胺基三(二乙基胺基)鉭、五(二甲基胺基)鉭、三級戊基亞胺基三(乙基甲基胺基)鉭、六氟化鎢、六氯化鎢、五氯化鎢、二(三級丁基亞胺基)二(二甲基胺基)鎢(BTBMW)、二(三級丁基亞胺基)二(二乙基胺基)鎢、二(三級丁基亞胺基)二(乙基甲基胺基)鎢、及其組合。
遍及該說明,用語”摻雜硼的氮化矽”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底,較佳為0.5至20原子%,最佳為0.5至8原子%或0.5至10原子%。
遍及該說明,用語”摻雜硼的碳氮化矽”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底,較佳為0.5至20原子%,最佳為0.5至8原子%或0.5至10原子%。
遍及該說明,用語”摻雜硼的羧基氮化矽”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底,較佳為0.5至20原子%,最佳為0.5至8原子%或0.5至10原子%。
遍及該說明,用語”摻雜硼的氧化矽”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底;較佳為0.5至20原子%,以XPS為基底;最佳為0.5至10原子%,以XPS為基底。
遍及該說明,用語”摻雜硼的金屬氮化物”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底,較佳為0.5至20原子%,最佳為0.5至8原子%或0.5至10原子%。該金屬氮化物包括但不限於氮化鈦、氮化鋯、氮化鉿、氮化 鉭、氮化釩、氮化鋁、氮化鎢及其組合。
遍及該說明,用語”摻雜硼的金屬碳氮化物”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底,較佳為0.5至20原子%,最佳為0.5至8原子%或0.5至10原子%。該金屬碳氮化物包括但不限於碳氮化鈦、碳氮化鋯、碳氮化鉿、碳氮化釩、碳氮化鉭、碳氮化鋁、碳氮化鎢及其組合。
遍及該說明,用語”摻雜硼的金屬碳氧氮化物”指示為具有硼含量0.5至50原子%的含硼膜,以XPS為基底,較佳為0.5至20原子%,最佳為0.5至8原子%或0.5至10原子%。該金屬碳氧氮化物包括但不限於碳氧氮化鈦、碳氧氮化鋯、碳氧氮化鉿、碳氧氮化釩、碳氧氮化鉭、碳氧氮化鋁、碳氧氮化鎢及其組合。
遍及該說明,如於本文中所使用的用語”保形性或步階覆蓋”係定義為在具有通路或溝槽或二者之經結構化或構形化的基材中,所沉積之摻雜硼的氮化矽介電質與摻雜硼的金屬氮化物膜二種之厚度百分比,其中底部步階覆蓋的比率(以%計):在該構形的底部處之厚度除以在該構形的頂端處之厚度;及中間步階覆蓋的比率(以%計):在該構形的側壁上之厚度除以在該構形的頂端處之厚度。使用於本文中所描述的方法沉積之膜具有約60%或較大、約70%或較大、約80%或較大、或約90%或較大的步階覆蓋,此指示出該膜具保形性。
於本文中所揭示的沉積方法可包括一或多種清 除氣體。該使用來清除掉未消耗的反應物及/或反應副產物之清除氣體係一不與該前驅物反應的惰性氣體。範例性清除氣體包括但不限於氬(Ar)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。在某些具體實例中,使用範圍約10至約2000sccm之流速將諸如Ar的清除氣體供應進該反應器中約0.1至1000秒,因此清除可餘留在該反應器中之未反應的材料及任何副產物。
在某些具體實例中,該使用於此描述的方法所沉積之氧化硼、硼氧化矽或摻雜硼的羰基化矽膜係於含氧來源存在下形成,諸如臭氧、水(H2O)(例如,去離子水、純化水及/或蒸餾水)、氧(O2)、臭氧電漿、氧電漿、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。該含氧來源氣體可通過就地或遠程電漿產生器以提供一包含氧的含氧電漿來源,諸如氧電漿、氧/氬電漿、氧/氦電漿、臭氧電漿、水電漿、一氧化二氮電漿或二氧化碳電漿。
在某些具體實例中,該含硼膜包含硼、矽及氮以提供氮化硼、摻雜硼的氮化矽或摻雜硼的碳氮化矽膜。在這些具體實例中,該使用於此描述的方法所沉積之含硼膜係於含氮來源存在下形成。該含氮來源可以至少一種氮來源的形式引進該反應器中及/或其可附隨地存在於使用在該沉積方法的其它前驅物中。合適的含氮來源氣體可包括例如氨、肼、單烷基肼(例如,甲基肼、三級丁基肼)、二烷基肼(例如,1,1-二甲基肼、1,2-二甲基肼)、有機胺(例如,甲胺、二甲胺、乙胺、二乙胺、三級丁胺、乙二胺)、有機胺電漿、氮、氮電漿、 氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物。
在某些具體實例中,該含硼膜包含範圍0.5至50%的硼含量,其係藉由XPS測量,較佳為1至20%;及該膜可選自於由下列所組成之群:氧化硼、氮化硼、碳氮化硼、摻雜硼的氧化矽、摻雜硼的羰基化矽、摻雜硼的氧氮化矽、摻雜硼的氮化矽、摻雜硼的碳氮化矽;其可使用在半導體方法之製造中,諸如用於FinFET之製造的固態擴散層。
在某些具體實例中,可將所產生的含硼膜曝露至一後沉積處理以影響該膜之一或多種性質,其中該處理有諸如但不限於電漿處理、化學處理、紫外光曝光、紅外光曝光、電子束曝光及/或其它處理。
可藉由進行改變供應該含硼前驅物、氧來源及/或其它前驅物、來源氣體及/或試劑的各別步驟之供應時間來改變所產生的膜之化學計量組合物。
將能量施加至該前驅物、含氧來源或其組合之至少一種來引發反應及在該基材上形成膜或塗層。此能量可藉由但不限於熱、電漿、脈衝電漿、迴旋電漿、高密度電漿、誘導耦合電漿、X射線、e束、光子、遠程電漿方法及其組合提供。在某些具體實例中,可使用二級RF頻率來源來修改在基材表面處的電漿特徵。在該沉積包括電漿的具體實例中,該電漿產生方法可包括直接在反應器中產生電漿之直接電漿產生方法,或再者,在反應器外產生電漿及將其供應進反應器中之遠程電漿產生方法。
可使用多種方式將該至少一種前驅物傳遞至反應艙,諸如電漿輔助循環CVD或PEALD反應器或批式熔爐型式反應器。在一個具體實例中,可使用液體傳遞系統。在可替代的具體實例中,可使用結合的液體傳遞及驟汽化製程單元,諸如例如,由MSP Corporation of Shoreview,MN製造之渦輪汽化器,以便能夠定體積地傳遞低揮發性材料,其導致可再現的運送及沉積而沒有前驅物熱分解。在液體傳遞調配物中,可以純淨液體形式傳遞於本文中所描述的前驅物,或再者,其可使用在包含其之溶劑調配物或組合物中。因此,在某些具體實例中,該前驅物調配物可包括具有如想要及可在所提供的末端使用應用中優良之合適特徵的溶劑組分,以在一基材上形成一膜。
對將於本文所描述的前驅物使用在一於本文所描述之包含一溶劑及至少一種含硼前驅物及選擇性含矽前驅物之組合物中的那些具體實例來說,所選擇的溶劑或其混合物不與該含硼前驅物反應。在該組合物中,該溶劑的量範圍以重量計百分比係0.5重量%至99.5%或10重量%至75%。在此或其它具體實例中,該溶劑具有的沸點(b.p.)係類似於前驅物的b.p.,或在溶劑b.p.與前驅物b.p.間之差異係40℃或較低,30℃或較低,或20℃或較低,或10℃或較低。再者,在沸點間的差異範圍係來自下列端點之任何一或多種:0、10、20、30或40℃。合適的b.p.差異範圍之實施例包括但不限於0至40℃、20°至30℃、或10°至30℃。在該組合物中,合適的溶劑之實施例包括但不限於醚(諸如,1,4-二氧六圜、二丁 基醚)、三級胺(諸如,吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌、N,N,N’,N’-四甲基乙二胺)、腈(諸如,苯甲腈)、烷烴(諸如,辛烷、壬烷、十二烷、乙基環己烷)、芳香烴(諸如,甲苯、脒)、三級胺基醚(諸如,二(2-二甲基胺基乙基)醚)、或其混合物。
如先前提到,該含硼前驅物的純度程度足夠高而足以由可信賴的半導體製造接受。在某些具體實例中,於本文中所描述的前驅物包含少於2重量%、或少於1重量%、或少於0.5重量%之一或多種下列雜質:自由態胺、自由態鹵化物或鹵素離子、及較高分子量物種。可透過純化、吸附及/或蒸餾方法之一或多種獲得較高純度程度之於本文所描述的前驅物。
在本文所描述的方法之一個具體實例中,可使用電漿輔助循環沉積方法諸如類似PEALD的或PEALD,其使用該前驅物及含氧或含氮來源進行該沉積。該類似PEALD的方法係定義為電漿輔助循環CVD方法,但是其仍然提供高保形的含硼膜。
在某些具體實例中,依該製程需求將該連接前驅物罐與反應艙的氣體線加熱至一或多種溫度,及將該前驅物之容器保持在一或多種用於吹泡的溫度下。在其它具體實例中,將包含該前驅物的溶液注射進一保持在一或多種用於直接液體注射的溫度下之汽化器中。
可使用氬及/或其它氣體氣流作為載體氣體以便在該前驅物脈衝期間幫助將該至少一種矽前驅物之蒸氣傳遞 至反應艙。在某些具體實例中,該反應艙的製程壓力係約50毫托耳至10托耳。在其它具體實例中,該反應艙的製程壓力最高可係760托耳。
在典型的PEALD或類似PEALD的方法諸如PECCVD方法中,於反應艙的加熱器平台上加熱該基材諸如氧化矽基材,其中該基材初始曝露至該前驅物以允許該錯合物化學吸附到該基材之表面上。
如先前提到,使用清除氣體諸如氬從該製程艙中清除掉未吸附的過量錯合物。在充分清除後,可將氧來源引進該反應艙中以與該經吸附的表面進行反應,接著使用另一種氣體進行清除從該艙中移除反應副產物。可重覆該製程循環以達成想要的膜厚度。在某些情況中,可使用泵置換使用惰性氣體清除,或可使用二者來移除未反應的前驅物。
在此或其它具體實例中,要了解的是,可以多種順序進行、可相繼地進行、可同時地進行(例如,在另一個步驟的至少一部分期間)及其任何組合進行於本文所描述的方法之步驟。可藉由變化供應週期來進行供應該前驅物及含氧或含氮來源氣體的各別步驟以改變所產生的介電膜之化學計量組合物。同樣地,可將在該前驅物或含氧或含氮步驟後之清除時間最小化至<0.1秒,以便可改良生產量。
可使用多種商業ALD反應器諸如單一晶圓、半批式、批式熔爐或捲繞式反應器來沉積於本文中所描述的含硼膜或材料。
用於本文所描述的方法之製程溫度使用下列溫 度的一或多種作為終點:0、25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、500、525、550、575、600、625、650、675、700、725、750、775、800、825、850、875、900、925、950、975及1000℃。範例性溫度範圍包括但不限於下列:約0℃至約1000℃;或約25℃至約1000℃;或約150℃至約900℃;或約25℃至約250℃;或約25℃至約200℃。
如先前提到,可使用於本文所描述的方法在一基材之至少一部分上沉積一含硼膜。合適的基材之實施例包括但不限於矽、SiO2、Si3N4、OSG、FSG、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、氮化硼、抗反射塗層、光阻、鍺、含鍺、含硼、Ga/As、可撓基材、有機聚合物、多孔有機及無機材料、金屬諸如銅及鋁、及擴散障敝層諸如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜係與多種隨後的加工步驟相容,諸如例如,化學機械平坦化(CMP)及各向異性蝕刻製程。
下列實施例闡明用以沉積於本文所描述的含硼膜及摻雜硼的氮化矽或摻雜硼的金屬氮化物膜之方法,及其不想要在任何方面限制所附加的申請專利範圍。
實施例
在下列實施例中,除非其它方面有所描述,否則該等性質係從沉積到中電阻率(14-17歐姆-公分)單晶矽晶圓基材上之樣品膜獲得。全部膜係使用具有蓮蓬頭設計及使用 13.56MHz直接電漿的CN-1反應器進行沉積。在典型的製程條件中,除非其它方面有所描述,否則該艙壓係固定在約1至約5托耳的壓力範圍內。使用額外的惰性氣體諸如氬或氮來維持艙壓。使用蒸氣抽出或吹泡來傳遞該有機硼烷前驅物、金屬前驅物及有機胺基矽烷前驅物。所使用的典型RF功率係在150毫米晶圓之電極面積上125瓦,以提供功率密度0.7瓦/平方公分。
使用偏振光橢圓計(例如,Ellipso Technology的型號Elli-SE-UaM12,在室溫下)或穿透式電子顯微鏡(JEOL’s HRTEM,型號JEM-3010)來測量所沉積的膜之折射率(RI)及厚度。使用Ulvac-Phi的型號Adept-1010之動態二次離子質譜儀(DSIMS)來分析膜組合物。全部測量係根據習知的方法進行。
比較例1。PEALD氮化硼膜,三(二甲基胺基)硼烷(TDMAB)及氮電漿
將矽晶圓負載進裝備有蓮蓬頭設計與13.56MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓2托耳。使用熟知的含硼前驅物,三(二甲基胺基)硼烷(TDMAB)作為硼前驅物及使用蒸氣抽出方法將其傳遞至反應艙。該ALD循環包含下列製程步驟:
a.準備該反應器及負載晶圓
§ 艙壓:2托耳
b.將該含硼前驅物引進該反應器
§ N2氣流的總氣流:1000sccm
§ TDMAB脈衝:0.5秒
c.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
d.引進電漿
§ N2氣流的總氣流:1000sccm
§ 電漿功率:125瓦
§ 電漿脈衝:10秒
e.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
重覆步驟b至e各別200循環、400循環及600循環。從厚度對循環數目圖來計算氮化硼的生長速率,如為0.10埃/循環。
實施例1。PEALD氮化硼膜,使用二二級丁基胺基硼烷(DSBAB)及氮電漿
將矽晶圓負載進裝備有蓮蓬頭設計與13.56MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓2托耳。使用蒸氣抽出方法將作為硼前驅物的二二級丁基胺基硼烷(DSBAB)傳遞至反應艙。ALD循環包含下列製程步驟:
a.準備該反應器及負載晶圓
§ 艙壓:2托耳
b.將含硼前驅物引進該反應器
§ N2氣流的總氣流:1000sccm
§ DSBAB脈衝:0.5至1.5秒
c.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
d.引進電漿
§ N2氣流的總氣流:1000sccm
§ 電漿功率:125瓦
§ 電漿脈衝:20秒
e.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
重覆步驟b至e 200循環且各別在0.5秒、1.0秒及1.5秒處使用DSBAB脈衝用於硼前驅物飽和測試,如顯示在圖1中,其闡明DSBAB在約1秒處到達ALD自限制。圖2顯示出重覆步驟b至e 200循環與多種N2脈衝,其證實N2電漿在約10秒時到達ALD自限制。在其它實驗中,重覆步驟b至e各別100循環、200循環及500循環。從厚度對循環數目圖來計算氮化硼的生長速率,如為0.14埃/循環,其闡明DSBAB具有比在比較例1中所描述的TDMAB高之生長速率。在另一組實驗中,重覆步驟b至e 200循環且條件為DSBAB/清除/N2電漿/清除=1秒/10秒/20秒*/10秒,在100至400℃的溫度範圍內。現在參照圖3,圖3顯示出從DSBAB及N2電漿在多種基材溫度下沉積的氮化硼,此建議DSBAB係合適於使用在ALD 沉積方法中(例如,在約150至約350℃間進行之ALD方法)。
實施例2。PEALD摻雜硼的氮化矽膜,使用二二級丁基胺基硼烷(DSBAB)、二異丙基胺基矽烷(DIPAS)及氮電漿
將矽晶圓負載進裝備有蓮蓬頭設計與13.56MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓2托耳。使用蒸氣抽出方法,將作為硼前驅物的二二級丁基胺基硼烷(DSBAB)及作為有機胺基矽烷前驅物的二異丙基胺基矽烷(DIPAS)傳遞進反應器中。該ALD循環包含下列製程參數。
a.準備該反應器及負載晶圓
§ 艙壓:2托耳
b.將該含硼前驅物引進該反應器
§ N2氣流的總氣流:1000sccm
§ DSBAB脈衝:0.5秒
c.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
d.引進電漿
§ N2氣流的總氣流:1000sccm
§ 電漿功率:125瓦
§ 電漿脈衝:20秒
e.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
f.將有機胺基矽烷前驅物引進該反應器
§ N2氣流的總氣流:1000sccm
§ DIPAS脈衝:1秒
g.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
h.引進電漿
§ N2氣流的總氣流:1000sccm
§ 電漿功率:125瓦
§ 電漿脈衝:10秒
i.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
在此實施例中,重覆一由具有步驟b至e的氮化硼一次,接著具有步驟f至i的氮化矽5次組成之超級循環(即,超級循環=氮化硼:(DSBAB/清除/電漿/清除=0.5秒/10秒/20*秒/10秒)x1循環+氮化矽:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒)x5循環))。重覆該超級循環200次(即,(氮化硼:(DSBAB/清除/電漿/清除=0.5秒/10秒/20*秒/10秒)x1循環+氮化矽:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒)x5)x200循環)。穿透式電子顯微鏡(TEM)測量顯示出下列厚度:摻雜硼的氮化矽155埃。所產生的膜之二次離子質譜儀(SIMS)分析顯示出下列組合物:B=5.99原子%,Si=33.0原子%,N=46.83原子%,O=2.35原子%,C=1.89原子%,H=9.94 原子%。在另一個實驗中,重覆一由具有步驟b至e的氮化硼兩次,接著具有步驟f至i的氮化矽5次組成之超級循環(即,超級循環=氮化硼:(DSBAB/清除/電漿/清除=0.5秒/10秒/20*秒/10秒)x2循環+氮化矽:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒)x5循環)。重覆該超級循環200次(即,(氮化硼:(DSBAB/清除/電漿/清除=0.5秒/10秒/20*秒/10秒)x2循環+氮化矽:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒)x5)x200循環)。TEM測量顯示出膜厚度170埃。所產生的膜之二次離子質譜儀(SIMS)分析顯示出下列組合物:B=12.86原子%,Si=26.42原子%,N=44.28原子%,O=1.42原子%,C=4.36原子%,H=10.68原子%。在另一個實驗中,重覆一由具有步驟b至e的氮化硼一次,接著具有步驟f至i的氮化矽10次組成之超級循環(即,超級循環=氮化硼:(DSBAB/清除/電漿/清除=0.5秒/10秒/20*秒/10秒)x1循環+氮化矽:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒)x10循環)。重覆該超級循環100次(即,(氮化硼:(DSBAB/清除/電漿/清除=0.5秒/10秒/20*秒/10秒)x1循環+氮化矽:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒)x10循環)x100循環)。TEM測量顯示出膜厚度150埃。所產生的膜之二次離子質譜儀(SIMS)分析顯示出下列組合物:B=4.63原子%,Si=35.72原子%,N=48.89原子%,O=2.27原子%,C=1.82原子%,H=6.67原子%。
實施例3。PEALD摻雜硼的氮化鈦膜,使用二二級丁基胺基 硼烷(DSBAB)、四(二甲基胺基)鈦(TDMAT)及氮電漿
將矽晶圓負載進裝備有蓮蓬頭設計與13.56MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓2托耳。將使用蒸氣抽出的二二級丁基胺基硼烷(DSBAB)作為硼前驅物及使用吹泡出(Ar流速為50sccm)的四(二甲基胺基)鈦(TDMAT)作為含金屬前驅物傳遞進該反應器中。該ALD循環包含下列製程參數。
a.準備該反應器及負載晶圓
§ 艙壓:2托耳
b.將含硼前驅物引進該反應器
§ N2氣流的總氣流:1000sccm
§ DSBAB脈衝:1秒
c.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
d.引進電漿
§ N2氣流的總氣流:1000sccm
§ 電漿功率:125瓦
§ 電漿脈衝:20秒
e.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
f.將含金屬前驅物引進該反應器
§ N2氣流的總氣流:1000sccm
§ TDMAT脈衝:1秒
g.清除
§ N2的總氣流:1000sccm
§ 清除時間:20秒
h.引進電漿
§ N2氣流的總氣流:1000sccm
§ 電漿功率:125瓦
§ 電漿脈衝:5秒
i.清除
§ N2的總氣流:1000sccm
§ 清除時間:10秒
在此實施例中,重覆一由具有步驟b至e的氮化硼一次,接著具有步驟f至i的氮化鈦5次組成之超級循環(即,超級循環=氮化硼:(DSBAB/清除/電漿/清除=1秒/10秒/20*秒/10秒)x1循環+氮化鈦:(TDMAT/清除/電漿/清除=1.0秒/20秒/10*秒/10秒)x5循環)。重覆該超級循環50次(即,氮化硼:(DSBAB/清除/電漿/清除=1秒/10秒/20*秒/10秒)x1循環+氮化鈦:(TDMAT/清除/電漿/清除=1.0秒/20秒/10*秒/10秒)x5循環)x50循環)。TEM測量顯示出下列厚度:摻雜硼的氮化鈦230埃。所產生的膜之二次離子質譜儀(SIMS)分析顯示出下列組合物:B=2.82原子%,Ti=41.02原子%,N=47.73原子%,O=2.61原子%,C=3.62原子%,H=2.48原子%。在另一個實驗中,重覆一由具有步驟b至e的氮化硼兩次,接著具有步驟f至i的氮化矽5次組成之超級循環(即,超級循環=氮化硼: (DSBAB/清除/電漿/清除=1秒/10秒/20*秒/10秒)x2循環+氮化鈦:(TDMAT/清除/電漿/清除=1.0秒/20秒/10*秒/10秒)x5循環)。重覆該超級循環50次(即,氮化硼:(DSBAB/清除/電漿/清除=1秒/10秒/20*秒/10秒)x2循環+氮化鈦:(TDMAT/清除/電漿/清除=1.0秒/20秒/10*秒/10秒)x5循環)x50循環)。TEM測量顯示出下列厚度:摻雜硼的氮化矽220埃。所產生的膜之二次離子質譜儀(SIMS)分析顯示出下列組合物:B=5.90原子%,Ti=37.58原子%,N=46.95原子%,O=1.85原子%,C=4.12原子%,H=3.59原子%。圖4顯示出所沉積之摻雜硼的氮化鈦之電阻率對硼含量圖,其闡明該膜性質可經由變化沉積參數來調整。對測試保形性或步階覆蓋來說,使用具有AR=12:1(寬度=95奈米,深度=1160奈米)的圖案晶圓片。在此實驗中,重覆一由具有步驟b至e的氮化硼兩次,接著具有步驟f至i的氮化矽5次組成之超級循環(即,超級循環=氮化硼:(DSBAB/清除/電漿/清除=1秒/10秒/20*秒/10秒)x2循環+氮化鈦:(TDMAT/清除/電漿/清除=1.0秒/20秒/10*秒/10秒)x5循環)。重覆該超級循環50次(即,氮化硼:(DSBAB/清除/電漿/清除=1秒/10秒/20*秒/10秒)x2循環+氮化鈦:(TDMAT/清除/電漿/清除=1.0秒/20秒/10*秒/10秒)x5循環)x50循環:(DIPAS/清除/電漿/清除=1.0秒/10秒/10*秒/10秒10循環)x50循環)。TEM測量(圖5)顯示出下列厚度:頂端,220埃;中間,220埃;及底部,220埃,此與100%保形性或步階覆蓋相應。
雖然本發明已經伴隨著參照某些態樣或具體實 例進行描述,將由熟習該項技術者了解,可製得多種改變及可以同等物取代其元素而沒有離開本發明之範圍。此外,可製得許多改質以適應本發明之教導而沒有離開其基本範圍。因此,想要本發明不受經揭示如為用以實行本發明所考慮到的最好模式之特別具體實例所限制,而是本發明將包括落在所附加的申請專利範圍之範圍內的全部具體實例。

Claims (23)

  1. 一種用於氣相沉積的含硼前驅物,其包含至少一種選自於由具有式I的化合物及具有式II的化合物所組成之群的化合物:
    Figure TWI623543B_C0001
    其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列的環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環。
  2. 如請求項1之前驅物,其中該化合物包含至少一種選自於由下列所組成之群的成員:二甲基胺基硼烷、二乙基胺基硼烷、乙基甲基胺基硼烷、二異丙基胺基硼烷、二二級丁基胺基硼烷、N-乙基-環己基胺基硼烷、N-甲基-環己基胺基硼烷、N-異丙基-環己基胺基硼烷、苯基甲基胺基硼烷、苯基乙基胺基硼烷、哌啶基硼烷、2,6-二甲基哌啶基硼烷、二異丙基胺基二硼烷、二二級丁基胺基二硼烷、2,6-二甲基哌啶基二硼烷及2,2,6,6-四甲基哌啶基硼烷。
  3. 一種組合物,其包含:(a)至少一種具有式I或II的化合物:
    Figure TWI623543B_C0002
    其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列的環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環;及(b)至少一種溶劑,其中該溶劑具有一沸點及其中在該溶劑與該至少一種含硼前驅物的沸點間之差異係40℃或較低。
  4. 如請求項3之組合物,其中該化合物包含至少一種選自於由下列所組成之群的成員:二甲基胺基硼烷、二乙基胺基硼烷、乙基甲基胺基硼烷、二異丙基胺基硼烷、二二級丁基胺基硼烷、N-乙基-環己基胺基硼烷、N-甲基-環己基胺基硼烷、N-異丙基-環己基胺基硼烷、苯基甲基胺基硼烷、苯基乙基胺基硼烷、哌啶基硼烷、2,6-二甲基哌啶基硼烷、二異丙基胺基二硼烷、二二級丁基胺基二硼烷、2,6-二甲基哌啶基二硼烷及2,2,6,6-四甲基哌啶基硼烷。
  5. 如請求項3之組合物,其中該溶劑包含至少一種選自於由下列所組成之群的成員:醚、三級胺、烷基烴、芳香烴、三級胺基醚。
  6. 一種將含硼膜沉積到一基材的至少一表面上之方法,其包含下列步驟:在一反應器中提供該基材;使用一選自於化學氣相沉積、原子層沉積方法及類似ALD的沉積方法,使用至少一種選自於具有下列式I及II的化合物之含硼前驅物,在該表面上形成該含硼膜:
    Figure TWI623543B_C0003
    其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C4至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、吸電子基團及C4至C10芳基;及選擇性,其中R1及R2係連結在一起以形成一選自於下列的環:經取代或未經取代的芳香環或經取代或未經取代的脂肪族環。
  7. 一種經由原子層沉積方法或類似ALD的方法在一基材之至少一表面上形成一摻雜硼的氮化矽、摻雜硼的碳氮化矽、摻雜硼的羧基氮化矽膜之方法,該方法包括下列步驟:a.在一反應器中提供該基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中:
    Figure TWI623543B_C0004
    於此,R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、及吸電子基團;c.以清除氣體清除該反應器;d.提供至少一種含氮來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;f.將至少一種含矽來源引進該反應器中;g.以清除氣體清除該反應器;h.提供至少一種含氮來源以將該膜沉積到該至少一個表面上;i.以清除氣體清除該反應器;其中重覆步驟b至i直到獲得該膜之想要的厚度。
  8. 如請求項7之方法,其中該含氮來源包含至少一種選自於由下列所組成之群的成員:氨、肼、單烷基肼、二烷基肼、有機胺、有機胺電漿、氮、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物。
  9. 一種經由原子層沉積方法或類似ALD的方法在一基材之至少一表面上形成一摻雜硼的氧化矽、摻雜硼的羰基化矽膜之方法,該方法包括下列步驟:a.在一反應器中提供該基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中:
    Figure TWI623543B_C0005
    其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、及吸電子基團;c.以清除氣體清除該反應器;d.提供至少一種含氧來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;f.將至少一種含矽來源引進該反應器中;g.以清除氣體清除該反應器;h.提供至少一種含氧來源以將該膜沉積到該至少一個表面上;i.以清除氣體清除該反應器;其中重覆步驟b至i直到獲得該膜之想要的厚度。
  10. 如請求項9之方法,其中該含氧來源包含至少一種選自於由下列所組成之群的成員:臭氧、水(H2O)、氧(O2)、臭氧電漿、氧電漿、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。
  11. 一種經由原子層沉積方法或類似ALD的方法在一基材之至少一表面上形成一摻雜硼的金屬氮化物、摻雜硼的金屬碳氮化物、摻雜硼的金屬碳氧氮化物膜之方法,該方法包括下列步驟:a.在一反應器中提供該基材;b.將至少一種由下列式I及II表示的含硼前驅物引進該反應器中:
    Figure TWI623543B_C0006
    於此,R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C10烯基、線性或分枝的C3至C10炔基、C1至C6二烷基胺基、吸電子基團及C6至C10芳基;R2係選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、線性或分枝的C3至C6烯基、線性或分枝的C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分枝的C1至C6氟化烷基、及吸電子基團;c.以清除氣體清除該反應器;d.提供至少一種含氮來源以將該膜沉積到該至少一個表面上;e.以清除氣體清除該反應器;f.將至少一種含金屬來源引進該反應器中;g.以清除氣體清除該反應器;h.提供至少一種含氮來源以將該膜沉積到該至少一個表面上;i.以清除氣體清除該反應器;其中重覆步驟b至i直到獲得該膜之想要的厚度。
  12. 如請求項11之方法,其中該含氮來源包含至少一種選自於由下列所組成之群的成員:氨、肼、單烷基肼、二烷基肼、有機胺、有機胺電漿、氮、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3、NF3電漿及其混合物。
  13. 如請求項11之方法,其中該含金屬來源包含至少一種選自於由下列所組成之群的成員:三甲基鋁、三乙基鋁、三(二甲基胺基)鋁、三(乙基甲基胺基)鋁、氯化甲基鋁,氯化二甲基鋁(DMACl)、AlCl3、三甲基鋁(TMA)、三乙基鋁、二氯化甲基鋁(MeAlCl2)、三(二甲基胺基)鋁(TDMAA)、三(二甲基胺基)鋁(TDMAA)、及三(二乙基胺基)鋁(TDEAA)、氯化鋯(ZrCl4)、四(二甲基胺基)鋯(TDMAZ)、四(二乙基胺基)鋯(TDEAZ)、四(乙基甲基胺基)鋯(TEMAZ)、四(二甲基胺基)鉿(TDMAH)、四(二乙基胺基)鉿(TDEAH)、及四(乙基甲基胺基)鉿(TEMAH)、氯化鈦(TiCl4)、四(二甲基胺基)鈦(TDMAT)、四(二乙基胺基)鈦(TDEAT)、四(乙基甲基胺基)鈦(TEMAT)、氯化釩、四(二甲基胺基)釩(TDMAV)、四(二乙基胺基)釩(TDEAV)、四(乙基甲基胺基)釩(TEMAV)、氯化鉭(TaCl5)、三級丁基亞胺基三(二乙基胺基)鉭(TBTDET)、三級丁基亞胺基三(二甲基胺基)鉭(TBTDMT)、三級丁基亞胺基三(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基三(二乙基胺基)鉭(EITDET)、乙基亞胺基三(二甲基胺基)鉭(EITDMT)、乙基亞胺基三(乙基甲基胺基)鉭(EITEMT)、三級戊基亞胺基三(二甲基胺基)鉭(TAIMAT)、三級戊基亞胺基三(二乙基胺基)鉭、五(二甲基胺基)鉭、三級戊基亞胺基三(乙基甲基胺基)鉭、六氟化鎢、六氯化鎢、五氯化鎢、二(三級丁基亞胺基)二(二甲基胺基)鎢(BTBMW)、二(三級丁基亞胺基)二(二乙基胺基)鎢、二(三級丁基亞胺基)二(乙基甲基胺基)鎢、及其組合。
  14. 如請求項11之方法,其中該摻雜硼的金屬氮化物包含至少一種選自於由下列所組成之群的成員:摻雜硼的氮化鈦、摻雜硼的氮化鋯、摻雜硼的氮化鉿、摻雜硼的氮化釩、摻雜硼的氮化鉭、摻雜硼的氮化鋁、摻雜硼的氮化鎢及其組合。
  15. 如請求項11之方法,其中該摻雜硼的金屬氮化物具有10原子%硼或較少。
  16. 如請求項11之方法,其中該摻雜硼的金屬氮化物具有8原子%硼或較少。
  17. 一種含硼膜,其係依請求項6被製造的。
  18. 一種含硼膜,其係依請求項7被製造的。
  19. 一種含硼膜,其係依請求項9被製造的。
  20. 一種含硼膜,其係依請求項11被製造的。
  21. 如請求項7之方法,其中該吸電子基團包含鹵化物。
  22. 如請求項9之方法,其中該吸電子基團包含鹵化物。
  23. 如請求項11之方法,其中該吸電子基團包含鹵化物。
TW105110113A 2015-03-31 2016-03-30 含硼化合物、組合物及含硼膜的沉積方法 TWI623543B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562140570P 2015-03-31 2015-03-31
US62/140,570 2015-03-31
US15/079,585 US10763103B2 (en) 2015-03-31 2016-03-24 Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US15/079,585 2016-03-24

Publications (2)

Publication Number Publication Date
TW201638097A TW201638097A (zh) 2016-11-01
TWI623543B true TWI623543B (zh) 2018-05-11

Family

ID=55661661

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105110113A TWI623543B (zh) 2015-03-31 2016-03-30 含硼化合物、組合物及含硼膜的沉積方法

Country Status (7)

Country Link
US (2) US10763103B2 (zh)
EP (2) EP3663301B1 (zh)
JP (1) JP6781165B2 (zh)
KR (2) KR102178735B1 (zh)
CN (2) CN116411261A (zh)
TW (1) TWI623543B (zh)
WO (1) WO2016160800A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
JP7191023B2 (ja) * 2016-12-22 2022-12-16 アプライド マテリアルズ インコーポレイテッド 下位構造材料に直接rf曝露しない共形の気密性誘電体封入のためのsibn膜
JP6986425B2 (ja) * 2016-12-22 2021-12-22 東京応化工業株式会社 不純物拡散剤組成物、及び半導体基板の製造方法
KR102020211B1 (ko) * 2017-01-09 2019-11-04 주식회사 테스 탄소 및/또는 보론를 포함하는 비정질 실리콘막의 형성 방법
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
CN108622857B (zh) * 2018-04-13 2021-06-04 河南师范大学 环状硼氮氢化合物氨基乙硼烷的制备方法
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
KR20210080348A (ko) * 2018-07-24 2021-06-30 아소시아시온 센트로 데 인베스티가시온 코페라티바 엔 나노시엔시아스 (쎄이쎄 나노구네) 유기-무기 하이브리드 소재의 제조 방법
JP7197328B2 (ja) * 2018-11-05 2022-12-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
SG11202109675XA (en) * 2019-03-12 2021-10-28 Univ Of Vermont And State Agricultural College Low-temperature formation of group 13-15 ceramics and group 13-15-16 ceramics
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
CN114270476A (zh) * 2019-06-24 2022-04-01 朗姆研究公司 选择性碳沉积
JP2022543602A (ja) * 2019-08-07 2022-10-13 アプライド マテリアルズ インコーポレイテッド 3d nandのための改質スタック
JP2023500375A (ja) * 2019-11-08 2023-01-05 アプライド マテリアルズ インコーポレイテッド 材料の表面粗さを減少させる方法
US11352693B1 (en) * 2020-04-24 2022-06-07 United States Of America As Represented By The Administrator Of Nasa Boron aluminum oxide compound deposited by atomic layer deposition on product used for radiation shielding
CN117980534A (zh) * 2021-03-02 2024-05-03 弗萨姆材料美国有限责任公司 用于包含硅和硼的膜的组合物及其使用方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020090835A1 (en) * 2001-01-08 2002-07-11 Chakravarti Ashima B. Methods and materials for depositing films on semiconductor substrates
US20050042888A1 (en) * 2003-08-18 2005-02-24 Roeder Jeffrey F. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20110230677A1 (en) * 2010-03-19 2011-09-22 Schmidt Wayde R Single-source precursor and methods therefor

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3232723A (en) * 1959-03-20 1966-02-01 Monsanto Co Fuels containing organic compounds of boron and phosphorus
US3031503A (en) 1959-07-22 1962-04-24 Callery Chemical Co Preparation of aminodiboranes
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
DE1206899B (de) * 1963-11-29 1965-12-16 Bayer Ag Verfahren zur Herstellung von siliciumhaltigen N, N', N"-Triorgano-B, B', B"-trihydrido-borazolen
DE2835069A1 (de) * 1978-08-10 1980-02-21 Bayer Ag N-amino-3,4,5-trihydroxypiperidine, ihre herstellung und verwendung
US4312989A (en) * 1979-08-21 1982-01-26 The United States Of America As Represented By The Secretary Of The Army Pharmacologically active amine boranes
US4578283A (en) * 1982-09-23 1986-03-25 Allied Corporation Polymeric boron nitrogen dopant
US4545968A (en) * 1984-03-30 1985-10-08 Toshiba Tungaloy Co., Ltd. Methods for preparing cubic boron nitride sintered body and cubic boron nitride, and method for preparing boron nitride for use in the same
US4658051A (en) * 1985-04-09 1987-04-14 Utah State University Foundation Hydroborate compounds
US4774354A (en) * 1985-04-09 1988-09-27 Utah State University Foundation Hydroborate compounds
US4581468A (en) * 1985-05-13 1986-04-08 Ultrasystems, Inc. Boron nitride preceramic polymers
US4977268A (en) * 1988-04-08 1990-12-11 Duke University Boron dipeptide compounds
US5082693A (en) * 1989-02-17 1992-01-21 University Of New Mexico Precursors for boron nitride ceramic coatings
US5204295A (en) * 1989-02-17 1993-04-20 University Of New Mexico Precursors for boron nitride coatings
US5128286A (en) * 1989-06-20 1992-07-07 Tonen Corporation Boron-containing, silicon nitride-based ceramic shaped body
EP0450125B1 (de) * 1990-04-06 1994-10-26 Siemens Aktiengesellschaft Verfahren zur Herstellung von mikrokristallin kubischen Bornitridschichten
DE4113791A1 (de) * 1991-04-26 1992-10-29 Solvay Deutschland Verfahren zur abscheidung einer bor und stickstoff enthaltenden schicht
US5300634A (en) * 1991-05-07 1994-04-05 Wako Pure Chemical Industries, Ltd. Process for producing maltooligosaccharide derivative
US5143907A (en) * 1991-05-10 1992-09-01 Boron Biologicals, Inc. Phosphite-borane compounds, and method of making and using the same
US5254706A (en) * 1991-05-10 1993-10-19 Boron Biologicals, Inc. Process of making phosphite-borane compounds
FR2691150B1 (fr) * 1992-05-15 1994-08-12 Rhone Poulenc Chimie Triéthylnylborazines, leur préparation et leur utilisation notamment pour la préparation de céramique essentiellement à base de nitrure de bore.
US5324690A (en) * 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5612013A (en) * 1995-02-10 1997-03-18 Trustees Of The University Of Pennsylvania Method for synthesis of borazine
DE19845463A1 (de) * 1998-10-02 2000-04-06 Stiftung Inst Fuer Werkstoffte Verfahren zur Herstellung von verschleißfesten Boridschichten
US6197715B1 (en) * 1999-03-23 2001-03-06 Cryovac, Inc. Supported catalysts and olefin polymerization processes utilizing same
US6767775B1 (en) * 1999-03-30 2004-07-27 Seiko Epson Corporation Method of manufacturing thin-film transistor
JP3926987B2 (ja) * 1999-03-30 2007-06-06 セイコーエプソン株式会社 シリコン膜の形成方法
JP3737688B2 (ja) * 2000-09-14 2006-01-18 株式会社東芝 電子放出素子及びその製造方法
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
DE10057009A1 (de) * 2000-11-17 2002-05-29 Celanese Ventures Gmbh Non-Metallocene, Verfahren zur Herstellung von diesen und deren Verwendung zur Polymerisation von Olefinen
US7563715B2 (en) * 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
FR2833957B1 (fr) 2001-12-21 2004-02-13 Centre Nat Rech Scient Composes(aryl)(amino)boranes, procede pour leur preparation
FR2834983B1 (fr) * 2002-01-22 2004-12-17 Eads Launch Vehicules Procede de fabrication de fibres de nitrure de bore a partir de borylborazines
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
JP4461215B2 (ja) * 2003-09-08 2010-05-12 独立行政法人産業技術総合研究所 低誘電率絶縁材料とそれを用いた半導体装置
US7074502B2 (en) * 2003-12-05 2006-07-11 Eastman Kodak Company Organic element for electroluminescent devices
US7045583B2 (en) * 2003-12-22 2006-05-16 Exxon Mobil Chemical Patents Inc. Olefin polymerization catalyst system
CA2555597C (en) * 2004-02-13 2016-06-14 The University Of British Columbia Radiolabeled compounds and compositions, their precursors and methods for their production
FR2868085B1 (fr) * 2004-03-24 2006-07-14 Alchimer Sa Procede de revetement selectif d'une surface composite, fabrication d'interconnexions en microelectronique utilisant ce procede, et circuits integres
US7388100B2 (en) * 2004-07-16 2008-06-17 Tetsuya Nishio Tertiary amine compounds
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060115591A1 (en) * 2004-11-29 2006-06-01 Olander W K Pentaborane(9) storage and delivery
JP2007062629A (ja) 2005-09-01 2007-03-15 Kobelco Contstruction Machinery Ltd 建設機械のステップ構造
CN101309693A (zh) * 2005-09-13 2008-11-19 耶路撒冷希伯来大学伊森姆研究发展公司 胺-硼烷化合物作为抗菌剂的用途
KR20080075115A (ko) * 2005-11-17 2008-08-14 니폰 쇼쿠바이 컴파니 리미티드 화학 기상 증착 성막용 조성물 및 저유전율 막의 제조 방법
US7531458B2 (en) * 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
US20080145536A1 (en) 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
CA2670809A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
JP4827061B2 (ja) * 2007-03-12 2011-11-30 独立行政法人物質・材料研究機構 立方晶窒化ホウ素の製造方法
WO2008127935A1 (en) * 2007-04-13 2008-10-23 The Board Of Trustees Of The University Of Illinois Metal complex compositions and methods for making metal-containing films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
FR2923221B1 (fr) 2007-11-07 2012-06-01 Air Liquide Procede de depot par cvd ou pvd de composes de bore
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR101576033B1 (ko) * 2008-08-19 2015-12-11 삼성전자주식회사 전구체 조성물, 박막 형성 방법, 이를 이용한 게이트 구조물의 제조 방법 및 커패시터의 제조 방법
US7998859B2 (en) * 2008-09-25 2011-08-16 Enthone Inc. Surface preparation process for damascene copper deposition
MX338021B (es) * 2009-09-30 2015-08-17 Univ Guanajuato Sintesis borodipirrometenos con propiedades de laser.
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
KR20110092836A (ko) 2010-02-10 2011-08-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9018104B2 (en) 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
JP5699980B2 (ja) 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
FR2978152B1 (fr) * 2011-07-22 2015-02-20 Univ Lille 1 Sciences & Technologies Nouveau procede de preparation de dialkylmagnesiens par polymerisation de l'ethylene et leurs applications
US8632941B2 (en) * 2011-09-22 2014-01-21 Eastman Kodak Company Negative-working lithographic printing plate precursors with IR dyes
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
JP2013191770A (ja) 2012-03-14 2013-09-26 Tokyo Electron Ltd 成膜装置の安定化方法及び成膜装置
WO2013157494A1 (ja) * 2012-04-20 2013-10-24 コニカミノルタ株式会社 有機エレクトロルミネッセンス素子
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US20130330473A1 (en) * 2012-06-11 2013-12-12 Wayne State University Atomic Layer Deposition of Transition Metal Thin Films Using Boranes as the Reducing Agent
EP2684887B1 (en) 2012-07-13 2015-06-17 Universite De Bordeaux New process for preparing arylboranes by arylation of organoboron compounds
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9318710B2 (en) * 2012-07-30 2016-04-19 Universal Display Corporation Organic electroluminescent materials and devices
US20140147684A1 (en) * 2012-11-26 2014-05-29 Korea Institute Of Science And Technology Gas barrier film and method of preparing the same
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
WO2014134716A1 (en) * 2013-03-08 2014-09-12 The University Of British Columbia Substituted organofluoroborates as imaging agents
US20140273524A1 (en) * 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
GB201307334D0 (en) * 2013-04-23 2013-05-29 Novaucd Process
US20150014663A1 (en) * 2013-07-11 2015-01-15 Korea Institute Of Science And Technology Organic light emitting display apparatus and the method for manufacturing the same
KR20150009123A (ko) 2013-07-15 2015-01-26 삼성전자주식회사 레이저를 이용하여 반도체를 가공하는 장치
JP6018984B2 (ja) * 2013-07-31 2016-11-02 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子および画像表示装置
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR101718941B1 (ko) * 2013-09-30 2017-03-22 주식회사 엘지화학 광반응기를 갖는 고리형 올레핀 화합물 및 광반응성 중합체
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020090835A1 (en) * 2001-01-08 2002-07-11 Chakravarti Ashima B. Methods and materials for depositing films on semiconductor substrates
US20050042888A1 (en) * 2003-08-18 2005-02-24 Roeder Jeffrey F. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20110230677A1 (en) * 2010-03-19 2011-09-22 Schmidt Wayde R Single-source precursor and methods therefor

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Lakmal C. Kalutarage et. al., "Low-Temperature Atomic Layer Deposition of Copper Films Using Borane Dimethylamine as te Reducing Co-reagent", Chem. Mater., 2014, Volume 26, page 3731-3738
Lakmal C. Kalutarage et. al., "Low-Temperature Atomic Layer Deposition of Copper Films Using Borane Dimethylamine as te Reducing Co-reagent", Chem. Mater., 2014, Volume 26, page 3731-3738 Lakmal C. Kalutarage et. al., "Volatile and Thermally Stable Mid to Late Transition Metal Complexes Containing α-lmino Alkoxide Ligands, a New Strongly Reducing Coreagent, and Thermal Atomic Layer Deposition of Ni, Co, Fe, and Cr Metal Films", J. Am. Chem. Soc., 2013, Volume 135, page 12588-12591 *
Lakmal C. Kalutarage et. al., "Volatile and Thermally Stable Mid to Late Transition Metal Complexes Containing α-lmino Alkoxide Ligands, a New Strongly Reducing Coreagent, and Thermal Atomic Layer Deposition of Ni, Co, Fe, and Cr Metal Films", J. Am. Chem. Soc., 2013, Volume 135, page 12588-12591

Also Published As

Publication number Publication date
JP2018516233A (ja) 2018-06-21
KR102178735B1 (ko) 2020-11-13
TW201638097A (zh) 2016-11-01
JP6781165B2 (ja) 2020-11-04
US20160293410A1 (en) 2016-10-06
KR20200130500A (ko) 2020-11-18
EP3277696B1 (en) 2020-03-11
EP3663301B1 (en) 2023-08-30
CN116411261A (zh) 2023-07-11
WO2016160800A1 (en) 2016-10-06
KR20170133442A (ko) 2017-12-05
EP3663301A1 (en) 2020-06-10
KR102434246B1 (ko) 2022-08-18
US20200365401A1 (en) 2020-11-19
EP3277696A1 (en) 2018-02-07
US10763103B2 (en) 2020-09-01
CN107660209A (zh) 2018-02-02
US11605535B2 (en) 2023-03-14

Similar Documents

Publication Publication Date Title
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
US11732351B2 (en) Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
TWI579399B (zh) 用於含矽膜的沉積的組合物及其方法
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
JP6359999B2 (ja) ケイ素含有膜を調製するための方法
JP6018149B2 (ja) 窒化ケイ素膜被着方法
KR20180064483A (ko) 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法