JP2018516233A - ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法 - Google Patents

ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法 Download PDF

Info

Publication number
JP2018516233A
JP2018516233A JP2017551188A JP2017551188A JP2018516233A JP 2018516233 A JP2018516233 A JP 2018516233A JP 2017551188 A JP2017551188 A JP 2017551188A JP 2017551188 A JP2017551188 A JP 2017551188A JP 2018516233 A JP2018516233 A JP 2018516233A
Authority
JP
Japan
Prior art keywords
group
boron
branched
linear
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017551188A
Other languages
English (en)
Other versions
JP6781165B2 (ja
Inventor
レイ シンチエン
レイ シンチエン
ムソン キム
ムソン キム
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2018516233A publication Critical patent/JP2018516233A/ja
Application granted granted Critical
Publication of JP6781165B2 publication Critical patent/JP6781165B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/027Organoboranes and organoborohydrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/022Boron compounds without C-boron linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/05Cyclic compounds having at least one ring containing boron but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本明細書で説明されるのは、ホウ素含有膜を形成するためのホウ素含有前駆体化合物、並びにそれを含む組成物及び方法である。1つの態様において、膜は、本明細書で説明される以下の式I又はII:を有する少なくとも1つの前駆体から堆積される。

Description

この出願は、2015年3月31日に出願された米国仮特許出願第62/140570号と、2016年3月24日に出願された米国特許出願第15/079585号との利益を主張し、これらの開示全体は、参照することにより本明細書に組み込まれる。
本明細書で説明されるのは、ホウ素含有膜又はホウ素ドープケイ素含有膜の形成のための組成物及び方法である。より具体的には、本明細書で説明されるのは、1つ又は複数の堆積温度で、化学量論的又は非化学量論的なホウ素含有膜若しくは材料、又はホウ素ドープケイ素含有膜を形成するための化合物及び組成物、並びにそれを含む方法である。
ホウ素化合物は、例えば、半導体産業において、フィン電界効果トランジスタ(FinFET)の製作又は他の用途で必要とされる。したがって、ホウ素前駆体、例えば、ホウ素ハライド(例えばBCl3)、トリアルキルボラン、又はホウ素アルコキシド前駆体は、ホウ素ドープ膜のために使用されてきた。
Burg,A.B.らによる「シリルアミノホウ素化合物(Silyl−amino boron compounds)」(J.Am.Chem.Soc.,Vol.72:pp.3103〜3107)と題した文献では、様々なホウ素化合物の形成を説明している。
米国特許第8288292号及び同第8479683号明細書では、負荷影響なくコンフォーマルな層を作り出す窒化ホウ素又は窒化ホウ素炭素誘電体を形成する方法を説明している。誘電体層は、基材上にホウ素含有膜の化学気相堆積(CVD)により形成され、堆積の少なくとも一部がプラズマなしで行われ、次いで、堆積されたホウ素含有膜をプラズマにさらす。CVD成分は、堆積プロセスに影響を及ぼし、負荷影響なくコンフォーマルな膜を作り出す。誘電体は灰化可能であり、周辺材料に影響を与えることなく、水素プラズマで取り除くことができる。誘電体は、他のフロントエンドスペーサー又はハードマスク材料、例えば、酸化ケイ素又は窒化ケイ素に比べて、極めて低いウェットエッチ速度を有しており、窒化ケイ素より極めて低い、比較的低い誘電率を有している。
米国特許出願公開第2013/052836号明細書では、半導体デバイスを製造するための方法であって、以下の工程:クロロシラン系源及びアミノシラン系源の源の一方をプロセスチャンバー中の基材に供給し、その後、他方の源を提供して、基材上にケイ素、窒素及び炭素を含有する第1層を形成する工程を所定の回数交互に行うことで、基材上に所定の組成及び所定の膜厚を有する絶縁膜を形成する工程;並びに、源のそれぞれと異なる反応ガスを、プロセスチャンバー中の基材に供給して、第1層を改質して第2層を形成する工程;を含む方法を説明している。
「ホウ素−窒素結合の遷移金属触媒化形成:アミン−ボラン付加物の触媒デヒドロカップリングによるアミノボラン及びボラジンの形成(Transition Metal−Catalyzed Formation of Boron−Nitrogen Bonds:Catalytic Dehydrocoupling of Amine−Borane Adducts to Form Aminoboranes and Borazines)」(J.Am.Chem.Soc.125(31):9424〜9434))と題した文献では、プレ触媒として後期遷移金属錯体を使用して、1級又は2級アミン−ボラン付加物からアミノボラン及びボラジン誘導体を製造する方法を説明している。
米国特許第8753717号明細書では、ホウ素含有ガス、窒化ガス、シラン系ガス、及び炭化水素ガスを、処理ターゲットが真空吸引されるように収容された処理容器の中に供給することで、処理ターゲットの表面上にホウ素、窒素、ケイ素、及び炭素を含む薄膜を形成するための膜形成方法であって、ホウ素含有ガス及び窒化ガスを1回又は2回以上、交互にかつ間欠的に供給するサイクルを行うことで窒化ホウ素(BN)膜を形成する第1プロセスと、シラン系ガス、炭化水素ガス、及び窒化ガスを1回又は2回以上、間欠的に供給するサイクルを行うことで炭窒化ケイ素(SiCN)膜を形成する第2プロセスとを含む方法を説明している。
米国特許出願公開第2013/239893号明細書では、膜形成装置を安定化させるための方法であって、装置が、真空排気可能な処理チャンバーで処理されるべき少なくとも1つのターゲット対象物上で、ホウ素含有窒化物膜形成プロセス又はホウ素非含有窒化物膜形成プロセスを選択的に行うことができ、ホウ素非含有窒化物膜形成プロセスがホウ素含有窒化物膜形成プロセスの後に行われる場合に、ホウ素含有窒化物膜形成プロセスとホウ素非含有窒化物膜形成プロセスとの間で、酸素含有ガス雰囲気の下で処理チャンバーの内部を加熱する加熱安定化プロセスを行うことを含む方法を説明している。
米国特許第6815350号及び同第6962876号明細書では、原子層堆積(ALD)プロセスを使用して三重薄膜を形成するための方法であって、ウエハを収容するチャンバーに第1及び第2反応材料を供給する工程であって、第1及び第2反応材料が、ウエハの表面上に吸着される工程と、第1ガスをチャンバーに供給して、未反応のままである第1及び第2反応材料をパージする工程と、第3反応材料をチャンバーに供給して第1及び第2反応材料と、第3反応材料との間の反応を引き起こさせ、単層の薄膜を形成する工程と、第2ガスを供給して、未反応のままである第3反応材料及び副産物をパージする工程と、単層の薄膜を形成するための上記工程を所定の回数繰り返して、ウエハ上に所定の厚さを有する三重薄膜を形成する工程とを含む方法を説明している。好ましくは、三重薄膜は窒化ケイ素ホウ素(SiBN)膜である。
米国特許第7633125号明細書では、高電圧半導体における窒化ケイ素ホウ素の集積を説明している。1つの例において、マイクロ電子装置は、集積回路のトランジスタが形成される半導体基材と、半導体基材上に形成された複数のトランジスタゲートと、ゲート間に配置されたゲートスペーサ誘電体と、ゲート及びゲートスペーサ誘電体上に配置された接触エッチ停止誘電体とを含み、その接触エッチ停止誘電体が、高電圧用途における接触エッチ停止誘電体の絶縁破壊を低減するためのSiBNを含む。
米国特許第8324014号明細書では、一般構造R123Bのホウ素化合物前駆体(R1=H、C1〜5アルキル、アリール、アルキルアミド、−OH、C1〜5アルコキシ;R2及びR3がホウ素含有基であり、任意選択で、C1〜5アルキル、アリール、アルキルアミド、及びC1〜5アルコキシで置換された飽和の6員複素環化合物(例えば、3原子のB及び3原子のNを含有する)を含むことができる(特に、トリ−Meボレート又はトリ−イソ−Prボレート))を使用して、化学気相堆積(CVD)又は物理気相堆積(PVD)により、基材上にホウ素化合物を堆積することを説明している。具体的には、化合物は、ヘキサ置換型ボラジン、1,3,5−トリ置換型ボラジン、又は2,4,6−トリ置換型ボラジン(特に、1,3,5−トリメチルボラジン)であることができる。ホウ素は、太陽電池層のためのドーパントとして作用することができる追加の材料の存在下で、堆積することができる。
米国特許第3031503号明細書では、150〜180℃で以下の式:2R2NH+B410→2R2NB25+H2に従って、テトラボランからアミノボラン化合物を調製することを説明している。
米国特許第8679958号明細書では、原子層堆積プロセスにより、ドープ酸化ケイ素膜のようなドーパント膜を堆積することを説明している。幾つかの実施形態において、反応空間における基材は、ドーパント前駆体及びケイ素前駆体のパルスと接触し、ケイ素前駆体及びドーパント前駆体は、基材表面に吸着する。酸素プラズマを使用して、吸着したケイ素前駆体及びドーパント前駆体を、ドープ酸化ケイ素に変換する。
前述した特許、出願及び文献の開示は、参照することにより本明細書に組み込まれる。
したがって、化学気相堆積(CVD)、原子層堆積(ALD)、若しくはプラズマ原子層堆積(ALD)プロセス、又はプラズマALD型プロセスにおいて、1つ又複数のホウ素化合物を使用して、ホウ素含有膜又はホウ素ドープケイ素含有膜を形成するためのプロセスを開発する必要性が未だ存在している。
本明細書で説明されるのは、プラズマALD、プラズマ周期的化学気相堆積(PECCVD)、プラズマALD型プロセス、又はALDプロセスにおいて、1つ又は複数の堆積温度で、化学量論的又は非化学量論的なホウ素含有材料、膜、又はホウ素ドープケイ素含有膜若しくは材料、例えば、限定されないが、酸化ホウ素、窒化ホウ素、炭酸化ホウ素、炭窒化ホウ素、ホウ素ドープ酸化ケイ素、ホウ素ドープ酸窒化ケイ素膜、炭素ドープ窒化ケイ素膜を堆積するための前駆体化合物、それを含む組成物、及び方法である。ホウ素ドープケイ素膜に加えて、その化合物、組成物及びそれを含む方法は、他の金属又は非金属材料にホウ素をドープする又は組み込むために使用することができると考えられる。
1つの態様において、式I又はII:
Figure 2018516233
を有し、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する、ホウ素含有前駆体が提供される。式I又はIIの幾つかの実施形態において、R1及びR2が共に結合して環を形成する。1つの特定の実施形態において、R1及びR2が、直鎖状又は分枝状C3〜C6アルキル基から選択され、結合して環状環を形成する。式I又はIIの代替実施形態において、R1及びR2が結合して環を形成しない。別の実施形態において、R1及びR2が異なっている。
別の態様において、ホウ素含有前駆体は、式Iを有する有機アミノボランを含む。例示の化合物としては、限定されないが、ジイソプロピルアミノボラン、ジ−sec−ブチルアミノボラン、N−エチルシクロヘキシルアミノボラン、N−メチルシクロヘキシルアミノボラン、N−イソプロピルシクロヘキシルアミノボラン、フェニルメチルアミノボラン、フェニルエチルアミノボラン、及びピペリジノボランが挙げられる。式Iの幾つかの実施形態において、有機アミノボランは、R1及びR2が、嵩高い又は立体障害のアルキル基でない、例えば、限定されないが、メチル、エチル、ピロリジノ、及びピペリジノである場合は、液相又は気相のいずれかの二量体化合物、例えば、[Me2NBH22であることができる。式Iの幾つかの好ましい実施形態において、有機アミノボランは、R1及びR2が、嵩高い又は立体障害のアルキル基である、例えば、イソプロピル、tert−ブチル、及びtert−ペンチルである場合は、単量体である。
また別の態様において、ホウ素含有前駆体は、式IIを有する有機アミノジボランを含む。例示の化合物としては、限定されないが、ジイソプロピルアミノジボラン、ジ−sec−ブチルアミノジボラン、及び2,6−ジメチルピペリジノジボランが挙げられる。
別の態様において、本明細書で説明されるのは、式I又はII:
Figure 2018516233
を有し、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する、ホウ素含有前駆体と、溶媒とを含む組成物である。組成物の1つの実施形態において、溶媒は、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテル、及びそれらの組み合わせからなる群より選択される少なくとも1つである。
また更なる態様において、基材の少なくとも表面上にホウ素含有膜を堆積するための方法であって、
基材を反応器中に提供する工程と、
以下の式I及びII:
Figure 2018516233
を有し、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する化合物から選択されるホウ素含有前駆体を使用して、化学気相堆積及び原子層堆積プロセスから選択される堆積プロセスで、表面上にホウ素含有膜を形成する工程とを含む方法が提供される。本発明の様々な態様は、単独で又は互いに組み合わせて使用することができる。
例1で説明されるように、ジ−sec−ブチルアミノボラン(DSBAB)のパルス時間に対する窒化ホウ素の厚さを提供し、DSBABが約1秒間でALD自己制御に達することを示している。 例1で説明されるように、N2プラズマのパルス時間に対する窒化ホウ素の厚さを提供し、N2プラズマが約10秒間でALD自己制御に達することを示している。 例1で説明されるように、ホウ素前駆体としてのDSBAB及び窒素含有源としての窒素プラズマを使用した、基材温度に対する窒化ホウ素の厚さを提供し、DSBABがALDプロセスでの使用位適していることを示している。 例3で説明されるように、ジ−sec−ブチルアミノボラン(DSBAB)、テトラキス(ジメチルアミノ)チタン(TDMAT)及び窒素含有源としての窒素プラズマから堆積されたホウ素ドープ窒化チタンの抵抗率を提供している。 例3で説明されるように、ジ−sec−ブチルアミノボラン(DSBAB)、テトラキス(ジメチルアミノ)チタン(TDMAT)、及び窒素含有源としての窒素プラズマから堆積されたホウ素ドープ窒化チタンの透過型電子顕微鏡(TEM)画像を提供し、それは上部から中央部及び下部まで100%の優れた段差被覆率を示している。
本明細書で説明されるのは、1つ又は複数の温度、室温(例えば、約25℃)〜約1000℃、又は室温〜約400℃、又は室温〜約300℃、又は室温〜約200℃、又は室温〜約100℃で、化学量論的又は非化学量論的なホウ素を含む膜又は材料、例えば、限定されないが、酸化ケイ素、炭素ドープ酸化ケイ素膜、酸窒化ケイ素、炭素ドープ酸窒化ケイ素、金属窒化物膜又はそれらの組み合わせを形成するのに関する方法である。本明細書で説明される膜は、堆積プロセス、例えば、化学気相堆積(CVD)プロセス、原子層堆積(ALD)若しくはALD型プロセス、例えば、限定されないが、プラズマALD、又はプラズマ周期的化学気相堆積(CCVD)プロセスで堆積される。
1つの実施形態において、本明細書で説明されるホウ素含有前駆体は、式I又はII:
Figure 2018516233
を有し、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する化合物である。
幾つかの実施形態において、式I又はII中のR1及びR2は、共に結合して環構造を形成することができる。当業者が理解するように、R1及びR2は共に結合して環を形成し、R1がR2に結合するための原子の手(bond)を含み、逆もまた同様である。これらの実施形態において、環構造はそれ自体が不飽和、例えば環状アルキル環であることができ、又は飽和、例えばアリール環であることができる。さらに、これらの実施形態において、環構造はまた、1つ又は複数の原子又は基で置換されることができ又は置換されないことができる。例示の環状環基としては、限定されないが、ピロリジノ、ピペリジノ、及び2,6−ジメチルピペリジノ基が挙げられる。式Iの幾つかの実施形態において、R1及びR2が嵩高いアルキルでない、例えば、メチル、又はエチル、又はピロリジノ、又はピペリジノである場合、有機アミノボランが、液相又は気相のいずれかの二量体、例えば、[Me2NBH22であることができる。しかしながら、他の実施形態において、置換基R1及びR2は、結合して環構造を形成しない。
他の実施形態において、R1及びR2は異なっている。
他の実施形態において、R1及びR2が嵩高いアルキルでない、例えば、メチル、又はエチル、又はピロリジノ又はピペリジノである場合、有機アミノボランは二量体であることができる。
式Iの幾つかの好ましい実施形態において、R1及びR2が嵩高いアルキルである、例えば、イソプロピル、tert−ブチル、tert−ペンチルである場合、有機アミノボランは単量体である。
本明細書で説明される式I又はIIのいずれかを有する例示のホウ素含有前駆体化合物としては、限定されないが、以下の構造において示されるように、ジメチルアミノボラン、ジエチルアミノボラン、エチルメチルアミノボラン、ジイソプロピルアミノボラン、ジ−sec−ブチルアミノボラン、N−エチルシクロヘキシルアミノボラン、N−メチルシクロへキシルアミノボラン、N−イソプロピルシクロヘキシルアミノボラン、フェニルメチルアミノボラン、フェニルエチルアミノボラン、ピペリジノボラン、2,6−ジメチルピペリジノボラン、2,2,6,6−テトラメチルピペリジノボラン、ジイソプロピルアミノジボラン、ジ−sec−ブチルアミノジボラン、及び2,6−ジメチルピペリジノジボランが挙げられる。
Figure 2018516233
Figure 2018516233
Figure 2018516233
上記式において及び説明を通じて、「アルキル」という用語は、1〜10個又は1〜6個の炭素原子を有する直鎖状又は分枝状官能基を示す。例示の直鎖状アルキル基としては、限定されないが、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル基が挙げられる。例示の分枝状アルキル基としては、限定されないが、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、イソペンチル、tert−ペンチル、イソヘキシル、及びネオヘキシルが挙げられる。幾つかの実施形態において、アルキル基は、それ自体がそれに結合した1つ又複数の官能基、例えば、限定されないが、それに結合したアルコキシ基、ジアルキルアミノ基、又はそれらの組み合わせを有することができる。他の実施形態において、アルキル基は、それに結合した1つ又複数の官能基を有さない。アルキル基は飽和であることができ、又は代替的に不飽和であることができる。アルキル基はまた、置換されるか、又は1つ又は複数のヘテロ原子、例えば、ハライド又はOを有するか、又は置換されないことができる。
上記式において及び説明を通じて、「環状アルキル」という用語は、4〜10個の炭素原子を有する環状官能基を示す。例示の環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル、及びシクロオクチル基が挙げられる。
上記式において及び説明を通じて、「アルケニル基」という用語は、1つ又は複数の炭素−炭素二重結合を有し、かつ、2〜10個又は2〜10個又は2〜6個の炭素原子を有する基を示す。
上記式において及び説明を通じて、「アルキニル基」という用語は、1つ又は複数の炭素−炭素三重結合を有し、かつ、3〜10個又は2〜10個又は2〜6個の炭素原子を有する基を示す。
上記式において及び説明を通じて、「アリール」という用語は、4〜10個の炭素原子、5〜10個の炭素原子、又は6〜10個の炭素原子を有する芳香族環状官能基を示す。例示のアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、o−キシリル、1,2,3−トリアゾリル、ピロリル、及びフラニル、ピリダジニル、ピリミジニル、ピラジニル、及びイミダゾリルが挙げられる。
上記式において及び説明を通じて、「アミノ」という用語は、式HNR23を持つ有機アミンから誘導された、1〜10個の炭素原子を有する有機アミノ基を示す。例示のアミノ基としては、限定されないが、2級アミンから誘導された2級アミノ基、例えば、ジメチルアミノ(Me2N−)、ジエチルアミノ(Et2N−)、エチルメチルアミノ(EtMeN−)、ジイソプロピルアミノ(iPr2N−);1級アミノンから誘導された1級アミノ基、例えば、メチルアミノ(MeNH−)、エチルアミン(EtNH−)、イソプロピルアミノ(iPrNH−)、sec−ブチルアミノ(sBuNH−)、tert−ブチルアミノ(tBuNH−)、tert−ペンチルアミノ(tAmNH−)、好ましくは嵩高い1級アミン、例えば、tert−ブチルアミン又はtert−ペンチルアミンが挙げられる。
本明細書で説明されるホウ素含有前駆体化合物、例えば、限定されないが、ジイソプロピルアミノボラン(DIPAB)又はジ−sec−ブチルアミノボラン(DSBAB)は、Jaska,C.A.,Temple,K.,Lough,A.J.及びManners,Iによる「ホウ素−窒素結合の遷移金属触媒化形成:アミン−ボラン付加物の触媒デヒドロカップリングによるアミノボラン及びボラジンの形成(Transition Metal−Catalyzed Formation of Boron−Nitrogen Bonds:Catalytic Dehydrocoupling of Amine−Borane Adducts to form Aminoboranes and Borazines)」(J.Am.Chem.Soc.125,9424(2003))で説明された方法に従って調製することができ、これは参照することにより本明細書に組み込まれる。しかしながら、他の合成方法を使用してこれらの化合物を作ることができる。
また、本明細書で説明されるのは、(a)以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、ホウ素含有前駆体と、(b)溶媒とを含む組成物である。本明細書で説明される組成物の幾つかの実施形態において、例示の溶媒としては、限定されないが、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテル、及びそれらの組み合わせを挙げることができる。幾つかの実施形態において、有機アミノジシランの沸点と溶媒の沸点との間の差は40℃以下である。ある溶媒は、保管および輸送の間、液相又はさらに気相のいずれかで、有機アミノボランを安定化するのに役立つことができると考えられる。
別の態様において、基材の少なくとも1つの表面上にホウ素含有膜を形成するための方法であって、
基材の少なくとも1つの表面を反応チャンバー中に提供する工程と;以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、ホウ素含有前駆体を使用して、化学気相堆積プロセス及び原子層堆積プロセスから選択される堆積プロセスで、少なくとも1つの表面上にホウ素含有膜を形成する工程とを含む方法が提供される。
別の態様において、原子層堆積プロセス又はALD型プロセスにより、酸化ホウ素又は炭酸化ホウ素の膜を形成する方法であって、
a.基材を反応器中に提供する工程と、
b.以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、少なくとも1つのホウ素含有前駆体を、反応器中に導入する工程と、
c.反応器をパージガスでパージする工程と、
d.酸素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
e.反応器をパージガスでパージする工程とを含み、
工程b〜eが、膜の所望の厚さが得られるまで繰り返される方法が提供される。1つの特定の実施形態において、堆積工程は、約室温〜約1000℃、又は室温〜約400℃、又は室温〜約300℃、又は室温〜約200℃、又は室温〜約100℃の範囲の1つ又は複数の温度で行われる。
別の態様において、原子層堆積プロセス又はALD型プロセスにより、ホウ素ドープ酸化ケイ素、ホウ素ドープ炭酸化ケイ素の膜を形成する方法であって、
a.基材を反応器中に提供する工程と、
b.以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、少なくとも1つのホウ素含有前駆体を、反応器中に導入する工程と、
c.反応器をパージガスでパージする工程と、
d.酸素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
e.反応器をパージガスでパージする工程と、
f.少なくとも1つのケイ素含有源を反応器中に導入する工程と、
g.反応器をパージガスでパージする工程と、
h.酸素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
i.反応器をパージガスでパージする工程とを含み、
工程b〜iが、膜の所望の厚さが得られるまで繰り返される方法が提供される。幾つかの実施形態において、工程b〜eが繰り返され、次いで、工程f〜iが繰り返されて、酸化ホウ素及び酸化ケイ素からなるナノラミネート層を堆積する。他の実施形態において、工程f〜iを行い繰り返して、その後、工程b〜eを繰り返すことができる。ナノラミネートのために、酸化ケイ素の厚さは、1〜5000Å、10〜2000Å、50〜1500Å、50〜1000Å、50〜500Åの範囲であることができ、一方で、酸化ホウ素の厚さは、1〜5000Å、10〜2000Å、50〜1500Å、50〜1000Å、50〜500Åの範囲である。1つの特定の実施形態において、堆積工程は、約室温〜約1000℃、又は室温〜約400℃、又は室温〜約300℃、又は室温〜約200℃、又は室温〜約100℃の範囲の1つ又は複数の温度で行われる。別の特定の実施形態において、ケイ素含有源が、少なくとも1つのSiH3基を有する場合、例えば、ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、ジイソプロピルアミノジシラン、ジ−sec−ブチルアミノジシランが用いられる場合、堆積工程は200℃未満の温度で行われる。
また別の態様において、原子層堆積プロセス又はALD型プロセスにより、窒化ホウ素、炭窒化ホウ素、炭酸窒化ホウ素の膜を形成する方法であって、
a.基材を反応器中に提供する工程と、
b.以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、少なくとも1つのホウ素含有前駆体を、反応器中に導入する工程と、
c.反応器をパージガスでパージする工程と、
d.窒素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
e.反応器をパージガスでパージする工程とを含み、
工程b〜eが、膜の所望の厚さが得られるまで繰り返される方法が提供される。1つの特定の実施形態において、堆積工程は、約室温〜約1000℃、又は室温〜約400℃、又は室温〜約300℃、又は室温〜約200℃、又は室温〜約100℃の範囲の1つ又は複数の温度で行われる。
別の態様において、原子層堆積プロセス又はALD型プロセスにより、ホウ素ドープ窒化ケイ素、ホウ素ドープ炭窒化ケイ素、ホウ素ドープ炭酸窒化ケイ素の膜を形成する方法であって、
a.基材を反応器中に提供する工程と、
b.以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、少なくとも1つのホウ素含有前駆体を、反応器中に導入する工程と、
c.反応器をパージガスでパージする工程と、
d.窒素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
e.反応器をパージガスでパージする工程と、
f.少なくとも1つのケイ素含有源を反応器中に導入する工程と、
g.反応器をパージガスでパージする工程と、
h.窒素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
i.反応器をパージガスでパージする工程とを含み、
工程b〜gが、膜の所望の厚さが得られるまで繰り返される方法が提供される。幾つかの実施形態において、工程b〜eが繰り返され、次いで、工程f〜iが繰り返されて、窒化ホウ素及び窒化ケイ素からなるナノラミネート層を堆積する。他の実施形態において、最初に工程f〜iを行い繰り返して、その後、工程b〜eを繰り返すことができる。ナノラミネートのために、窒化ケイ素の厚さは、1〜5000Å、10〜2000Å、50〜1500Å、50〜1000Å、50〜500Åの範囲であることができ、一方で、窒化ホウ素の厚さは、1〜5000Å、10〜2000Å、50〜1500Å、50〜1000Å、50〜500Åの範囲である。1つの特定の実施形態において、堆積工程は、約室温〜約1000℃、又は室温〜約400℃、又は室温〜約300℃、又は室温〜約200℃、又は室温〜約100℃の範囲の1つ又は複数の温度で行われる。別の特定の実施形態において、ケイ素含有源が、少なくとも1つのSiH3基を有する場合、例えば、ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、ジイソプロピルアミノジシラン、ジ−sec−ブチルアミノジシランが用いられる場合、堆積工程は200℃未満の温度で行われる。
ケイ素含有源を使用する方法の実施形態において、ケイ素含有源としては、限定されないが、トリシリルアミン(TSA)、ビス(ジシリルアミノ)シラン(SiH2(N(SiH322)、ビス(tert−ブチルアミノ)シラン(BTBAS)、ビス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス(エチルメチルアミノ)シラン、トリス(ジメチルアミノ)シラン、トリス(エチルメチルアミノ)シラン、テトラキス(ジメチルアミノ)シラン、ジ−イソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、ジ−tert−ブチルアミノシラン、2,6−ジメチルピペリジノシラン、2,2,6,6−テトラメチルピペリジノシラン、シクロヘキシル−イソプロピルアミノシラン、フェニルメチルアミノシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノシラン、ジ−イソプロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソプロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、ジメチルアミノトリメチルシラン、ジメチルアミノトリメチルシラン、ジ−イソプロピルアミノトリメチルシラン、ピペリジノトリメチルシラン、2,6−ジメチルピペリジノトリメチルシラン、ジ−sec−ブチルアミノトリメチルシラン、イソプロピル−sec−ブチルアミノトリメチルシラン、tert−ブチルアミノトリメチルシラン、イソプロピルアミノトリメチルシラン、ジエチルアミノジメチルシラン、ジメチルアミノジメチルシラン、ジ−イソプロピルアミノジメチルシラン、ピペリジノジメチルシラン、2,6−ジメチルピペリジノジメチルシラン、ジ−sec−ブチルアミノジメチルシラン、イソプロピル−sec−ブチルアミノジメチルシラン、tert−ブチルアミノジメチルシラン、イソプロピルアミノジメチルシラン、tert−ペンチルアミノジメチルアミノシラン、ビス(ジメチルアミノ)メチルシラン、ビス(ジエチルアミノ)メチルシラン、ビス(ジ−イソプロピルアミノ)メチルシラン、ビス(イソプロピル−sec−ブチルアミノ)メチルシラン、ビス(2,6−ジメチルピペリジノ)メチルシラン、ビス(イソプロピルアミノ)メチルシラン、ビス(tert−ブチルアミノ)メチルシラン、ビス(sec−ブチルアミノ)メチルシラン、ビス(tert−ペンチルアミノ)メチルシラン、ジエトキシメチルシラン、ジメトキシメチルシラン、ジ(3級)ブトキシメチルシラン、メチルトリアセトアトキシシラン、ジメチルアセトアトキシシラン、ジメチルジアセトアトキシシラン 、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリメトキシシラン、ジアセトキシメチルシラン、フェニルジメトキシシラン、フェニルジエトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、フェニルメチルジメトキシシラン、1,3,5,7−テトラメチルテトラシクロシロキサン、オクタメチルテトラシクロシロキサン、1,1,3,3−テトラメチルジシロキサン、1−ネオヘキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、ヘキサメチルジシロキサン、1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1,2−ジメチル−1,2−ジアセトキシ−1,2−ジエトキシジシラン、1,3−ジメチル−1,3−ジエトキシジシロキサン、1,3−ジメチル−1,3−ジアセトキシジシロキサン、1,2−ジメチル−1,1,2,2−テトラアセトキシジシラン、1,2−ジメチル−1,1,2,2−テトラエトキシジシラン、1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1,2−ジメチル−1−アセトキシ−2−エトキシジシラン、メチルアセトキシ(3級)ブトキシシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ヘキサメチルジシラン、テトラメチルジシラン、及びジメチルジシラン、ヘキサメチルジシロキサン(HMDSO)、オクタメチルシクロテトラシロキサン(OMCTS)及びテトラメチルシクロテトラシロキサン(TMCTS)、ビス(トリエトキシシリル)メタン、ビス(トリエトキシシリル)エタン、ビス(トリメトキシシリル)メタン、ビス(トリメトキシシリル)エタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)エタン、ビス(メチルジエトキシシリル)メタン、(ジエトキシメチルシリル)(ジエトキシシリル)メタンが挙げられる。
別の態様において、原子層堆積プロセス又はALD型プロセスにより、ホウ素ドープ金属窒化物、ホウ素ドープ金属炭窒化物、ホウ素ドープ金属炭酸窒化物の膜を形成する方法であって、
a.基材を反応器中に提供する工程と、
b.以下の式I及びII:
Figure 2018516233
により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される、少なくとも1つのホウ素含有前駆体を、反応器中に導入する工程と、
c.反応器をパージガスでパージする工程と、
d.窒素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
e.反応器をパージガスでパージする工程と、
f.少なくとも1つの金属含有源を反応器中に導入する工程と、
g.反応器をパージガスでパージする工程と、
h.窒素含有源を提供して、少なくとも1つの表面上に膜を堆積する堆積工程と、
i.反応器をパージガスでパージする工程とを含み、
工程b〜gが、膜の所望の厚さが得られるまで繰り返される方法が提供される。幾つかの実施形態において、工程b〜eが繰り返され、次いで、工程f〜iが繰り返されて、窒化ホウ素及び金属窒化物からなるナノラミネート層を堆積する。他の実施形態において、最初に工程f〜iを行い繰り返して、その後、工程b〜eを繰り返すことができる。ナノラミネートのために、金属窒化物の厚さは、1〜5000Å、10〜2000Å、50〜1500Å、50〜1000Å、50〜500Åの範囲であることができ、一方で、窒化ホウ素の厚さは、1〜5000Å、10〜2000Å、50〜1500Å、50〜1000Å、50〜500Åの範囲である。1つの特定の実施形態において、堆積工程は、約室温〜約1000℃、又は室温〜約400℃、又は室温〜約300℃、又は室温〜約200℃、又は室温〜約100℃の範囲の1つ又は複数の温度で行われる。金属含有源を使用する方法の実施形態において、金属含有源としては、限定されないが、トリメチルアルミニウム、トリエチルアルミニウム、トリス(ジメチルアミノ)アルミニウム、トリス(エチルメチルアミノ)アルミニウム、塩化アルキルアルミニウム(例えば、塩化メチルアルミニウム、DMACl)、AlCl3、トリメチルアルミニウム(TMA)、トリエチルアルミニウム、塩化メチルアルミニウム(MeAlCl2)、トリス(ジメチルアミノ)アルミニウム(TDMAA)、トリス(ジメチルアミノ)アルミニウム(TDMAA)、及びトリス(ジエチルアミノ)アルミニウム(TDEAA)、塩化ジルコニウム(ZrCl4)、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、塩化チタン(TiCl4)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、塩化バナジウム、テトラキス(ジメチルアミノ)バナジウム(TDMAV)、テトラキス(ジエチルアミノ)バナジウム(TDEAV)、テトラキス(エチルメチルアミノ)バナジウム(TEMAV)、塩化タンタル(TaCl5)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、六フッ化タングステン、六塩化タングステン、五塩化タングステン、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン、並びにそれらの組み合わせが挙げられる。
説明を通じて、「ホウ素ドープ窒化ケイ素」という用語は、XPSに基づいて、0.5〜50at%、好ましくは0.5〜20at%、最も好ましくは0.5〜8at%又は0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。
説明を通じて、「ホウ素ドープ炭窒化ケイ素」という用語は、XPSに基づいて、0.5〜50at%、好ましくは0.5〜20at%、最も好ましくは0.5〜8at%又は0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。
説明を通じて、「ホウ素ドープ炭酸窒化ケイ素」という用語は、XPSに基づいて、0.5〜50at%、好ましくは0.5〜20at%、最も好ましくは0.5〜8at%又は0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。
説明を通じて、「ホウ素ドープ酸化ケイ素」という用語は、XPSに基づいて、0.5〜50at%、好ましくはXPSに基づいて、0.5〜20at%、最も好ましくはXPSに基づいて、0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。
説明を通じて、「ホウ素ドープ金属窒化物」という用語は、XPSに基づいて、0.5〜50at%、好ましくは0.5〜20at%、最も好ましくは0.5〜8at%又は0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。金属窒化物としては、限定されないが、窒化チタン、窒化ジルコニウム、窒化ハフニウム、窒化タンタル、窒化バナジウム、窒化アルミニウム、窒化タングステン、及びそれらの組み合わせが挙げられる。
説明を通じて、「ホウ素ドープ金属炭窒化物」という用語は、XPSに基づいて、0.5〜50at%、好ましくは0.5〜20at%、最も好ましくは0.5〜8at%又は0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。金属炭窒化物としては、限定されないが、炭窒化チタン、炭窒化ジルコニウム、炭窒化ハフニウム、炭窒化バナジウム、炭窒化タンタル、炭窒化アルミニウム、炭窒化タングステン、及びそれらの組み合わせが挙げられる。
説明を通じて、「ホウ素ドープ金属炭酸窒化物」という用語は、XPSに基づいて、0.5〜50at%、好ましくは0.5〜20at%、最も好ましくは0.5〜8at%又は0.5〜10at%のホウ素含有量を有するホウ素含有膜を示す。金属炭酸窒化物としては、限定されないが、炭酸窒化チタン、炭酸窒化ジルコニウム、炭酸窒化ハフニウム、炭酸窒化バナジウム、炭酸窒化タンタル、炭酸窒化アルミニウム、炭酸窒化タングステン、及びそれらの組み合わせが挙げられる。
説明を通じて、「コンフォマリティ又は段差被覆率」という用語は、本明細書で使用される場合、ビア又はトレンチ又は両方を有する構造化した又は特徴化した基材における、ホウ素ドープ金属窒化物膜と、堆積されたホウ素ドープ窒化ケイ素誘電体との2つの厚さの割合として規定され、下部の段差被覆率は、特徴部の下部の厚さを特徴部の上部の厚さで割った比(単位%)であり、中央部の段差被覆率は、特徴部の側部の厚さを特徴部の上部の厚さで割った比(単位%)である。本明細書で説明される方法を使用して堆積された膜は、約60%以上、約70%以上、約80%以上、又は約90%以上の段差被覆率を示し、それは、膜がコンフォーマルであることを示している。
本明細書で開示される堆積方法は、1つ又は複数のパージガスを含むことができる。パージガスは、未消費の反応物及び/又は反応副産物をパージするために使用され、前駆体と反応しない不活性ガスである。例示のパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)、及びそれらの混合物が挙げられる。幾つかの実施形態において、Arのようなパージガスは、反応器中に、約0.1〜1000秒間、約10〜約2000sccmの範囲の流量で供給され、それによって、反応器中に残ることがある副産物及び未反応材料をパージする。
幾つかの実施形態において、本明細書で説明される方法を使用して堆積される酸化ホウ素、酸化ホウ素ケイ素、又はホウ素ドープ炭酸化ケイ素の膜は、酸素含有源、例えば、オゾン、水(H2O)(例えば、脱イオン水、精製水、及び/又は蒸留水)、酸素(O2)、オゾンプラズマ、酸素プラズマ、NO、N2O、NO2、一酸化炭素(CO)、二酸化炭素(CO2)、及びそれらの組み合わせの存在下で形成される。酸素含有源は、その場又はリモートのプラズマ発生器を通過して、酸素を含む酸素含有プラズマ源、例えば、酸素プラズマ、酸素/アルゴンプラズマ、酸素/ヘリウムプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、又は二酸化炭素プラズマを提供することができる。
幾つかの実施形態において、ホウ素含有膜は、窒化ホウ素、ホウ素ドープ窒化ケイ素、又はホウ素ドープ炭窒化ケイ素の膜を提供するために、ホウ素、ケイ素及び窒素を含む。これらの実施形態において、本明細書で説明される方法を使用して堆積されるホウ素含有膜は、窒素含有源の存在下で形成される。窒素含有源は、少なくとも1つの窒素源の形態で反応器中に導入することができ、及び/又は、堆積プロセスで使用される他の前駆体の中に偶然に存在することができる。適切な窒素含有源ガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン(例えば、メチルヒドラジン、tert−ブチルヒドラジン)、ジアルキルヒドラジン(例えば、1,1−ジメチルヒドラジン、1,2−ジメチルヒドラジン)、有機アミン(例えば、メチルアミン、ジメチルアミン、エチルアミン、ジエチルアミン、tert−ブチルアミン、エチレンジアミン)、有機アミンプラズマ、窒素、窒素プラズマ、窒素/水素、窒素/ヘリウム、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、及びそれらの混合物を挙げることができる。
幾つかの実施形態において、ホウ素含有膜は、XPSにより測定した場合、0.5〜50%、好ましくは1〜20%の範囲のホウ素含有量を含み、ホウ素含有膜は、酸化ホウ素、窒化ホウ素、炭窒化ホウ素、ホウ素ドープ酸化ケイ素、ホウ素ドープ炭酸化ケイ素、ホウ素ドープ酸窒化ケイ素、ホウ素ドープ窒化ケイ素、ホウ素ドープ炭窒化ケイ素からなる群より選択することができ、それらは、FinFETの製造のための固相拡散層のような半導体の製作プロセスで用いることができる。
幾つかの実施形態において、得られたホウ素含有膜は、後堆積処理、例えば、限定されないが、プラズマ処理、化学処理、紫外線照射、赤外線照射、電子ビーム照射、及び/又は、膜の1つ又は複数の特性に影響を与える他の処理にさらすことができる。
ホウ素含油前駆体、酸素源、及び/又は他の前駆体、源ガス、及び/又は反応剤を供給するそれぞれの工程は、それらを供給する時間を変化させて、得られる膜の化学量論的組成を変化させることで行うことができる。
前駆体、酸素含有源、又はそれらの組み合わせの少なくとも1つにエネルギーを適用して、反応を誘発し、かつ、基材上の膜又はコーティングを形成することができる。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、リモートプラズマ法、及びそれらの組み合わせにより供給することができる。幾つかの実施形態において、二次RF周波数源は、基材表面でのプラズマ特性を改質するために使用することができる。堆積にプラズマを用いる実施形態において、プラズマ生成プロセスは、プラズマが反応器内で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外部で生成され反応器に供給されるリモートプラズマ生成プロセスを含むことができる。
少なくとも1つの前駆体を反応チャンバー、例えば、プラズマ周期的CVD又はALD反応器又はバッチ炉型反応器に様々な方法で輸送することができる。1つの実施形態において、液体輸送システムを用いることができる。代替実施形態において、複合液体輸送及びフラッシュ気化プロセスユニット、例えば、Shoreview,MNのMSP Corporation製のターボ気化装置を用いることができ、低揮発性材料を容量輸送することが可能となり、それにより、前駆体の熱分解なく再現可能な輸送及び堆積がもたらされる。液体輸送配合物中において、本明細書で説明される前駆体は原型形態で輸送することができるか、又は代替的に、溶媒の配合物又はそれを含む組成物で用いることができる。したがって、幾つかの実施形態において、基材上に膜を形成するための所望の最終使用用途において望ましく有利であることができるように、前駆体配合物は、適切な性質の1つ又は複数の溶媒成分を含むことができる。
本明細書で説明される前駆体が、溶媒と、少なくとも1つのホウ素含有前駆体と、任意選択で、本明細書で説明されるケイ素含有前駆体とを含む組成物中で使用されるそれらの実施形態において、選択される溶媒又はそれらの混合物は、ホウ素含有前駆体と反応しない。組成物中の溶媒の重量パーセントでの量は、0.5〜99.5wt%又は10〜75wt%の範囲である。この又は他の実施形態において、溶媒は前駆体の沸点と同等の沸点(b.p.)を有するか、又は溶媒のb.p.と前駆体のb.p.との間の差は、40℃以下、30℃以下、20℃以下、又は10℃以下である。代替的に、沸点間の差は、以下の端点:0、10、20、30又は40℃の任意の1つ又は複数からの範囲である。b.p.差の適切な範囲の例としては、限定されないが、0〜40℃、20〜30℃、又は10〜30℃が挙げられる。組成物中の適切な溶媒の例としては、限定されないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、3級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペリジン、N,N,N’,N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルカン(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、3級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)、又はそれらの混合物が挙げられる。
前述したように、ホウ素含有前駆体の純度レベルは、信頼性のある半導体の製造に許容できる程度に十分に高い。幾つかの実施形態において、本明細書で説明される前駆体は、2wt%未満、1wt%未満、又は0.5wt%未満の以下の不純物:遊離アミン、遊離ハライド又はハロゲンイオン、及びより高分子量種のうち1つ又は複数を含む。本明細書で説明される前駆体のより高い純度レベルは、以下のプロセス:精製、吸着、及び/又は蒸留のうち1つ又は複数を通じて得ることができる。
本明細書で説明される方法の1つの実施形態において、プラズマ周期的堆積プロセス、例えば、PEALD型又はPEALDを使用することができ、堆積は、1つ又は複数の前駆体と、酸素含有源又は窒素含有源とを使用して行われる。PEALD型プロセスは、プラズマ周期的CVDプロセスとして規定されるが、高コンフォーマルなホウ素含有膜を提供する。
幾つかの実施形態において、前駆体キャニスタから反応器チャンバーまで接続するガスラインは、プロセスの要求に応じて1つ又複数の温度に加熱され、前駆体の容器は、バブリングのための1つ又は複数の温度で保たれる。他の実施形態において、前駆体を含む溶液は、直接液体噴射のための1つ又は複数の温度に保たれた気化装置中に噴射される。
アルゴン及び/又は他のガスの流れをキャリアガスとして用いて、前駆体パルスの間に反応器チャンバーに少なくとも1つのケイ素前駆体の蒸気を輸送するのを助けることができる。幾つかの実施形態において、反応器チャンバーのプロセス圧力は約50mTorr〜10Torrである。他の実施形態において、反応器チャンバーのプロセス圧力は、760Torr以下であることができる。
PECCVDプロセスのような典型的なPEALD又はPEALD型プロセスにおいて、酸化ケイ素基材のような基材は、最初に前駆体にさらして反応器チャンバー中のヒーターステージ上で加熱されて、基材の表面上に錯体を化学的に吸着させる。
前述したように、アルゴンのようなパージガスは、プロセスチャンバーから未吸着の余分な錯体をパージする。十分なパージの後、酸素源を反応チャンバー中に導入して、吸着表面と反応させて、その後、別のガスでパージを行いチャンバーから反応副産物を除去することができる。プロセスのサイクルを繰り返して、所望の膜厚を得ることができる。幾つかの場合において、ポンピングを、不活性ガスを用いたパージと置き換えることができ、又はその両方を用いて未反応前駆体を除去することができる。
この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して行うことができ、同時に(例えば、別の工程の少なくとも一部の間に)行うことができ、及びそれらに任意の組み合わせであることができる。前駆体と、酸素含有源又は窒素含有源のガスとを供給するそれぞれの工程は、それらを供給する時間を変化させて、得られる誘電体膜の化学量論的組成を変化させることで、行うことができる。また、前駆体、又は酸素含有源若しくは窒素含有源の工程後のパージ時間は、製造量が改善することができるように、最小化して0.1秒間より短くすることができる。
様々な商業的なALD反応器、例えば、単一ウエハ、半バッチ式、バッチ炉又はロールツーロール式反応器を、本明細書で説明されるホウ素含有膜又は材料を堆積するために用いることができる。
本明細書で説明される方法のためのプロセス温度は、端点として以下の温度:0、25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675、700、725、750、775、800、825、850、875、900、925、950、975、及び1000℃のうち1つ又は複数を使用する。例示の温度範囲としては、限定されないが、以下:約0℃〜約1000℃、又は約25℃〜約1000℃、又は約150℃〜約900℃、又は約25℃〜約250℃、又は約25℃〜約200℃が挙げられる。
前述したように、本明細書で説明される方法は、基材の少なくとも一部にホウ素含有膜を堆積するために使用することができる。適切な基材の例としては、限定されないが、ケイ素、SiO2、Si34、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、ゲルマニウム、ゲルマニウム含有、ホウ素含有、Ga/As、フレキシブル基材、有機ポリマー、多孔質有機及び無機材料、金属、例えば、銅及びアルミニウム、並びに拡散バリア層、例えば、限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNが挙げられる。膜は、様々な連続処理工程、例えば、化学機械平坦化(CMP)及び異方性エッチングプロセスに適合する。
以下の例は、本明細書で説明されるホウ素含有膜及びホウ素ドープ窒化ケイ素又はホウ素ドープ金属窒化物を堆積するための方法を例示しており、添付の特許請求の範囲を如何なる方法でそれに制限するものではない。
以下の例では、別段の記載がない限り、中抵抗率(14〜17Ωcm)単結晶シリコンウエハ基材上に堆積した試料膜から特性を得た。シャワーヘッド設計を有し、13.56MHzの直接プラズマを使用したCN−1反応器を使用して、全ての膜の堆積を行った。典型的なプロセス条件において、別段の記載がない限り、チャンバー圧力を、約1〜約5Torrの範囲の圧力で固定した。アルゴン又は窒素のような追加の不活性ガスを使用してチャンバー圧力を維持した。有機ボラン前駆体、金属前駆体、及び有機アミノシラン前駆体は、ベーパードロー(vapor draw)又はバブリングを使用して輸送した。使用した典型的なRF電力は、150mmウエハの電極領域上で125Wであり、0.7W/cm2の電力密度を提供した。
堆積した膜についての反射率(RI)及び厚さを、エリプソメーター(例えば、室温でEllipso Technology’s model Elli−SE−UaM12)又は透過型電子顕微鏡(JEOL’s HRTEM、model JEM−3010)のいずれかを使用して測定した。膜組成を、動的二次イオン質量分析(DSIMS)、Ulvac−Phi’s model Adept−1010を使用して分析した。全ての測定を、従来の方法に従って行った。
比較例1:トリス(ジメチルアミノ)ボラン(TDMAB)及び窒素プラズマを使用したPEALD窒化ホウ素膜
13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中にシリコンウエハを設置し、2Torrのチャンバー圧力で300℃に加熱した。公知のホウ素含有前駆体のトリス(ジメチルアミノ)ボラン(TDMAB)をホウ素前駆体として使用し、ベーパードロー法を使用して反応器チャンバーに輸送した。ALDサイクルは以下のプロセス工程で構成されていた:
a.反応器及び装填ウエハを準備する
・チャンバー圧力:2Torr
b.反応器にホウ素含有前駆体を導入する
・N2流の総流量:1000sccm
・TDMABパルス:0.5秒間
c.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
d.プラズマを導入する
・N2流の総流量:1000sccm
・プラズマ電力:125W
・プラズマパルス:10秒間
e.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間。
工程b〜eを、それぞれ、200サイクル、400サイクル、及び600サイクル繰り返した。窒化ホウ素についての成長速度を、0.10Å/サイクルとして、サイクル数に対する厚さのグラフから計算した。
例1:ジ−sec−ブチルアミノボラン(DSBAB)及び窒素プラズマを使用したPEALD窒化ホウ素膜
13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中にシリコンウエハを設置し、2Torrのチャンバー圧力で300℃に加熱した。ホウ素前駆体としてジ−sec−ブチルアミノボラン(DSBAB)を、ベーパードロー法を使用して反応器チャンバーに輸送した。ALDサイクルは以下のプロセス工程で構成されていた:
a.反応器及び装填ウエハを準備する
・チャンバー圧力:2Torr
b.反応器にホウ素含有前駆体を導入する
・N2流の総流量:1000sccm
・DSBABパルス:0.5〜1.5秒間
c.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
d.プラズマを導入する
・N2流の総流量:1000sccm
・プラズマ電力:125W
・プラズマパルス:20秒間
e.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間。
工程b〜eを、図1に示されるようなホウ素飽和試験について、それぞれ、0.5秒間、1.0秒間、及び1.5秒間のDSBABパルスを使用して200サイクル繰り返し、DSBABが約1秒間でALD自己制御に達したことを示した。図2は、工程b〜eを、様々なN2パルスを用いて200サイクル繰り返して、N2プラズマが約10秒間でALD自己制御に達することが確かめられたことを示している。別の実験において、工程b〜eを、それぞれ、100サイクル、200サイクル、及び500サイクル繰り返した。窒化ホウ素についての成長速度を、0.14Å/サイクルとしてサイクル数に対する厚さのグラフから計算し、DSBABが比較例1で説明したTDMABより高い成長速度を有することを示した。別のセットの実験では、工程b〜eを、100〜400℃の温度で、DSBAB/パージ/N2プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間の条件で200サイクル繰り返した。ここで図3を参照すると、図3では、様々な基材温度で、窒化ホウ素がDSBAB及びN2プラズマから堆積したことを示し、DSBABが、ALD堆積プロセス(例えば、約150〜約350℃で行われたALDプロセス)での使用に適していることを示した。
例2:ジ−sec−ブチルアミノボラン(DSBAB)、ジイソプロピルアミノシラン(DIPAS)及び窒素プラズマを使用したPEALDホウ素ドープ窒化ケイ素膜
13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中にシリコンウエハを設置し、2Torrのチャンバー圧力で300℃に加熱した。ホウ素前駆体としてジ−sec−ブチルアミノボラン(DSBAB)、及び有機アミノシラン前駆体としてジイソプロピルアミノシラン(DIPAS)を、ベーパードロー法を使用して反応器チャンバーに輸送した。ALDサイクルは以下のプロセスパラメータで構成されていた:
a.反応器及び装填ウエハを準備する
・チャンバー圧力:2Torr
b.反応器にホウ素含有前駆体を導入する
・N2流の総流量:1000sccm
・DSBABパルス:0.5秒間
c.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
d.プラズマを導入する
・N2流の総流量:1000sccm
・プラズマ電力:125W
・プラズマパルス:20秒間
e.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
f.反応器に有機アミノシラン含有前駆体を導入する
・N2流の総流量:1000sccm
・DIPASパルス:1秒間
g.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
h.プラズマを導入する
・N2流の総流量:1000sccm
・プラズマ電力:125W
・プラズマパルス:10秒間
i.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間。
この例において、工程b〜eを有する窒化ホウ素を1回と、その後、工程f〜iを有する窒化ケイ素を5回とからなる1つのスーパーサイクル(super cycle)(すなわち、スーパーサイクル=窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=0.5秒間/10秒間/20秒間*/10秒間)×1サイクル+窒化ケイ素:(DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×5サイクル)を繰り返した。そのスーパーサイクルを200回(すなわち、(窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=0.5秒間/10秒間/20秒間*/10秒間)×1サイクル+窒化ケイ素:(DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×5サイクル)×200サイクル)繰り返した。透過型電子顕微鏡(TEM)測定は以下の厚さ:155Åのホウ素ドープ窒化ケイ素を示した。得られた膜の二次イオン質量分析法(SIMS)での分析では、以下の組成:B=5.99at%、Si=33.0at%、N=46.83at%、O=2.35at%、C=1.89at%、H=9.94at%を示した。別の実験において、工程b〜eを有する窒化ホウ素を2回と、その後、工程f〜iを有する窒化ケイ素を5回とからなる1つのスーパーサイクル(すなわち、(スーパーサイクル=窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=0.5秒間/10秒間/20秒間*/10秒間)×2サイクル+窒化ケイ素:(DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×5サイクル)を繰り返した。そのスーパーサイクルを200回(すなわち、(窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=0.5秒間/10秒間/20秒間*/10秒間)×2サイクル+窒化ケイ素:(DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×5サイクル)×200サイクル)繰り返した。TEM測定は170Åの膜厚を示した。得られた膜の二次イオン質量分析法(SIMS)での分析では、以下の組成:B=12.86at%、Si=26.42at%、N=44.28at%、O=1.42at%、C=4.36at%、H=10.68at%を示した。別の実験において、工程b〜eを有する窒化ホウ素を2回と、その後、工程f〜iを有する窒化ケイ素を10回とからなる1つのスーパーサイクル(すなわち、(スーパーサイクル=窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=0.5秒間/10秒間/20秒間*/10秒間)×1サイクル+窒化ケイ素:(DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×10サイクル)を繰り返した。そのスーパーサイクルを100回(すなわち、(窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=0.5秒間/10秒間/20秒間*/10秒間)×1サイクル+窒化ケイ素:(DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×10サイクル)×100サイクル)繰り返した。TEM測定は150Åの膜厚を示した。得られた膜の二次イオン質量分析法(SIMS)での分析では、以下の組成:B=4.63at%、Si=35.72at%、N=48.89at%、O=2.27at%、C=1.82at%、H=6.67at%を示した。
例3:ジ−sec−ブチルアミノボラン(DSBAB)、テトラキス(ジメチルアミノ)チタン(TDMAT)及び窒素プラズマを使用したPEALDホウ素ドープ窒化チタン膜
13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中にシリコンウエハを設置し、2Torrのチャンバー圧力で300℃に加熱した。ホウ素前駆体としてジ−sec−ブチルアミノボラン(DSBAB)をベーパードロー法を使用して、及び金属含有前駆体としてテトラキス(ジメチルアミノ)チタン(TDMAT)をバブリング(Ar流量は50sccmであった)を使用して反応器チャンバーに輸送した。ALDサイクルは以下のプロセスパラメータで構成されていた:
a.反応器及び装填ウエハを準備する
・チャンバー圧力:2Torr
b.反応器にホウ素含有前駆体を導入する
・N2流の総流量:1000sccm
・DSBABパルス:1秒間
c.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
d.プラズマを導入する
・N2流の総流量:1000sccm
・プラズマ電力:125W
・プラズマパルス:20秒間
e.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間
f.反応器に金属含有前駆体を導入する
・N2流の総流量:1000sccm
・TDMATパルス:1秒間
g.パージする
・N2の総流量:1000sccm
・パージ時間:20秒間
h.プラズマを導入する
・N2流の総流量:1000sccm
・プラズマ電力:125W
・プラズマパルス:5秒間
i.パージする
・N2の総流量:1000sccm
・パージ時間:10秒間。
この例において、工程b〜eを有する窒化ホウ素を1回と、その後、工程f〜iを有する窒化チタンを5回とからなる1つのスーパーサイクル(すなわち、スーパーサイクル=窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間)×1サイクル+窒化チタン:(TDMAT/パージ/プラズマ/パージ=1.0秒間/20秒間/10秒間*/10秒間)×5サイクル)を繰り返した。そのスーパーサイクルを50回(すなわち、(窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間)×1サイクル+窒化チタン:(TDMAT/パージ/プラズマ/パージ=1.0秒間/20秒間/10秒間*/10秒間)×5サイクル)×50サイクル)繰り返した。TEM測定は以下の厚さ:230Åのホウ素ドープ窒化チタンを示した。得られた膜の二次イオン質量分析法(SIMS)での分析では、以下の組成:B=2.82at%、Ti=41.02at%、N=47.73at%、O=2.61at%、C=3.62at%、H=2.48at%を示した。別の実験において、工程b〜eを有する窒化ホウ素を2回と、その後、工程f〜iを有する窒化チタンを5回とからなる1つのスーパーサイクル(すなわち、スーパーサイクル=窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間)×2サイクル+窒化チタン:(TDMAT/パージ/プラズマ/パージ=1.0秒間/20秒間/10秒間*/10秒間)×5サイクル)を繰り返した。そのスーパーサイクルを50回(すなわち、窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間)×2サイクル+窒化チタン:(TDMAT/パージ/プラズマ/パージ=1.0秒間/20秒間/10秒間*/10秒間)×5サイクル)×50サイクル)繰り返した。TEM測定は以下の厚さ:220Åのホウ素ドープ窒化チタンの膜厚を示した。得られた膜の二次イオン質量分析法(SIMS)での分析では、以下の組成:B=5.90at%、Ti=37.58at%、N=46.95at%、O=1.85at%、C=4.12at%、H=3.59at%を示した。図4では、ホウ素含有量に対する、堆積したホウ素ドープ窒化チタンの抵抗率を示し、堆積パラメータを変化させることで、膜特性を調整することができることを示した。コンフォマリティ又は段差被覆率を試験するために、AR=12:1(幅=95nm、深さ=1160nm)を有するパターンウエハ片を用いた。この実験において、工程b〜eを有する窒化ホウ素を2回と、その後、工程f〜iを有する窒化チタンを5回とからなる1つのスーパーサイクル(すなわち、(スーパーサイクル=窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間)×2サイクル+窒化チタン:(TDMAT/パージ/プラズマ/パージ=1.0秒間/20秒間/10秒間*/10秒間)×5サイクル)を繰り返した。そのスーパーサイクルを50回(すなわち、窒化ホウ素:(DSBAB/パージ/プラズマ/パージ=1秒間/10秒間/20秒間*/10秒間)×2サイクル+窒化チタン:(TDMAT/パージ/プラズマ/パージ=1.0秒間/20秒間/10秒間*/10秒間)×5サイクル)×50サイクル:(10サイクルについては、DIPAS/パージ/プラズマ/パージ=1.0秒間/10秒間/10秒間*/10秒間)×50サイクル)繰り返した。TEM測定(図5)は、以下の厚さ:上部220Å、中央部220Å、及び下部220Åを示し、それは、100%のコンフォマリティ又は段差被覆率に相当する。
本発明を、幾つかの態様又は実施形態に関連して説明してきたが、本発明の範囲を逸脱することなく、様々な変更を行うことができ、等価物をその部材について置換することができることを、当業者は理解することができる。そして、本発明の必須の範囲から逸脱することなく、本発明の教示に適合するように多くの変更を行うことができる。したがって、本発明は、本発明を実施するために検討された最良モードとして開示された特定の実施形態に限定されないが、本発明は、添付の特許請求の範囲の範囲内に包含される全ての実施形態を含むことが意図される。

Claims (20)

  1. 式Iを有する化合物及び式IIを有する化合物からなる群より選択され、
    Figure 2018516233
    式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する少なくとも1つの化合物を含む、気相堆積のためのホウ素含有前駆体。
  2. 前記化合物が、ジメチルアミノボラン、ジエチルアミノボラン、エチルメチルアミノボラン、ジイソプロピルアミノボラン、ジ−sec−ブチルアミノボラン、N−エチルシクロヘキシルアミノボラン、N−メチルシクロへキシルアミノボラン、N−イソプロピルシクロヘキシルアミノボラン、フェニルメチルアミノボラン、フェニルエチルアミノボラン、ピペリジノボラン、2,6−ジメチルピペリジノボラン、ジイソプロピルアミノジボラン、ジ−sec−ブチルアミノジボラン、2,6−ジメチルピペリジノジボラン、及び2,2,6,6−テトラメチルピペリジノボランからなる群より選択される少なくとも1つの要素を含む、請求項1に記載の前駆体。
  3. (a)式I又はII:
    Figure 2018516233
    を有し、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する少なくとも1つの化合物と、
    (b)少なくとも1つの溶媒とを含む組成物であって、前記溶媒がある沸点を有し、前記溶媒の沸点と、前記少なくとも1つのホウ素含有前駆体の沸点との間の差が40℃以下である、組成物。
  4. 前記化合物が、ジメチルアミノボラン、ジエチルアミノボラン、エチルメチルアミノボラン、ジイソプロピルアミノボラン、ジ−sec−ブチルアミノボラン、N−エチルシクロヘキシルアミノボラン、N−メチルシクロへキシルアミノボラン、N−イソプロピルシクロヘキシルアミノボラン、フェニルメチルアミノボラン、フェニルエチルアミノボラン、ピペリジノボラン、2,6−ジメチルピペリジノボラン、ジイソプロピルアミノジボラン、ジ−sec−ブチルアミノジボラン、2,6−ジメチルピペリジノジボラン、及び2,2,6,6−テトラメチルピペリジノボランからなる群より選択される少なくとも1つの要素を含む、請求項3に記載の組成物。
  5. 前記溶媒が、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテルからなる群より選択される少なくとも1つの要素を含む、請求項3に記載の組成物。
  6. 基材の少なくとも表面上にホウ素含有膜を堆積する方法であって、
    反応器中に前記基材を提供する工程と、
    以下の式I及びII:
    Figure 2018516233
    を有し、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、及びC4〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、及びC4〜C10アリール基から選択され、任意選択で、R1及びR2が共に結合して、置換若しくは非置換の芳香族環又は置換若しくは非置換の脂肪族環から選択される環を形成する少なくとも1つの化合物から選択されるホウ素含有前駆体を使用して、化学気相堆積及び原子層堆積プロセスから選択される堆積プロセスで、前記表面上に前記ホウ素含有膜を形成する工程と
    を含む方法。
  7. 原子層堆積プロセス又はALD型プロセスにより、ホウ素ドープ窒化ケイ素、ホウ素ドープ炭窒化ケイ素、ホウ素ドープ炭酸窒化ケイ素の膜を形成する方法であって、
    a.基材を反応器中に提供する工程と、
    b.以下の式I及びII:
    Figure 2018516233
    により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される少なくとも1つのホウ素含有前駆体を、前記反応器中に導入する工程と、
    c.前記反応器をパージガスでパージする工程と、
    d.少なくとも1つの窒素含有源を提供して、前記少なくとも1つの表面上に前記膜を堆積する工程と、
    e.前記反応器をパージガスでパージする工程と、
    f.少なくとも1つのケイ素含有源を前記反応器中に導入する工程と、
    g.前記反応器をパージガスでパージする工程と、
    h.少なくとも1つの窒素含有源を提供して、前記少なくとも1つの表面上に前記膜を堆積する工程と、
    i.前記反応器をパージガスでパージする工程とを含み、
    工程b〜iが、前記膜の所望の厚さが得られるまで繰り返される方法。
  8. 前記窒素含有源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、有機アミン、有機アミンプラズマ、窒素、窒素プラズマ、窒素/水素、窒素/ヘリウム、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、及びそれらの混合物からなる群より選択される少なくとも1つの要素を含む、請求項7に記載の方法。
  9. 原子層堆積プロセス又はALD型プロセスにより、ホウ素ドープ酸化ケイ素、ホウ素ドープ炭酸化ケイ素の膜を形成する方法であって、
    a.基材を反応器中に提供する工程と、
    b.以下の式I及びII:
    Figure 2018516233
    により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される少なくとも1つのホウ素含有前駆体を、前記反応器中に導入する工程と、
    c.前記反応器をパージガスでパージする工程と、
    d.少なくとも1つの酸素含有源を提供して、前記少なくとも1つの表面上に前記膜を堆積する工程と、
    e.前記反応器をパージガスでパージする工程と、
    f.少なくとも1つのケイ素含有源を前記反応器中に導入する工程と、
    g.前記反応器をパージガスでパージする工程と、
    h.少なくとも1つの酸素含有源を提供して、前記少なくとも1つの表面上に前記膜を堆積する工程と、
    i.前記反応器をパージガスでパージする工程とを含み、
    工程b〜iが、前記膜の所望の厚さが得られるまで繰り返される方法。
  10. 前記酸素含有源が、オゾン、水(H2O)(例えば、脱イオン水、精製水、及び/又は蒸留水)、酸素(O2)、オゾンプラズマ、酸素プラズマ、NO、N2O、NO2、一酸化炭素(CO)、二酸化炭素(CO2)、及びそれらの組み合わせからなる群より選択される少なくとも1つの要素を含む、請求項9に記載の方法。
  11. 原子層堆積プロセス又はALD型プロセスにより、ホウ素ドープ金属窒化物、ホウ素ドープ金属炭窒化物、ホウ素ドープ金属炭酸窒化物の膜を形成する方法であって、
    a.基材を反応器中に提供する工程と、
    b.以下の式I及びII:
    Figure 2018516233
    により示され、式中、R1が、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C10アルケニル基、直鎖状又は分枝状C3〜C10アルキニル基、C1〜C6ジアルキルアミノ基、電子吸引基、例えばハライド(Cl、Br、I)、及びC6〜C10アリール基から選択され、R2が、水素、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、直鎖状又は分枝状C1〜C6フッ素化アルキル基、電子吸引基、例えばハライド(Cl、Br、I)、及びC4〜C10アリール基から選択される少なくとも1つのホウ素含有前駆体を、前記反応器中に導入する工程と、
    c.前記反応器をパージガスでパージする工程と、
    d.少なくとも1つの窒素含有源を提供して、前記少なくとも1つの表面上に前記膜を堆積する工程と、
    e.前記反応器をパージガスでパージする工程と、
    f.少なくとも1つの金属含有源を前記反応器中に導入する工程と、
    g.前記反応器をパージガスでパージする工程と、
    h.少なくとも1つの窒素含有源を提供して、前記少なくとも1つの表面上に前記膜を堆積する工程と、
    i.前記反応器をパージガスでパージする工程とを含み、
    工程b〜iが、前記膜の所望の厚さが得られるまで繰り返される方法。
  12. 前記窒素含有源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、有機アミン、有機アミンプラズマ、窒素、窒素プラズマ、窒素/水素、窒素/ヘリウム、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、及びそれらの混合物からなる群より選択される少なくとも1つの要素を含む、請求項11に記載の方法。
  13. 前記金属含有源が、トリメチルアルミニウム、トリエチルアルミニウム、トリス(ジメチルアミノ)アルミニウム、トリス(エチルメチルアミノ)アルミニウム、塩化アルキルアルミニウム(例えば、塩化メチルアルミニウム、DMACl)、AlCl、トリメチルアルミニウム(TMA)、トリエチルアルミニウム、塩化メチルアルミニウム(MeAlCl)、トリス(ジメチルアミノ)アルミニウム(TDMAA)、トリス(ジメチルアミノ)アルミニウム(TDMAA)、及びトリス(ジエチルアミノ)アルミニウム(TDEAA)、塩化ジルコニウム(ZrCl)、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、塩化チタン(TiCl)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、塩化バナジウム、テトラキス(ジメチルアミノ)バナジウム(TDMAV)、テトラキス(ジエチルアミノ)バナジウム(TDEAV)、テトラキス(エチルメチルアミノ)バナジウム(TEMAV)、塩化タンタル(TaCl)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、六フッ化タングステン、六塩化タングステン、五塩化タングステン、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン、並びにそれらの組み合わせからなる群より選択される少なくとも1つの要素を含む、請求項11に記載の方法。
  14. 前記ホウ素ドープ金属窒化物が、ホウ素ドープ窒化チタン、ホウ素ドープ窒化ジルコニウム、ホウ素ドープ窒化ハフニウム、ホウ素ドープ窒化バナジウム、ホウ素ドープ窒化タンタル、ホウ素ドープ窒化アルミニウム、ホウ素ドープ窒化タングステン、及びそれらの組み合わせからなる群より選択される少なくとも1つの要素を含む、請求項11に記載の方法。
  15. 前記ホウ素ドープ金属窒化物が10at%以下のホウ素を有する、請求項11に記載の方法。
  16. 前記ホウ素ドープ金属窒化物が8at%以下のホウ素を有する、請求項11に記載の方法。
  17. 請求項6に従って製造されたホウ素含有膜。
  18. 請求項7に従って製造されたホウ素含有膜。
  19. 請求項9に従って製造されたホウ素含有膜。
  20. 請求項11に従って製造されたホウ素含有膜。
JP2017551188A 2015-03-31 2016-03-29 ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法 Active JP6781165B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562140570P 2015-03-31 2015-03-31
US62/140,570 2015-03-31
US15/079,585 US10763103B2 (en) 2015-03-31 2016-03-24 Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US15/079,585 2016-03-24
PCT/US2016/024703 WO2016160800A1 (en) 2015-03-31 2016-03-29 Boron-containing compounds, compositions, and methods for the deposition of boron containing films

Publications (2)

Publication Number Publication Date
JP2018516233A true JP2018516233A (ja) 2018-06-21
JP6781165B2 JP6781165B2 (ja) 2020-11-04

Family

ID=55661661

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017551188A Active JP6781165B2 (ja) 2015-03-31 2016-03-29 ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法

Country Status (7)

Country Link
US (2) US10763103B2 (ja)
EP (2) EP3663301B1 (ja)
JP (1) JP6781165B2 (ja)
KR (2) KR102434246B1 (ja)
CN (2) CN107660209A (ja)
TW (1) TWI623543B (ja)
WO (1) WO2016160800A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020076114A (ja) * 2018-11-05 2020-05-21 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
JP6986425B2 (ja) * 2016-12-22 2021-12-22 東京応化工業株式会社 不純物拡散剤組成物、及び半導体基板の製造方法
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
KR102020211B1 (ko) * 2017-01-09 2019-11-04 주식회사 테스 탄소 및/또는 보론를 포함하는 비정질 실리콘막의 형성 방법
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
CN108622857B (zh) * 2018-04-13 2021-06-04 河南师范大学 环状硼氮氢化合物氨基乙硼烷的制备方法
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
WO2020020972A1 (en) * 2018-07-24 2020-01-30 Cic Nanogune - Asociación Centro De Investigación Cooperativa En Nanociencias Method for producing organic-inorganic hybrid materials
SG11202109675XA (en) * 2019-03-12 2021-10-28 Univ Of Vermont And State Agricultural College Low-temperature formation of group 13-15 ceramics and group 13-15-16 ceramics
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
WO2020263718A1 (en) * 2019-06-24 2020-12-30 Lam Research Corporation Selective carbon deposition
US20210040607A1 (en) * 2019-08-07 2021-02-11 Applied Materials, Inc. Modified stacks for 3d nand
WO2021091835A1 (en) * 2019-11-08 2021-05-14 Applied Materials, Inc. Methods to reduce material surface roughness
US11352693B1 (en) * 2020-04-24 2022-06-07 United States Of America As Represented By The Administrator Of Nasa Boron aluminum oxide compound deposited by atomic layer deposition on product used for radiation shielding
WO2022187238A1 (en) * 2021-03-02 2022-09-09 Versum Materials Us, Llc Compositions and methods using same for films comprising silicon and boron

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3031503A (en) * 1959-07-22 1962-04-24 Callery Chemical Co Preparation of aminodiboranes
JP2005513135A (ja) * 2001-12-21 2005-05-12 ソントル ナショナル ド ラ ルシェルシュ ションティフィーク (アリール)(アミノ)ボラン化合物およびそれらの調製プロセス
JP2008222488A (ja) * 2007-03-12 2008-09-25 National Institute For Materials Science 立方晶窒化ホウ素の製造方法
JP2010519773A (ja) * 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
US20110195569A1 (en) * 2010-02-10 2011-08-11 Kwangjin Moon Semiconductor Device and Method for Forming the Same
JP2011171730A (ja) * 2010-02-04 2011-09-01 Air Products & Chemicals Inc ケイ素含有膜を調製するための方法

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3232723A (en) * 1959-03-20 1966-02-01 Monsanto Co Fuels containing organic compounds of boron and phosphorus
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
DE1206899B (de) * 1963-11-29 1965-12-16 Bayer Ag Verfahren zur Herstellung von siliciumhaltigen N, N', N"-Triorgano-B, B', B"-trihydrido-borazolen
DE2835069A1 (de) * 1978-08-10 1980-02-21 Bayer Ag N-amino-3,4,5-trihydroxypiperidine, ihre herstellung und verwendung
US4312989A (en) * 1979-08-21 1982-01-26 The United States Of America As Represented By The Secretary Of The Army Pharmacologically active amine boranes
US4578283A (en) * 1982-09-23 1986-03-25 Allied Corporation Polymeric boron nitrogen dopant
US4545968A (en) * 1984-03-30 1985-10-08 Toshiba Tungaloy Co., Ltd. Methods for preparing cubic boron nitride sintered body and cubic boron nitride, and method for preparing boron nitride for use in the same
US4774354A (en) * 1985-04-09 1988-09-27 Utah State University Foundation Hydroborate compounds
US4658051A (en) * 1985-04-09 1987-04-14 Utah State University Foundation Hydroborate compounds
US4581468A (en) * 1985-05-13 1986-04-08 Ultrasystems, Inc. Boron nitride preceramic polymers
US4977268A (en) * 1988-04-08 1990-12-11 Duke University Boron dipeptide compounds
US5204295A (en) * 1989-02-17 1993-04-20 University Of New Mexico Precursors for boron nitride coatings
US5082693A (en) * 1989-02-17 1992-01-21 University Of New Mexico Precursors for boron nitride ceramic coatings
US5128286A (en) * 1989-06-20 1992-07-07 Tonen Corporation Boron-containing, silicon nitride-based ceramic shaped body
EP0450125B1 (de) * 1990-04-06 1994-10-26 Siemens Aktiengesellschaft Verfahren zur Herstellung von mikrokristallin kubischen Bornitridschichten
DE4113791A1 (de) * 1991-04-26 1992-10-29 Solvay Deutschland Verfahren zur abscheidung einer bor und stickstoff enthaltenden schicht
US5300634A (en) * 1991-05-07 1994-04-05 Wako Pure Chemical Industries, Ltd. Process for producing maltooligosaccharide derivative
US5254706A (en) * 1991-05-10 1993-10-19 Boron Biologicals, Inc. Process of making phosphite-borane compounds
US5143907A (en) * 1991-05-10 1992-09-01 Boron Biologicals, Inc. Phosphite-borane compounds, and method of making and using the same
FR2691150B1 (fr) * 1992-05-15 1994-08-12 Rhone Poulenc Chimie Triéthylnylborazines, leur préparation et leur utilisation notamment pour la préparation de céramique essentiellement à base de nitrure de bore.
US5324690A (en) * 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5612013A (en) * 1995-02-10 1997-03-18 Trustees Of The University Of Pennsylvania Method for synthesis of borazine
DE19845463A1 (de) * 1998-10-02 2000-04-06 Stiftung Inst Fuer Werkstoffte Verfahren zur Herstellung von verschleißfesten Boridschichten
US6197715B1 (en) * 1999-03-23 2001-03-06 Cryovac, Inc. Supported catalysts and olefin polymerization processes utilizing same
DE60039744D1 (de) * 1999-03-30 2008-09-18 Seiko Epson Corp Verfahren zur Hersltellung einer Siliziumschicht
KR100412744B1 (ko) * 1999-03-30 2003-12-31 세이코 엡슨 가부시키가이샤 박막 트랜지스터의 제조 방법
JP3737688B2 (ja) * 2000-09-14 2006-01-18 株式会社東芝 電子放出素子及びその製造方法
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
DE10057009A1 (de) * 2000-11-17 2002-05-29 Celanese Ventures Gmbh Non-Metallocene, Verfahren zur Herstellung von diesen und deren Verwendung zur Polymerisation von Olefinen
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US7563715B2 (en) * 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
FR2834983B1 (fr) * 2002-01-22 2004-12-17 Eads Launch Vehicules Procede de fabrication de fibres de nitrure de bore a partir de borylborazines
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
JP4461215B2 (ja) * 2003-09-08 2010-05-12 独立行政法人産業技術総合研究所 低誘電率絶縁材料とそれを用いた半導体装置
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7074502B2 (en) * 2003-12-05 2006-07-11 Eastman Kodak Company Organic element for electroluminescent devices
US7045583B2 (en) * 2003-12-22 2006-05-16 Exxon Mobil Chemical Patents Inc. Olefin polymerization catalyst system
US8114381B2 (en) * 2004-02-13 2012-02-14 The University Of British Columbia Radiolabeled compounds and compositions, their precursors and methods for their production
FR2868085B1 (fr) * 2004-03-24 2006-07-14 Alchimer Sa Procede de revetement selectif d'une surface composite, fabrication d'interconnexions en microelectronique utilisant ce procede, et circuits integres
US7388100B2 (en) * 2004-07-16 2008-06-17 Tetsuya Nishio Tertiary amine compounds
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060115591A1 (en) * 2004-11-29 2006-06-01 Olander W K Pentaborane(9) storage and delivery
JP2007062629A (ja) 2005-09-01 2007-03-15 Kobelco Contstruction Machinery Ltd 建設機械のステップ構造
US20090131366A1 (en) * 2005-09-13 2009-05-21 Morris Srebnik Use of Amine-Borane Compounds as Anti-Microbial Agents
JP5153628B2 (ja) * 2005-11-17 2013-02-27 三菱電機株式会社 化学気相成長成膜用組成物および低誘電率膜の製造方法
US7531458B2 (en) * 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
US20080145536A1 (en) 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
WO2008127935A1 (en) * 2007-04-13 2008-10-23 The Board Of Trustees Of The University Of Illinois Metal complex compositions and methods for making metal-containing films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
FR2923221B1 (fr) 2007-11-07 2012-06-01 Air Liquide Procede de depot par cvd ou pvd de composes de bore
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20100047988A1 (en) * 2008-08-19 2010-02-25 Youn-Joung Cho Methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
US7998859B2 (en) * 2008-09-25 2011-08-16 Enthone Inc. Surface preparation process for damascene copper deposition
MX338021B (es) * 2009-09-30 2015-08-17 Univ Guanajuato Sintesis borodipirrometenos con propiedades de laser.
US8367866B2 (en) * 2010-03-19 2013-02-05 United Technologies Corporation Single-source precursor and methods therefor
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
WO2011125395A1 (ja) 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
JP5699980B2 (ja) 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
FR2978152B1 (fr) * 2011-07-22 2015-02-20 Univ Lille 1 Sciences & Technologies Nouveau procede de preparation de dialkylmagnesiens par polymerisation de l'ethylene et leurs applications
US8632941B2 (en) * 2011-09-22 2014-01-21 Eastman Kodak Company Negative-working lithographic printing plate precursors with IR dyes
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
JP2013191770A (ja) 2012-03-14 2013-09-26 Tokyo Electron Ltd 成膜装置の安定化方法及び成膜装置
KR101973834B1 (ko) * 2012-04-20 2019-04-29 코니카 미놀타 가부시키가이샤 유기 일렉트로루미네센스 소자
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US20130330473A1 (en) * 2012-06-11 2013-12-12 Wayne State University Atomic Layer Deposition of Transition Metal Thin Films Using Boranes as the Reducing Agent
EP2684887B1 (en) 2012-07-13 2015-06-17 Universite De Bordeaux New process for preparing arylboranes by arylation of organoboron compounds
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9318710B2 (en) * 2012-07-30 2016-04-19 Universal Display Corporation Organic electroluminescent materials and devices
US20140147684A1 (en) * 2012-11-26 2014-05-29 Korea Institute Of Science And Technology Gas barrier film and method of preparing the same
CN105143503A (zh) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
CA2903281C (en) * 2013-03-08 2022-11-29 The University Of British Columbia Substituted organofluoroborates as imaging agents
US20140273524A1 (en) * 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
GB201307334D0 (en) * 2013-04-23 2013-05-29 Novaucd Process
US20150014663A1 (en) * 2013-07-11 2015-01-15 Korea Institute Of Science And Technology Organic light emitting display apparatus and the method for manufacturing the same
KR20150009123A (ko) 2013-07-15 2015-01-26 삼성전자주식회사 레이저를 이용하여 반도체를 가공하는 장치
JP6018984B2 (ja) * 2013-07-31 2016-11-02 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子および画像表示装置
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR101718941B1 (ko) * 2013-09-30 2017-03-22 주식회사 엘지화학 광반응기를 갖는 고리형 올레핀 화합물 및 광반응성 중합체
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3031503A (en) * 1959-07-22 1962-04-24 Callery Chemical Co Preparation of aminodiboranes
JP2005513135A (ja) * 2001-12-21 2005-05-12 ソントル ナショナル ド ラ ルシェルシュ ションティフィーク (アリール)(アミノ)ボラン化合物およびそれらの調製プロセス
JP2010519773A (ja) * 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
JP2008222488A (ja) * 2007-03-12 2008-09-25 National Institute For Materials Science 立方晶窒化ホウ素の製造方法
JP2011171730A (ja) * 2010-02-04 2011-09-01 Air Products & Chemicals Inc ケイ素含有膜を調製するための方法
US20110195569A1 (en) * 2010-02-10 2011-08-11 Kwangjin Moon Semiconductor Device and Method for Forming the Same

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
INORGANIC CHEMISTRY, vol. 21, no. 6, JPN7018003860, 1982, pages 2473 - 2476, ISSN: 0003916836 *
JOURNAL OF THE CHEMICAL SOCIETY, CHEMICAL COMMUNICATIONS, JPN7018003859, 1993, pages 684 - 685, ISSN: 0003916835 *
MAIN GROUP METAL CHEMISTRY, vol. 23, no. 12, JPN7018003861, 2000, pages 735 - 760, ISSN: 0003916837 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020076114A (ja) * 2018-11-05 2020-05-21 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
JP7197328B2 (ja) 2018-11-05 2022-12-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法

Also Published As

Publication number Publication date
KR102178735B1 (ko) 2020-11-13
EP3663301A1 (en) 2020-06-10
EP3663301B1 (en) 2023-08-30
KR102434246B1 (ko) 2022-08-18
KR20200130500A (ko) 2020-11-18
TWI623543B (zh) 2018-05-11
US11605535B2 (en) 2023-03-14
US20160293410A1 (en) 2016-10-06
KR20170133442A (ko) 2017-12-05
US10763103B2 (en) 2020-09-01
US20200365401A1 (en) 2020-11-19
WO2016160800A1 (en) 2016-10-06
CN107660209A (zh) 2018-02-02
EP3277696B1 (en) 2020-03-11
TW201638097A (zh) 2016-11-01
EP3277696A1 (en) 2018-02-07
CN116411261A (zh) 2023-07-11
JP6781165B2 (ja) 2020-11-04

Similar Documents

Publication Publication Date Title
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US11732351B2 (en) Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
JP6871161B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP5815669B2 (ja) アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
KR20210060412A (ko) 질화규소 막을 증착시키는 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171030

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191029

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200915

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201015

R150 Certificate of patent or registration of utility model

Ref document number: 6781165

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250