JP5815669B2 - アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積 - Google Patents

アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積 Download PDF

Info

Publication number
JP5815669B2
JP5815669B2 JP2013502893A JP2013502893A JP5815669B2 JP 5815669 B2 JP5815669 B2 JP 5815669B2 JP 2013502893 A JP2013502893 A JP 2013502893A JP 2013502893 A JP2013502893 A JP 2013502893A JP 5815669 B2 JP5815669 B2 JP 5815669B2
Authority
JP
Japan
Prior art keywords
precursor
metal
reactor
film
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013502893A
Other languages
English (en)
Other versions
JP2013524522A (ja
Inventor
桂子 東野
桂子 東野
和孝 柳田
和孝 柳田
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2013524522A publication Critical patent/JP2013524522A/ja
Application granted granted Critical
Publication of JP5815669B2 publication Critical patent/JP5815669B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

関連出願の相互参照
本願は、2010年4月1日に出願された米国仮出願第61/320,236号の利益を主張し、その全内容は参照により本明細書に組み込まれている。
技術分野
アミノ金属前駆体とハロゲン化金属前駆体との化合から金属窒化物含有膜を形成する、好ましくはアミノシラン前駆体とクロロシラン前駆体との化合からSiN含有膜を形成する方法を開示する。アミノ金属前駆体とハロゲン化金属前駆体との逐次反応を変更することは種々の化学量論比を有する金属窒化物含有膜の形成を提供する。加えて、金属窒化物含有膜の組成はアミノ金属前駆体の構造に基づいて変更することができる。開示する方法は熱プロセスまたは低温でのプラズマプロセスでありうる。
背景
金属窒化物含有膜、たとえば窒化珪素(SiN)膜は半導体デバイスおよび超大規模集積(ULSI)回路において広く使用されている。より高いLSI実装密度をますます必要とする電子機器の小型化および高まる複雑化に応じて、SiN膜は漏電電流に対するその膜質を向上させることを必要とされている。加えて、SiCN膜はCu配線のためのデュアルダマシン構造におけるエッチングストッパーとしても使用される。
窒化珪素(SiN)膜は、配線工程(BEOL)においてエッチングストッパー/下地膜としてダマシン内に適用されるように研究されてきた。フローティングゲートトランジスタ内では、内部のゲート絶縁膜が、たとえば、SiO2またはSiNを含むことがある。加えて、SiN膜に炭素をドープすることは高い耐エッチング性を提供する。
大規模集積回路(LSI)の大きさを縮小させる場合、膜厚はより薄くあるべきであり、より精密に制御されるプロセス、たとえば原子層堆積(ALD)を必要とする。加えて、堆積温度の低下が必要とされる。ALDは多くのプロセス、たとえばSiO2、SiNおよび金属膜のために広く使用されている。たとえば、米国特許第7648927号を参照のこと。しかしながら、堆積速度は化学気相堆積(CVD)よりも低い傾向にある。堆積温度が低いと、SiNの堆積速度および膜質は不適当となっていた。
多くの文献が、PECVD、PEALDでクロロシランおよび活性NH3を使用し、アミン、炭素供給源としてのCH4またはC24を導入することによっての、高品質SiNおよびSiCN膜の堆積を報告している(WO2009/149167およびUS2008/0213479を参照のこと)。
より正確に制御されたプロセスで金属窒化物含有膜を堆積させる必要が残っている。
表記法および命名法
いくつかの略語、記号、および用語を以下の説明および特許請求の範囲を通じて使用している。たとえば:略語「A」はオングストロームを表し、1オングストローム=100ピコメートルであり;略語「PECVD」はプラズマ強化化学気相堆積を表し;略語「CVD」は化学気相堆積を表し;略語「RF」は高周波を表し、略語「DR」は堆積速度を表し、略語「RI」は屈折率を表す。
用語「アルキル基」は炭素原子および水素原子のみを含む飽和官能基を表す。さらに、用語「アルキル基」は線状、分枝、または環式のアルキル基を表す。線状アルキル基の例としては、限定されないが、メチル基、エチル基、プロピル基、ブチル基などが挙げられる。分枝アルキル基の例としては、限定されないが、t−ブチルが挙げられる。環式アルキル基の例としては、限定されないが、シクロプロピル基、シクロブチル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
ここで使用される限りにおいて、略語「Me」はメチル基を表し;略語「Et」はエチル基を表し;略語「Pr」はプロピル基を表し;略語「nPr」は鎖状のプロピル基を表し;略語「iPr」はイソプロピル基を表し;略語「Bu」はブチル(n−ブチル)基を表し;略語「tBu」はtert−ブチル基を表し;略語「sBu」はsec−ブチル基を表し;略語「iBu」はイソブチル基を表し;略語「TMS」はトリメチルシリル基を表す。
元素の周期表からの元素の標準的な略語をここでは使用する。元素をこれらの略語によって表すことがあることを理解されたい(たとえば、Siは珪素を表し、Cは炭素を表す、など)。
概要
金属窒化物含有膜を形成する方法を開示する。ハロゲン化金属前駆体を少なくとも1枚の基板を収容したALDリアクタに導入する。次に、過剰なハロゲン化金属前駆体をリアクタからパージする。アミノ金属前駆体をリアクタに導入する。次に、過剰なアミノ金属前駆体をリアクタからパージする。任意に、反応剤をリアクタに導入することができる。次に、過剰な任意の反応剤をリアクタからパージする。ハロゲン化金属前駆体の金属とアミノ金属前駆体の金属とは同じであってもよいしまたは異なっていてもよい。
また、金属窒化物含有膜を形成する方法を開示する。ハロゲン化金属前駆体を少なくとも1枚の基板を収容したALDリアクタに導入する。次に、過剰なハロゲン化金属前駆体をリアクタからパージする。アミノ金属前駆体をリアクタに導入する。次に、過剰なアミノ金属前駆体をリアクタからパージする。反応剤をリアクタに導入する。次に、過剰な反応剤をリアクタからパージする。ハロゲン化金属前駆体の金属とアミノ金属前駆体の金属とは同じであってもよいしまたは異なっていてもよい。
また、窒化珪素含有膜を形成する方法を開示する。クロロシラン前駆体を少なくとも1枚の基板を収容したALDリアクタに導入する。次に、過剰なクロロシラン前駆体をリアクタからパージする。アミノシラン前駆体をリアクタに導入する。次に、過剰なアミノシラン前駆体をリアクタから出す。任意に、反応剤をリアクタに導入することができる。次に、過剰な任意の反応剤をリアクタからパージする。
また、窒化珪素含有膜を形成する方法を開示する。クロロシラン前駆体を少なくとも1枚の基板を収容したALDリアクタに導入する。次に、過剰なクロロシラン前駆体をリアクタからパージする。アミノシラン前駆体をリアクタに導入する。次に、過剰なアミノシラン前駆体をリアクタからパージする。反応剤をリアクタに導入する。次に、過剰な反応剤をリアクタからパージする。
開示する方法の各々は以下の側面のうち1つ以上を含むことができる:
・反応剤はN2、NH3、N24、NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、MeHNNH2、Me2NNH2、フェニルヒドラジン、およびこれらの混合物からなる群より選択される;
・反応剤はNH3である;
・方法の工程の順序を変えることにより、特定の化学量論比を有する金属窒化物含有膜を製造する;
・ハロゲン化前駆体は塩化金属前駆体である;
・金属窒化物含有膜は1種または2種の金属を含有する金属炭窒化物膜である;
・金属は遷移金属元素、金属元素または非金属元素から選択される;
・金属はホウ素またはリンである;
・金属窒化物含有膜は窒化珪素含有膜である;
・ハロゲン化金属前駆体はクロロシラン前駆体である;
・アミノ金属前駆体はアミノシラン前駆体である;
・窒化珪素含有膜は炭素ドープされたSiN膜である;
・クロロシラン前駆体は式SiabClc(ここで、b+c=2a+2である)を有する;
・クロロシラン前駆体を混合物として導入する;
・アミノシラン前駆体は式H4-xSi(NR'R'')x(ここで、x=1、2、3、または4であり、R'およびR''はHまたはアルキル基から独立して選択され、R'およびR''は結合して環状構造を形成してもよい)を有する;
・アミノシラン前駆体はアミノクロロシランまたはアミノアルキルシランを含む;
・アミノクロロシラン前駆体は式Cl4-xSi(NR'R'')x(ここで、x=2または3であり、R'およびR''はHまたはアルキル基から独立して選択され、R'およびR''は結合して環状構造を形成してもよい)を有する;および
・アミノアルキルシラン前駆体は式R'''4-xSi(NR'R'')x(ここで、x=1、2、または3であり、R'およびR''はHまたはアルキル基から独立して選択され、R'およびR''は結合して環状構造を形成してもよく、R'''基は3個未満の炭素原子を有するアルキル基である)を有する。
本発明の性質および目的をさらに理解するために、以下の詳細な説明を添付の図面と組み合わせて参照されたい。
図1は、開示した方法にしたがってトリス(ジメチルアミノ)シラン(3DMAS)およびヘキサクロロジシラン(HCDS)を使用して堆積させたSiCN膜の、入口からの膜の距離に対する堆積速度および屈折率を示すグラフである。 図2は、開示した方法の1つの選択肢にしたがって3DMASおよびHCDSを使用して堆積させたSiCN膜の、距離に対する堆積速度および屈折率を示すグラフである。 図3は、開示した方法の第2の選択肢にしたがって3DMASおよびHCDSを使用して堆積させたSiCN膜の、距離に対する堆積速度および屈折率を示すグラフである。 図4は、開示した方法にしたがってテトラキス(ジメチルアミノ)シラン(4DMAS)およびヘキサクロロジシラン(HCDS)を使用して堆積させたSiCN膜の、入口からの膜の距離に対する堆積速度および屈折率を示すグラフである。 図5は、開示した方法の1つの選択肢にしたがって4DMASおよびHCDSを使用して堆積させたSiCN膜の、距離に対する堆積速度および屈折率を示すグラフである。 図6は、開示した方法の第2の選択肢にしたがって4DMASおよびHCDSを使用して堆積させたSiCN膜の、距離に対する堆積速度および屈折率を示すグラフである。 図7は、開示した方法にしたがってビス(ジエチルアミノ)シラン(BDEAS)およびヘキサクロロジシラン(HCDS)を使用して堆積させたSiCN膜の、入口からの膜の距離に対する堆積速度および屈折率を示すグラフである。 図8は、開示した方法の1つの選択肢にしたがってBDEASおよびHCDSを使用して堆積させたSiCN膜の、距離に対する堆積速度および屈折率を示すグラフである。
好ましい実施形態の詳細な説明
アミノ金属前駆体とハロゲン化金属前駆体との交互供給を使用する金属窒化物含有膜を形成するALD法を開示する。アミノ−金属前駆体とハロゲン化金属前駆体との逐次反応は緻密な金属リッチ膜を製造する。ハロゲン化金属前駆体の金属はアミノ金属前駆体の金属と同じであってもよいしまたは異なっていてもよい。
また、窒化珪素含有膜、好ましくは炭窒化珪素膜を、アミノシラン前駆体とクロロシラン前駆体との交互供給を使用して、温熱条件下または低圧プラズマ条件下で形成するALD法を開示する。アミノシラン前駆体とクロロシラン前駆体との逐次反応は、緻密な珪素リッチ膜を多くの従来技術の窒化珪素膜堆積プロセスのそれよりも低い温度で製造する。あるいは、炭窒化珪素膜は、炭素ドープされた窒化珪素膜で表されうる。当業者であれば、窒化珪素膜中の炭素の濃度が適切な命名法を決定し、炭素ドープされた窒化珪素膜中の炭素の量は典型的には炭窒化珪素膜中の炭素の量よりも少ないことが分かるであろう。しかしながら、当業者であれば、適切な命名法を決定する膜中の炭素の正確な百分率は規定されておらず、人によって異なるであろうことがさらに分かるであろう。
開示する方法は金属窒化物含有膜、たとえばSiN、または金属炭窒化物膜、たとえばSiCNをアミノ金属前駆体およびハロゲン化金属前駆体からALDにより形成する。金属窒化物含有膜は1種または2種の金属を含有する金属炭窒化物膜でもよい。たとえば、金属炭窒化物膜はSiHfCN膜でありうる。あるいは、SiN膜は炭素ドープされていてもよい。
例2−4におけるさらなる詳細において説明するように、特定の化学量論比を有する金属窒化物含有膜を、開示する方法の工程の順序を変更することによって製造できる。さらに、開示する方法の工程の所要時間を変更して、得られる膜を「調整する」こともできる。
ハロゲン化金属前駆体を1枚以上の基板を収容したALDリアクタに導入する。ハロゲン化金属前駆体はアミノ金属前駆体の前または後にALDリアクタに導入できる。リアクタ内の条件はハロゲン化金属前駆体の少なくとも一部が基板上に自己吸着するのを許容する。当業者であれば、基板の性質により、ハロゲン化金属前駆体がこの工程において物理吸着または化学吸着のいずれを受けるかが決まることが分かるであろう。たとえば、ハロゲン化金属前駆体をアミノ金属前駆体の後にリアクタに導入する場合、ハロゲン化金属前駆体の少なくとも一部が、前の工程で堆積させたアミノ金属前駆体の部分と反応する/これに化学吸着するであろう。吸着しなかったすなわち「過剰」なハロゲン化金属前駆体はリアクタから外にパージされる。ハロゲン化金属前駆体は低温でNH3/アミノ−金属前駆体と反応する。
ハロゲン化金属前駆体は塩化金属前駆体でありうる。ハロゲン化金属前駆体または塩化金属前駆体の金属は、元素の周期表において一般的に定義されている任意の遷移金属元素、金属元素、または非金属元素でありうる。好ましい遷移金属としては、限定されないが、Hfが挙げられる。好ましい金属としては、限定されないが、Znが挙げられる。好ましい非金属としては、限定されないが、B、Si、およびPが挙げられる。ハロゲン化金属前駆体を2種以上のハロゲン化金属前駆体の混合物として適用してもよい。好ましくは、ハロゲン化金属前駆体はクロロシラン前駆体である。例示的なクロロシラン前駆体は式SiabClc(ここでb+c=2a+2である)を有する。例示的クロロシラン前駆体としてはヘキサクロロジシラン(HCDS)、SiCl4、SiHCl3、Si25Clなど、ならびにこれらの混合物、たとえばHCDSおよびSiCl4またはHCDSおよびSiHCl3が挙げられる。好ましくは、ハロゲン化金属前駆体はHfCl4またはHCDSを含み、より好ましくはHCDSを含む。
アミノ金属前駆体をリアクタに導入する。リアクタ内の条件は、アミノ金属前駆体の少なくとも一部が基板上に自己吸着することを許容する。アミノ金属前駆体は、ハロゲン化金属前駆体の前または後にALDリアクタに導入できる。先と同じように、当業者であれば、基板の性質により、アミノ金属前駆体がこの工程において物理吸着または化学吸着のいずれを受けるかが決まることが分かるであろう。たとえば、アミノ金属前駆体をハロゲン化金属前駆体の後にリアクタに導入する場合、アミノ金属前駆体の少なくとも一部が前の工程で堆積したハロゲン化金属前駆体の一部と反応する/これに化学吸着するであろう。その後、吸着しなかったすなわち「過剰な」アミノ−金属前駆体はリアクタから外にパージされる。アミノ金属前駆体は、N供給源として働くのに過ぎない従来技術のNH3前駆体とは対照的に、C−供給源およびN−供給源の両方として働くことができる。アミノ金属前駆体のアルキルアミノ基は優れた脱離基として働き、優れた吸着をもたらす。アミノ金属前駆体の配位子を変更することは金属窒化物含有膜の炭素含有量を変更できる能力を提供する。
アミノ金属前駆体の金属は、元素の周期表において一般的に定義されている任意の遷移金属元素、金属元素、または非金属元素でありうる。好ましい遷移金属としては、限定されないが、Hfが挙げられる。好ましい金属としては、限定されないが、Znが挙げられる。好ましい非金属としては、限定されないが、B、Si、およびPが挙げられる。アミノ金属前駆体は2種以上のアミノ金属前駆体の混合物として適用してもよい。アミノ金属前駆体はアミノシラン前駆体でありうる。例示的なアミノシラン前駆体は式H4-xSi(NR'R'')x(ここで、x=1、2、3、または4であり、R'およびR''はHまたはアルキル基から独立して選択され、R'およびR''は結合して環状構造を形成してもよい)を有する。あるいは、アミノシラン前駆体はアミノクロロシラン前駆体またはアミノアルキルシラン前駆体でありうる。例示的なアミノクロロシラン前駆体は式Cl4-xSi(NR'R'')x(ここで、x=2または3であり、R'およびR''は前に定義した通りである)を有する。例示的なアミノアルキルシラン前駆体は式R'''4-xSi(NR'R'')x(ここで、x=1、2、または3であり、R'およびR''は上で定義した通りであり、R'''は3個未満の炭素原子を有するアルキル基である)を有する。好ましくは、アミノ金属前駆体はビス(ジエチルアミノ)シラン(BDEAS)、トリス(ジメチルアミノ)シラン(3DMAS)、テトラキス(ジメチルアミノ)シラン(4DMAS)、またはテトラキス(エチルメチルアミノ)ハフニウムであり、より好ましくは3DMASおよび/または4DMASである。
ハロゲン化金属前駆体およびアミノ金属前駆体(集合的に「前駆体」)を、蒸気の状態で各個1種ずつリアクタに導入する。この文脈において、「1種ずつ(individually)」および「各個(each)」は前駆体の特定の種類、たとえば、1種以上のハロゲン化金属を構成しうる「ハロゲン化金属前駆体」を表す。以下の段落では、1種ずつは1種のみのハロゲン化金属の蒸気をリアクタに導入することを意味するように意図されている。
前駆体は1種ずつ液体の状態で気化器に供給し、ここでそれらをリアクタに導入する前に各個1種ずつ気化させてもよい。気化の前に、前駆体の各々を任意に1種以上の溶媒に混合してもよい。溶媒は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、またはその他からなる群より選択できる。得られる濃度は約0.05Mから約2Mまでに及びうる。
あるいは、前駆体の各々を容れた容器にキャリアガスを通すことによって、または前駆体の各々にキャリアガスをバブリングすることによって、前駆体を1種ずつ気化させてもよい。任意に、前駆体の各々を容器内で1種以上の溶媒と任意に混合してもよい。その後、キャリアガスおよび1種ずつの前駆体を蒸気としてリアクタに導入する。キャリアガスとしては、限定されないが、Ar、He、N2、およびこれらの混合物を挙げることができる。また、キャリアガスでのバブリングは前駆体の溶液中に存在している溶存酸素を除去することもできる。
必要ならば、前駆体が液相にあることと十分な蒸気圧を有することとを可能にする温度まで、容器を加熱することができる。容器はたとえば0℃〜150℃の範囲内にある温度に維持できる。当業者であれば、容器の温度を周知の方法で調節して、気化させる前駆体の量を制御できることが分かる。
各前駆体の蒸気は、約0.01秒から約60秒まで、あるいは約5秒から約25秒まで、あるいは約10秒から約20秒までの範囲にある期間をかけてリアクタに導入されうる。
1つの実施形態では、反応剤をリアクタに導入し、そこでそれを基板上の自己吸着層に反応させることができる。次に、未反応のすなわち「過剰な」反応剤はリアクタから外にパージされる。反応剤はN2、NH3、N24、NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、MeHNNH2、Me2NNH2、フェニルヒドラジン、およびこれらの混合物でありうる。好ましくは、反応剤はNH3である。しかしながら、以下の例においてさらに詳細に説明するように、任意の反応剤工程を含めることは、得られる金属窒化物膜中の元素の所望の化学量論比に依存するであろう。
反応剤は、この反応剤を分解してそのラジカルの状態にするために、プラズマで処理することができる。プラズマはリアクタ自体の中で発生させてもよいしまたはそこにあってもよい。あるいは、プラズマは一般的にリアクタから離れた場所、たとえば、遠隔設置プラズマシステムにありうる。当業者であれば、このようなプラズマ処理に好適な方法および装置が分かるであろう。
たとえば、リアクタ内でプラズマを発生させる直接プラズマリアクタに反応剤を導入して、リアクタ内でプラズマ処理反応剤を製造することができる。例示的な直接プラズマリアクタとしては、Tiron Technologies製のTitan(登録商標) PECVDシステムが挙げられる。プラズマ処理の前に反応剤を導入して、リアクタ内に留めておくことができる。あるいは、プラズマ処理を反応剤の導入と同時に行ってもよい。その場でのプラズマは典型的に、シャワーヘッドと基板ホルダとの間に発生する13.56MHzRF容量結合プラズマである。基板またはシャワーヘッドは、陽イオン衝突が起こるかどうかに依存する駆動電極でありうる。その場でのプラズマ発生器にかけられる典型的な電力は約100Wから約1000Wである。その場でのプラズマを使用する反応剤の解離は、同じ電力の注入の場合、リモートプラズマを使用して達成されるものよりも典型的に低く、それゆえに、リモートプラズマシステムほど反応剤の解離に有効ではなく、プラズマによってダメージを受け易い基板上での金属窒化物含有膜の堆積に有利でありうる。
あるいは、プラズマ処理した反応剤をリアクタの外側で製造してもよい。MKS InstrumentsのASTRON(登録商標) i 反応性ガス発生器を使用して、リアクタに通す前に反応剤を処理することができる。2.45GHz、7kWプラズマパワー、および約3Torrから約10Torrまでの範囲にある圧力で動作して、反応剤NF3を3つのF-ラジカルに96%を超える分解効率で分解することができる。好ましくは、リモートプラズマは、約1kWから約10kWまで、より好ましくは約2.5kWから約7.5kWまでの範囲にあるパワーで発生させることができる。
ALDリアクタは、少なくとも1枚またはそれ以上の基板をその中に配置した加熱容器でありうる。このリアクタは、副生物をリアクタから除去することを可能にするか、またはリアクタ内の圧力を変更もしくは調節することを可能にする減圧ポンプに接続することができる出口を有する。好適なALDリアクタの例としては、限定されないが、前駆体を反応させて層を形成するのに好適な条件下にある平行板タイプリアクタ、コールドウォールタイプリアクタ、ホットウォールタイプリアクタ、枚葉式リアクタ、マルチウェハリアクタ、直接プラズマリアクタ、または他のタイプの堆積システムでありうる。
一般に、リアクタは、金属窒化物含有膜をその上に堆積させる1枚以上の基板を収容する。たとえば、リアクタは、25.4mmないし450mmの径を有する1ないし200枚のシリコンウェハを収容することができる。基板は、半導体、光電池、フラットパネル、またはLCD−TFTデバイス製造で使用される任意の好適な基板でありうる。基板は、前の製造工程から存在しうる材料の1以上の追加の層を含んでもよい。絶縁膜および導電膜がこれらの例である。本願の範囲内では、基板およびこの基板上に堆積する任意の層の全てが集合的に用語基板の中に包含される。好適な基板の例としては、限定されないが、金属基板、金属窒化物基板、珪素基板、シリカ基板、窒化珪素基板、酸窒化珪素基板、タングステン基板、およびこれらの組み合わせが挙げられる。したがって、タングステンまたは貴金属(たとえば白金、パラジウム、ロジウム、または金)を含む基板を使用できる。好ましくは、基板は金属膜または金属窒化物膜である。
リアクタの温度は、基板ホルダの温度を制御するかまたはリアクタの壁の温度を制御することによって制御できる。基板を加熱するのに使用するデバイスは当技術において知られている。リアクタは、所望の金属窒化物含有膜を十分な成長速度でならびに所望の物理状態および組成で得るのに十分な温度まで加熱される。リアクタが加熱されうる非限定的な例示的温度範囲としては約200℃から約700℃までが挙げられる。プラズマ堆積プロセスを用いる場合、堆積温度は約200℃から約550℃までの範囲にありうる。あるいは、熱プロセスを行う場合、堆積温度は約400℃から約600℃までの範囲にありうる。
ALDリアクタ内の圧力は約0.1Torr(13Pa)ないし約10Torr(1300Pa)である。
1つの好ましい実施形態では、開示する方法はクロロシラン前駆体、好ましくはHfCl4またはHCDSとアミノシラン前駆体、好ましくは3DMAS、4DMAS、またはテトラキス(エチルメチルアミノ)ハフニウムを用いて、SiN含有膜またはSiCN含有膜を形成する。形成した膜は、非常に低い(約0ないし約5原子%)塩素または酸素含有量を有する。
開示する方法は、低温での原子層堆積によるSiN含有膜の膜質、およびSiN含有膜中のC挿入に関する問題を解決する。
開示する方法は、現存の方法に優る以下の利点を提供する:
−アミノシランおよびクロロシランの調節可能な化合によるSiN膜への炭素挿入。
理由は以下である:
−この化合における比の変更は様々な組成の膜を作る。

本発明の実施形態をさらに説明するために以下の非限定的な例を提供する。しかしながら、この例は包括的なものであることを意図したものではなく、発明の範囲をここに説明するものに限定することを意図していない。
例1
ALD法ならびにトリクロロシラン(3CS)前駆体およびトリ(ジメチルアミノ)シラン(3DMAS)前駆体を使用して、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、100sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmの3CSのパルスを反応チャンバに10秒間供給する工程、2)3CS前駆体を100sccmのArで30秒間パージする工程、3)約1sccmの3DMASのパルスを反応チャンバに10秒間供給する工程、および4)3DMAS前駆体を100sccmのArで30秒間パージする工程。順序1)ないし4)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積させた膜は堆積速度が0.6A/サイクルであることを示す。屈折率は2.1よりも大きい。
例2a
ALD法を使用しヘキサクロロジシラン(HCDS)およびトリ(ジメチルアミノ)シラン(3DMAS)前駆体ならびにアンモニア(NH3)反応剤を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmの3DMASのパルスを反応チャンバに10秒間導入する工程、2)3DMAS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、4)HCDS前駆体を55sccmのArで30秒間パージする工程、5)約50sccmのNH3のパルスを反応チャンバに10秒間導入する工程、および6)NH3反応剤を55sccmのArで10秒間パージする工程。順序1)ないし6)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図1に示す。得られた膜における珪素および窒素の原子百分率組成は各々について40%を超えたが45%未満であり、炭素についての原子百分率組成は約10%であった。得られた膜の塩素および酸素の原子百分率組成は各々について0%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は4.24A/分であった。
例2b
ALD法を使用しHCDS 3DMAS前駆体およびアンモニア(NH3)反応剤を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、2)HCDS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmの3DMASのパルスを反応チャンバに10秒間導入する工程、4)3DMAS前駆体を55sccmのArで30秒間パージする工程、5)約50sccmのNH3のパルスを反応チャンバに10秒間導入する工程、および6)NH3反応剤を55sccmのArで10秒間パージする工程。順序1)ないし6)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図2に示す。得られた膜における珪素の原子百分率組成は45%を超えたが50%未満であり、得られた膜における窒素の原子百分率組成は30%を超えたが35%未満であり、炭素の原子百分率組成は15%を超えたが20%未満であった。得られた膜における塩素および酸素の原子百分率組成は各々について0%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は0.54A/分であった。
例2c
ALD法を使用しHCDSおよび3DMAS前駆体を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmの3DMASのパルスを反応チャンバに10秒間導入する工程、2)3DMAS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、および4)HCDS前駆体を55sccmのArで30秒間パージする工程。順序1)ないし4)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図3に示す。得られた膜における珪素の原子百分率組成は50%を超えたが55%未満であり、得られた膜における炭素の原子百分率組成は30%を超えたが35%未満であり、窒素の原子百分率組成は約10%であった。得られた膜における塩素および酸素の原子百分率組成は各々について1%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は0.04A/分であった。
例3a
ALD法を使用しヘキサクロロジシラン(HCDS)およびテトラキス(ジメチルアミノ)シラン(4DMAS)前駆体ならびにアンモニア(NH3)反応剤を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmの4DMASのパルスを反応チャンバに10秒間導入する工程、2)4DMAS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、4)HCDS前駆体を55sccmのArで30秒間パージする工程、5)約50sccmのNH3のパルスを反応チャンバに10秒間導入する工程、および6)NH3反応剤を55sccmのArで10秒間パージする工程。順序1)ないし6)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図4に示す。得られた膜における窒素の原子百分率組成は約45%であり、得られた膜における珪素の原子百分率組成は40%を超えたが45%未満であり、炭素の原子百分率組成は5%を超えたが10%未満であった。得られた膜における塩素および酸素の原子百分率組成は各々について0%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は5.76A/分であった。
例3b
ALD法を使用しHCDSおよび4DMAS前駆体ならびにアンモニア(NH3)反応剤を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、2)HCDS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmの4DMASのパルスを反応チャンバに10秒間導入する工程、4)4DMAS前駆体を55sccmのArで30秒間パージする工程、5)約50sccmのNH3のパルスを反応チャンバに10秒間導入する工程、および6)NH3反応剤を55sccmのArで10秒間パージする工程。順序1)ないし6)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図5に示す。得られた膜における珪素の原子百分率組成は40%を超えたが45%未満であり、得られた膜における窒素の原子百分率組成は約40%であり、炭素の原子百分率組成は10%を超えたが15%未満であった。得られた膜における塩素および酸素の原子百分率組成は各々について0%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は4.31A/分であった。
例3c
ALD法を使用しHCDSおよび4DMAS前駆体を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmの4DMASのパルスを反応チャンバに10秒間導入する工程、2)4DMAS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、および4)HCDS前駆体を55sccmのArで30秒間パージする工程。順序1)ないし4)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図6に示す。得られた膜における珪素の原子百分率組成は50%を超えたが55%未満であり、得られた膜における炭素の原子百分率組成は30%を超えたが35%未満であり、窒素の原子百分率組成は約10%であった。得られた膜における塩素および酸素の原子百分率組成は各々について1%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は0.15A/分であった。
例4a
ALD法を使用しヘキサクロロジシラン(HCDS)およびビス(ジエチルアミノ)シラン(BDEAS)前駆体ならびにアンモニア(NH3)反応剤を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmのBDEASのパルスを反応チャンバに10秒間導入する工程、2)BDEAS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、4)HCDS前駆体を55sccmのArで30秒間パージする工程、5)約50sccmのNH3のパルスを反応チャンバに10秒間導入する工程、および6)NH3反応剤を55sccmのArで10秒間パージする工程。順序1)ないし6)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図7に示す。得られた膜における珪素の原子百分率組成は約40%よりも僅かに大きく、得られた膜における窒素の原子百分率組成は40%よりも僅かに小さく、炭素の原子百分率組成は15%よりも僅かに大きかった。得られた膜における塩素および酸素の原子百分率組成は各々について0%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は1.65A/分であった。
例4b
ALD法を使用しHCDSおよびBDEAS前駆体ならびにアンモニア(NH3)反応剤を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、2)HCDS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのBDEASのパルスを反応チャンバに10秒間導入する工程、4)BDEAS前駆体を55sccmのArで30秒間パージする工程、5)約50sccmのNH3のパルスを反応チャンバに10秒間導入する工程、および6)NH3反応剤を55sccmのArで10秒間パージする工程。順序1)ないし6)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
堆積速度および堆積させた膜の屈折率を図8に示す。得られた膜における珪素の原子百分率組成は約45%であり、得られた膜における窒素の原子百分率組成は約30%であり、炭素の原子百分率組成は約20%であった。得られた膜における塩素および酸素の原子百分率組成は各々について0%以上であったが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は0.54A/分であった。
例4c
ALD法を使用しHCDSおよびBDEAS前駆体を用いて、緻密なSiCN膜を堆積させた。反応チャンバは5Torr、550℃に制御され、55sccmのArが連続的に流れていた。堆積プロセスは以下の工程から構成されていた:1)約1sccmのBDEASのパルスを反応チャンバに10秒間導入する工程、2)BDEAS前駆体を55sccmのArで30秒間パージする工程、3)約1sccmのHCDSのパルスを反応チャンバに10秒間導入する工程、および4)HCDS前駆体を55sccmのArで30秒間パージする工程。順序1)ないし4)を、堆積させた層が好適な膜厚を達成するまで繰り返した。
得られた膜の炭素含有量は高すぎて、楕円偏光法による堆積速度および屈折率の測定を可能にしなかった。得られた膜における珪素の原子百分率組成は55%を超えたが60%未満であり、得られた膜における炭素原子百分率組成は30%を超えたが35%未満であり、窒素の原子百分率組成は5%よりも僅かに大きかった。得られた膜における塩素および酸素の原子百分率組成は各々について0%を超えたが5%未満であった。得られた膜のHF溶液によるウェットエッチング速度は0.15A/分であった。
例5
出願人は、開示したALD法を使用しHfCl4および3DMAS前駆体を用いて、緻密なHfSiCN膜が堆積されるであろうと考えている。出願人は、開示する方法を使用して、得られる膜における化学量論比を変えることができると考えている。
例6
出願人は、開示したALD法を使用しテトラキス(エチルメチルアミノ)ハフニウムおよびHCDS前駆体を用いて、HfSiCN膜が堆積されるであろうと考えている。出願人は、開示する方法を使用して、得られる膜における化学量論比を変えることができると考えている。
本発明の性質を説明するためにここで説明しかつ示してきた詳細、材料、工程、および部品の配置についての多くの追加の変更を、添付の特許請求の範囲に示した本発明の原理および範囲内で当業者が行うことができることは理解されるであろう。したがって、本発明が上におよび/または添付の図面に示した例における具体的な実施形態に限定されることは意図されない。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[1]金属窒化物含有膜を形成する方法であって、前記方法は:
a)ハロゲン化金属前駆体を少なくとも1枚の基板を収容したALDリアクタに導入する工程と;
b)過剰なハロゲン化金属前駆体を前記リアクタからパージする工程と;
c)アミノ金属前駆体を前記リアクタに導入する工程と;
d)過剰なアミノ金属前駆体を前記リアクタからパージする工程と;
e)任意に、反応剤を前記リアクタに導入する工程と;
f)任意に、過剰な反応剤を前記リアクタからパージする工程と
を含み、
前記ハロゲン化金属前駆体およびアミノ金属前駆体の金属は同じまたは異なる方法。
[2]前記反応剤はN 、NH 、N 、NMeH 、NEtH 、NMe H、NEt H、NMe 、NEt 、MeHNNH 、Me NNH 、フェニルヒドラジン、およびこれらの混合物からなる群より選択され、好ましくはNH である[1]に記載の方法。
[3]方法の工程の順序を変えることにより、特定の化学量論比を有する金属窒化物含有膜を製造することができる[1]または[2]に記載の方法。
[4]前記ハロゲン化前駆体は塩化金属前駆体である[1]〜[3]のいずれか1つに記載の方法。
[5]前記金属窒化物含有膜は1種または2種の金属を含有する金属炭窒化物膜である[1]〜[4]のいずれか1つに記載の方法。
[6]前記金属は遷移金属元素、金属元素または非金属元素から選択される[1]〜[5]のいずれか1つに記載の方法。
[7]前記金属はホウ素またはリンである[1]〜[6]のいずれか1つに記載の方法。
[8]前記金属窒化物含有膜は窒化珪素含有膜であり、前記ハロゲン化金属前駆体はクロロシラン前駆体であり、前記アミノ金属前駆体はアミノシラン前駆体である[1]〜[7]のいずれか1つに記載の方法。
[9]前記窒化珪素含有膜は炭素ドープされたSiN膜である[8]に記載の方法。
[10]前記クロロシラン前駆体は式Si Cl を有し、ここでb+c=2a+2である[8]または[9]に記載の方法。
[11]前記クロロシラン前駆体を混合物として導入する[8]〜[10]のいずれか1つに記載の方法。
[12]前記アミノシラン前駆体は式H 4−x Si(NR'R'') を有し、ここでx=1、2、3、または4であり、R'およびR''はHまたはアルキル基から独立して選択され、R'およびR''は結合して環状構造を形成してもよい[8]〜[11]のいずれか1つに記載の方法。
[13]前記アミノシラン前駆体はアミノクロロシランまたはアミノアルキルシランを含む[12]に記載の方法。
[14]前記アミノクロロシラン前駆体は式Cl 4−x Si(NR'R'') を有し、ここでx=2または3であり、R'およびR''は上で定義したものである[13]に記載の方法。
[15]前記アミノアルキルシラン前駆体は式R''' 4−x Si(NR'R'') を有し、ここでx=1、2、または3であり、R'およびR''は上で定義したものであり、R'''基は3個未満の炭素原子を有するアルキル基である[13]に記載の方法。

Claims (4)

  1. SiCN膜を形成する方法であって、前記方法は:
    a)少なくとも1枚の基板を収容したALDリアクタを200℃〜600℃の範囲の温度に制御する工程と;
    b)ヘキサクロロジシランを前記リアクタに導入する工程と;
    c)過剰なヘキサクロロジシランを前記リアクタからパージする工程と;
    d)ビス(ジエチルアミノ)シランを前記リアクタに導入する工程と;
    e)過剰なビス(ジエチルアミノ)シランを前記リアクタからパージする工程と;
    f)NH 3 を前記リアクタに導入する工程と;
    g)過剰なNH 3 を前記リアクタからパージする工程と
    含む方法。
  2. 前記方法のb)乃至g)の工程の順序を変えることにより、特定の化学量論比を有するSiCN膜を製造する請求項1に記載の方法。
  3. SiCN膜を形成する方法であって、前記方法は:
    a)少なくとも1枚の基板を収容したALDリアクタを200℃〜600℃の範囲の温度に制御する工程と;
    b)ヘキサクロロジシランを前記リアクタに導入する工程と;
    c)過剰なヘキサクロロジシランを前記リアクタからパージする工程と;
    d)テトラキス(ジメチルアミノ)シランを前記リアクタに導入する工程と;
    e)過剰なテトラキス(ジメチルアミノ)シランを前記リアクタからパージする工程と;
    f)NH 3 を前記リアクタに導入する工程と;
    g)過剰なNH 3 を前記リアクタからパージする工程と
    を含む方法。
  4. 前記方法のb)乃至g)の工程の順序を変えることにより、特定の化学量論比を有するSiCN膜を製造する請求項3に記載の方法。
JP2013502893A 2010-04-01 2011-04-01 アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積 Active JP5815669B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32023610P 2010-04-01 2010-04-01
US61/320,236 2010-04-01
PCT/US2011/030957 WO2011123792A2 (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Publications (2)

Publication Number Publication Date
JP2013524522A JP2013524522A (ja) 2013-06-17
JP5815669B2 true JP5815669B2 (ja) 2015-11-17

Family

ID=44712857

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013502893A Active JP5815669B2 (ja) 2010-04-01 2011-04-01 アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積

Country Status (7)

Country Link
US (1) US20130078376A1 (ja)
EP (2) EP2553141A4 (ja)
JP (1) JP5815669B2 (ja)
KR (1) KR101226876B1 (ja)
CN (1) CN102471885A (ja)
TW (1) TWI498447B (ja)
WO (1) WO2011123792A2 (ja)

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
WO2013054655A1 (ja) * 2011-10-14 2013-04-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5951443B2 (ja) 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5869923B2 (ja) 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5959907B2 (ja) 2012-04-12 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6007031B2 (ja) 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022273B2 (ja) * 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6022272B2 (ja) * 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20150328927A1 (en) * 2012-12-28 2015-11-19 Compagnie Generale Des Establissment Michelin Thin Film Diffusion Barrier
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102052664B1 (ko) 2013-03-15 2019-12-06 삼성전자주식회사 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5886381B2 (ja) * 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI706957B (zh) 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20180202042A1 (en) * 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
JP6523080B2 (ja) 2015-07-10 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
KR102188750B1 (ko) 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
CN112969818A (zh) * 2018-10-03 2021-06-15 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7156999B2 (ja) * 2019-05-13 2022-10-19 大陽日酸株式会社 シリコン含有薄膜形成用シリコン含有化合物、及びシリコン含有薄膜の形成方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112978679B (zh) * 2019-12-12 2022-11-22 中国科学院大连化学物理研究所 一种多元金属含氢化合物的制备方法
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007043147A (ja) * 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
WO2007112780A1 (en) * 2006-04-03 2007-10-11 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5119832B2 (ja) * 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置

Also Published As

Publication number Publication date
WO2011123792A2 (en) 2011-10-06
JP2013524522A (ja) 2013-06-17
EP2553141A4 (en) 2013-08-21
KR101226876B1 (ko) 2013-01-28
US20130078376A1 (en) 2013-03-28
EP2553141A2 (en) 2013-02-06
TW201213597A (en) 2012-04-01
EP2730676A1 (en) 2014-05-14
CN102471885A (zh) 2012-05-23
WO2011123792A3 (en) 2011-12-01
TWI498447B (zh) 2015-09-01
KR20120028999A (ko) 2012-03-23

Similar Documents

Publication Publication Date Title
JP5815669B2 (ja) アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR102188750B1 (ko) 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
TWI672392B (zh) 用於沉積矽氮化物膜的組合物及含有其之容器
KR101949391B1 (ko) 13족 금속 또는 메탈로이드 니트라이드 막을 증착시키는 방법
US9633838B2 (en) Vapor deposition of silicon-containing films using penta-substituted disilanes
EP2174942A1 (en) Niobium and vanadium organometallic precursors for thin film deposition
EP2310551B1 (en) Method of forming a tantalum-containing layer on a substrate
KR102676392B1 (ko) 펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150924

R150 Certificate of patent or registration of utility model

Ref document number: 5815669

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250