TWI672392B - 用於沉積矽氮化物膜的組合物及含有其之容器 - Google Patents

用於沉積矽氮化物膜的組合物及含有其之容器 Download PDF

Info

Publication number
TWI672392B
TWI672392B TW106139056A TW106139056A TWI672392B TW I672392 B TWI672392 B TW I672392B TW 106139056 A TW106139056 A TW 106139056A TW 106139056 A TW106139056 A TW 106139056A TW I672392 B TWI672392 B TW I672392B
Authority
TW
Taiwan
Prior art keywords
bis
butyl
plasma
cyclodisilazane
pentyl
Prior art date
Application number
TW106139056A
Other languages
English (en)
Other versions
TW201809338A (zh
Inventor
新建 雷
金武性
滿超 蕭
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201809338A publication Critical patent/TW201809338A/zh
Application granted granted Critical
Publication of TWI672392B publication Critical patent/TWI672392B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文所述的是用於形成矽氮化物膜的組合物、矽氮化物膜及方法,其使用至少一環二矽氮烷前驅物。在一態樣中,提供一種形成矽氮化物膜的方法,其包含以下步驟:提供一基材於一反應器中;將至少一包含一烴離去基及二Si-H基團的環二矽氮烷引進該反應器,其中該至少一環二矽氮烷於該基材至少一部分表面上反應以提供化學吸附層;以洗淨氣體洗淨該反應器;將包含氮和惰性氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生。

Description

用於沉積矽氮化物膜的組合物及含有其之容器 相關申請案之交互參照
本案請求2015年7月31日申請的申請案第62/199593號的權益,在此以引用的方式將該申請案第62/199593號的揭示內容併入本文。
本文所述的是一種用於沉積保形性化學計量或非化學計量的矽氮化物膜的方法及組合物,其使用環二矽氮烷前驅物。更明確地說,本文所述的是使用環二矽氮烷前驅物之沉積製程,例如,但不限於,電漿強化原子層沉積(“PEALD”)、電漿強化循環式化學氣相沉積(“PECCVD”),及其用以沉積矽氮化物膜的組合物。
低壓化學氣相沉積(LPCVD)製程係被半導體產業用於沉積矽氮化物膜之更廣為一般所接納的方法。使用氨的低壓化學氣相沉積(LPCVD)可能需要高於650℃的沉積溫 度以獲得恰當的生長速率及均勻性。較高的沉積溫度常用以提供改良的膜性質。生長矽氮化物更常見的工業方法之一係透過低壓化學氣相沉積於溫度高於750℃的熱壁反應器中使用甲矽烷、二氯矽烷及/或氨當成前驅物。然而,使用此方法有幾個缺點。舉例來說,某些前驅物,例如甲矽烷會自燃。這可能在處理及使用時會出現問題。另外,由二氯矽烷所沉積的膜可能含有某些雜質,例如氟及氯化銨,其係於該沉積製程的期間以副產物形式形成。
沉積矽氮化物膜例如雙(第三丁基)矽烷(BTBAS)及氯矽烷類時使用的前驅物一般於高於550℃的溫度下沉積該等膜。然而,半導體裝置微型化及低熱預算(thermal budget)的趨勢需要低於400℃的製程溫度及較高沉積速率。為了防止離子擴散至晶格中,特別是有關包含金屬化層的那些基材及擴散到許多III-V族及II-VI族裝置上理應降低沉積該等矽膜的溫度。
美國公開案第2013/183835號(“該‘835號公開案”)描述用於低溫下將保形性矽氮化物膜形成於基材上的方法及設備。用於形成矽氮化物層的方法包括進行一沉積週期,該沉積週期包括使加工氣體混合物流入基材放在裡面的加工艙,其中該加工氣體混合物包含不穩定的矽鍵結於氮的鍵、矽鍵結於碳的鍵或氮鍵結於碳的鍵之前驅物氣體分子,其藉由優先打斷不穩定鍵於介於約20℃至約480℃之間的溫度下活化該前驅物氣體以沿著前驅物氣體分子提供一或更多反應部位,將前驅物材料層形成於該基材上,其中該等活化 前驅物氣體分子以該一或更多反應部位與該基材表面鍵結,而且於該前驅物材料層上進行電漿處理製程而形成保形性矽氮化物層。
美國公開案第2009/075490號(“該‘490號公開案”)描述一種製備矽氮化物膜的方法,其包含將矽晶圓引進反應艙;將矽氮化物引進該反應艙;以惰性氣體洗淨該反應艙;及在適於形成矽氮化物膜單分子層於咳矽晶圓上的條件之下將含氮共反應物以氣態引進該反應艙。
美國公開案第2009/155606號(“該‘606號公開案”)描述一種將矽氮化物膜沉積於基材上的循環式方法。在一具體實施例中有一方法包括將氯矽烷供應給加工基材的反應器;將洗淨氣體供應給該反應器;及將氨電漿供應給該反應器。
美國專利第6,391,803號(“該‘803號專利”)描述一種形成含矽固體薄膜層的原子層沉積方法。
美國專利第6,528,430號(“該‘430號專利”)描述一種運用Si2Cl6和NH3,或Si2Cl6和活化NH3當反應物形成矽氮化物薄膜的ALD方法。
美國公開案第2010/0081293號(“該‘293號公開案”)描述一種用於沉積矽氮化物的方法,其包括將矽前驅物及游離氮前驅物引進沉積艙。該矽前驅物具有N-Si-H鍵、N-Si-Si鍵及/或Si-Si-H鍵。該游離氮前驅物實質上不包括氧。該游離氮前驅物係於該沉積艙外側。該矽前驅物及該游離氮前驅物交互作用而形成該矽氮化物為基礎的介電層。 該‘293號公開案另外教導能在該沉積艙外側由選自Ne、Ar、Kr及/或Xe的起始材料產生的游離惰性氣體前驅物的用途。
美國公開案第2012/196048號(“該‘048號公開案”)描述一種藉由使前驅物吸附於基材上的製程及使用反應性氣體和電漿處理該吸附表面的製程分別地輪流多次形成薄膜之方法,其中該反應物氣體係實質上均勻地供應至該基材上方,而且該電漿係於供應該反應物氣體的製程時進行脈衝時間調制並且施加。
WO公開案第2015105350號提供新穎的環二矽氮烷衍生物,該等衍生物係藉由不同沉積方法形成具有優良物性和電氣性質的高純度含矽薄膜。
發明名稱為"Atomic layer controlled growth of Si3N4 films using sequential surface reactions." Klaus等人,Surface Science 418:L14-L19(1998)的參考資料描述一種利用連續的表面化學反應藉著原子層控制將Si3N4薄膜沉積於Si(100)基材上的方法。該Si3N4膜生長係藉由將二元反應3SiCl4+4NH3→Si3N4+12HCl分成二半反應而完成。依ABAB...順序連續運用該SiCl4和NH3半反應於介於500與900°K之間的基材溫度及1至10托耳的SiCl4和NH3反應物壓力下產生Si3N4沉積。
發明名稱為“Plasma-assisted ALD of Silicon Nitride from BTBAS:Influence of Plasma Exposure and Substrate Temperature”12th International Conference on Atomic Layer Deposition.San Diego,CA.Knoops等人,(ALD2013)的參考資料教導藉著N2電漿使用BTBAS(雙胺基矽烷)沉積矽氮化物的方法。該沉積膜具有約5% O2及約5%碳。
在此以引用的方式將先前已描述的專利、專利申請案及公開案的揭示內容併入本文。
因此,此技藝必須提供一種用於沉積保形性高品質矽氮化物膜的低溫(例如,400℃或以下的加工溫度)方法,其中比起使用其他沉積方法或前驅物的其他矽氮化物膜,該膜具有一或更多下列特性:2.2克/立方釐米(g/cc)或更高的密度、低濕式蝕刻速率(於稀氫氟酸(HF)中測到的)及其組合。
本文所述的是用於將化學計量或非化學計量矽氮化物膜,其可另外包含碳、氧或二者,形成於基材至少一部分上之方法。在一態樣中,本文所述的有機胺基矽烷前驅物包含至少一下式I所示的具有一烴離去基及至少二Si-H基團的環二矽氮烷: 其中R係選自分支C4至C10烷基;R1、R2、R3、R4係各自獨立地選自氫原子、線性或分支C1至C10烷基、線性或分支C2至C6烯基、線性或分支C2至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基、C4至C10芳基及鹵原子。在式I的某些具體實施例中,R1-4皆為氫。在其他具體實施例中,R1及R3為氫。
在另一態樣中,提供一種組合物,其包含:(a)至少一下式I所示的包含一烴離去基及至少二Si-H基團的環二矽氮烷: 其中R係選自分支C4至C10烷基;R1、R2、R3、R4係各自獨立地選自氫原子、線性或分支C1至C10烷基、線性或分支C2至C6烯基、線性或分支C2至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基、C4至C10芳基及鹵原子;及(b)溶劑。在式I的某些具體實施例中,R1-4皆為氫。在其他具體實施例中,R1及R3為氫。在本文所述的組合物的某些具體實施例中,該溶劑係選自由醚、三級胺、烷基烴、芳香族烴、三級胺基醚及其組合所組成的群組中之至少其一。在某些具體實施例中,該環二矽氮烷的沸點與該溶劑的沸點之間的差異係40℃或更小。
在一態樣中,提供一種形成矽氮化物膜之方法,該方法包含下列步驟:a.提供一基材於一反應器中;b.將至少一下式I所示的包含一離去基及至少二Si-H基團的環二矽氮烷前驅物引進該反應器: 其中R係選自分支C4至C10烷基;R1、R2、R3、R4係各自獨立地選自氫原子、線性或分支C1至C10烷基、線性或分支C2至C6烯基、線性或分支C2至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基、C4至C10芳基及鹵原子;c.以洗淨氣體洗淨該反應器;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的矽氮化物膜為止。
在另一態樣中,提供一種運用選自電漿強化原子層沉積製程或電漿強化類ALD製程的沉積製程形成矽氮化物膜之方法,該方法包含以下步驟:a.提供一基材於一反應器中; b.將至少一環二矽氮烷前驅物引進該反應器,該至少一環二矽氮烷前驅物係選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷及其組合所組成的群組,其中該至少一環二矽氮烷前驅物於該基材表面的至少一部分表面上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少其一之洗淨氣體洗淨該反應器;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的矽氮化物膜為止。
在另一態樣中,本發明關於一種將矽氮化物膜形成於基材至少一表面上之方法,該方法包含下列步驟: a.提供一基材於一反應器中;b.將至少一環二矽氮烷前驅物引進該反應器,該至少一環二矽氮烷前驅物係選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷及其組合所組成的群組,其中該至少一環二矽氮烷前驅物於該基材表面的至少一部分表面上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少其一之洗淨氣體洗淨該反應器;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的矽氮化物膜為止。
本發明的另一態樣關於一種將矽氮化物膜形成 於基材至少一表面上之方法,該方法包含下列步驟:a.提供一基材於一反應器中;b.將至少一環二矽氮烷前驅物引進該反應器,該至少一環二矽氮烷前驅物係選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷及其組合所組成的群組,其中該至少一環二矽氮烷前驅物於該基材表面的至少一部分表面上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少其一之洗淨氣體洗淨該反應器;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的矽氮化物膜為止。
本發明的另一態樣關於一種用於含矽膜的氣相沉積之組合物,其包含選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷所組成的群組中之至少一環二矽氮烷前驅物。
本發明的另一態樣關於一種用以運送供沉積含矽膜用的環二矽氮烷前驅物之容器,該容器包含:選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2- 氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷及其組合所組成的群組之環二矽氮烷前驅物;及其中該前驅物的純度係約98%或更高;及該容器的頂部空間包含選自由氦、氬、氮及其組合所組成的群組之惰性氣體。
本發明的另一態樣關於由任何前述方法或由任何前述組合物所製造的含矽膜,其中該矽氮化物膜具有2.2g/cc或更高的密度。
本發明的態樣皆能單獨或依彼此不同組合應用。
圖1就實施例6所述的環二矽氮烷前驅物及方法提供以Å為單位測得的矽氮化物膜沉積厚度與矽前驅物脈衝時間(以秒為單位測得)之間的關係。
圖2提供以Å為單位測得的矽氮化物膜厚度與如實施例6所述於300℃下使用該環二矽氮烷前驅物及氮電漿的週期數之對比。
於低溫,例如,400℃或更低的溫度,下沉積符合被視為高品質膜的一或更多標準的保形性化學計量及非化 學計量矽氮化物膜已經是存在已久的工業挑戰。有許多半導體領域例如先進圖案化或間隔物方面的應用皆需要高品質膜。矽氮化物若具有下列特徵中的一或多者便被視為“高品質”膜:與其他矽氮化物膜相比2.2克/立方公分(g/cc)或更高的密度(例如,約2.2至約3.0g/cc、約2.4至約3.0/cc及在某些案例中約2.5至約2.8g/cc)、低濕式蝕刻速率(依據下文更詳細描述的方法在稀氫氟酸(去離子水中含0.5重量% HF)中測量時)及其組合。在各個不同具體實施例中,藉由橢圓儀測量時該矽氮化物膜的折射率應為1.9或更高(例如,約1.9至約2.4、約2.0至約2.4及在某些案例中約2.0至約2.2)。
在一態樣中,本文所述的是用於沉積含矽膜的組合物,其包含:(a)至少一具有式I的環二矽氮烷前驅物,其係選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷及其組合所組成的群組;及;(b)至少一溶劑。在本 文所述的組合物的某些具體實施例中,示範溶劑能包括,但不限於,醚、三級胺、烷基烴、芳香族烴、三級胺基醚及其組合。在某些具體實施例中,該有機胺基二矽烷的沸點與該溶劑的沸點之間的差異係40℃或更小。該溶劑中的矽前驅物化合物的重量%能從1變動至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%。在某些具體實施例中,該組合物能藉由直接液體注射運送至供含矽膜用的反應艙中。
在一具體實施例中,本文所述的是於低溫或介於約25℃至約400℃的一或更多沉積溫度下於包含氮及任意稀有氣體的電漿製程中使用本文所述的具有式I的環二矽氮烷前驅物之原子層沉積(ALD)或類ALD方法。
本文所述的是用於將包含矽和氮的化學計量或非化學計量的矽氮化物膜形成於基材至少一部分上之方法。在某些具體實施例中,該矽氮化物膜另外包含碳或鋁例如矽碳氮化物或矽鋁氮化物膜。在某些具體實施例中,該矽氮化物膜另外包含氧例如矽氧氮化物膜。在各個不同具體實施例中,該矽氮化物膜包含氧及碳例如矽碳氧化氮化物膜,而且藉由XPS測量時氧含量介於0.1至30原子%而且碳含量介於0.1至40原子%。
本文所述的矽氮化物膜係使用至少一包含一離去基及至少二Si-H基團而且由下式I所示的環二矽氮烷來沉積: 其中R係選自分支C4至C10烷基;R1、R2、R3、R4係各自獨立地選自氫原子、線性或分支C1至C10烷基、線性或分支C2至C6烯基、線性或分支C2至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基、C4至C10芳基及鹵原子。在式I的某些具體實施例中,取代基R1-4皆為氫。在其他具體實施例中,R1及R3為氫。在式I的又其他具體實施例中,取代基R1-4中的至少其一或全部係選自鹵原子(例如,F、Cl、Br、I)、氫原子、甲基或其組合。
具有式I的示範環二矽氮烷前驅物包括,但不限於,下列表1所示的前驅物。
本文所述的具有式I的環二矽氮烷前驅物顯現平衡狀態的反應性和安定性,使其理想地適合當作半導體裝置製程的PEALD或PECCVD前驅物。關於反應性,某些前驅物可能具有太高而無法被汽化並且運送至該反應器以便以膜形式沉積於基材上的沸點(例如,高於約200℃)。具有相對較高沸點的前驅物要求該運送容器及管道必須於該前驅物的沸點或更高在指定真空度之下被加熱以防止凝結或粒子形成於該容器、管道或二者中。重要的是,該具有式I的環二矽氮烷前驅物擁有比先前技藝所揭示者更好的離去離,(亦即第三丁基與異丙基的對比),而且,因此,咸相信其能沉積碳含量較低的矽氮化物(例如,低於約5原子%,較佳地低於1原子%,更佳地低於0.1原子%)。關於安定性,當其他前驅物降解時其可能生成甲矽烷(SiH4)或乙矽烷(Si2H6)。甲矽烷於室溫下具有自燃性或其能自發性地燃燒而出現安全和處理上的問題。再者,甲矽烷或乙矽烷及其他副產物的形成使該前驅物的純度降低而且就可靠的半導體製造而言小到1至2%的化學純化變 化可能就被視為不能接受。在某些具體實施例中,本文所述的具有式I的環二矽氮烷前驅物包含2重量%或更少,或1重量%或更少,或0.5重量%或更少的副產物(儲存經過6個月或更久,或1年或更久之後),那表示具有貯藏安定性。在某些具體實施例中,本文所述的具有式I的環二矽氮烷前驅物包含100ppm或更少的鹵化物雜質例如氯化物,或50ppm或更少的鹵化物雜質,或10ppm或或更少的鹵化物雜質。除了前述優點之外,在某些具體實施例中,例如利用PEALD或PECCVD沉積方法沉積矽氮化物膜,本文所述的環二矽氮烷前驅物可能能於一或更多沉積溫度下沉積高密度材料,例如,400℃或更低,350℃或更低,300℃或更低,或250℃或更低,200℃或更低,150℃或更低,100℃或更低,或50℃或更低。
在整個說明書中,該措辭“烷基烴”表示線性或分支C6至C20烴、環狀C6至C20烴。示範烴包括,但不限於,己烷、庚烷、辛烷、壬烷、癸烷、十二烷、環辛烷、環壬烷、環癸烷。
在整個說明書中,該措辭“芳香族烴”表示C6至C20芳香族烴。示範芳香族烴包括,但不限於,甲苯、三甲苯。
在式I及整個說明中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在式I及整個說明中,該措辭“芳基”表示具有5 至12個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在式I和整個說明書中,該措辭“烷基”表示具有1至10或1至4個碳原子的線性或分支官能基。示範的烷基包括,但不限於,甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、正戊基、異戊基、第三戊基、己基、異己基及新己基。在某些具體實施例中,該烷基可能有一或更多接附於彼的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多接附於彼的官能基。
在式I及整個說明中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至10或2至6或2至4個碳原子的基團。示範烯基包括,但不限於,乙烯基(CH2=CH-)或烯丙基。
在式I及整個說明中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至10或2至6或2至4個碳原子的基團。示範炔基包括,但不限於乙炔基。
在式I及整個說明中,該措辭“二烷基胺基”表示具有接附於一氮原子的二烷基而且具有1至10或2至6或2至4個碳原子之基團。示範芳基包括,但不限於,二甲基胺基、二乙基胺基及乙基甲基胺基。
如本文所用的措辭“良好的烴離去基”或“烴離去基”描述鍵結於氮原子的烴基,該烴基在沉積製程期間能輕易 地被切斷而形成安定性烴自由基,因此導致具有較低碳含量的矽氮化物膜(例如,低於約1原子%或更低的碳含量)。烴自由基的安定性係乙烯基自由基>苯甲基自由基>第三丁基自由基>異丙基自由基>甲基自由基。良好烴離去基或取代基的實例包括,但不限於,第三丁基或第三戊基,該二者皆為比異丙基更好的離去基。在式I的某些具體實施例中,R係選自第三丁基或第三戊基。
在整個說明中,本文所用的措辭“有機胺”描述具有至少一氮原子的有機化合物。有機胺的實例包括,但不限於,甲基胺、乙基胺、丙基胺、異丙基胺、第三丁基胺、第二丁基胺、第三戊基胺、伸乙基二胺、二甲基胺、三甲基胺、二乙基胺、三乙基胺。
如本文所用的措辭“拉電子基”描述用以從該Si-N鍵牽引電子的原子或基團。適合的拉電子基或取代基的實例包括,但不限於,腈基(CN)。於某些具體實施例中,拉電子取代基可能毗鄰或近鄰式I任一者中的N。拉電子基的其他非限定例包括F、Cl、Br、I、CN、NO2、RSO及/或RSO2,其中R可能是C1至C10烷基例如,但不限於,甲基或另一基團。
在整個說明中,本文所用的措辭“矽氮化物”表示選自由化學計量或非化學計量矽氮化物、矽碳氮化物、矽碳氧氮化物、矽鋁氮化物及其混合物所組成的群組之包含矽和氮的膜。關於矽鋁氮化物,鋁含量能介於0.1至20原子%。
在某些具體實施例中,式I中的烷基、烯基、炔 基、烷氧基、二烷基胺基、芳基及/或拉電子基團中的一或多者可被取代或有一或更多原子或原子團被取代換掉,舉例來說,氫原子。示範取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。示範的經取代的取代基包括,但不限於,線性或分支C1至C6氟化烷基。在一特定具體實施例中,R1至R4中的至少其一係線性或分支C1至C6氟化烷基。在其他具體實施例中,式I中的烷基、烯基、炔基、烷氧基、二烷基胺基、芳基及/或拉電子基團中的一或多者係未經取代。
用以形成該等矽氮化物膜或塗層的方法係沉積製程。適用於本文所揭示方法的沉積製程實例包括,但不限於,電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程。用於本文時,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等前驅物於該基材表面上反應及/或分解以產生預期沉積的任何製程。如本文所用的,該措辭“原子層沉積製程”表示把材料的膜沉積於變化組成的基材上之自限性(例如,各反應週期所沉積的膜材料量恆定)連續表面化學。儘管本文所用的前驅物、試劑及料源有時候可能被描述成“氣態”,但是咸了解該等前驅物可能是液態或固態,該等前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體輸送至該反應器中。在一具體實施例中,該矽氮化物膜係運用ALD製程來沉積。在另一具體實施例中,該矽氮化物膜係運用CCVD製程來沉積。在另一具體實施例中,該矽氮化物膜係運用熱CVD製程來沉積。本文所用的措辭“反 應器”包括,但不限於,反應艙或沉積艙。該類ALD製程在此係定義成循環式CVD製程,其將高保形性矽氮化物膜例如,矽氮化物或矽碳氮化物以下列至少一者所示的方式提供於基材上:藉由橢圓儀測量時約10%或更低的不均勻度百分比(例如,約1至約10%、約1至約5%及在某些案例中約1至約3%)、0.1Å/週期或更高(例如,約1至約4Å/週期、約1至約3Å/週期及在某些案例中約1至約2Å/週期)的沉積速率或其組合。
在某些具體實施例中,本文所揭示的方法藉由運用PEALD或PECCVD方法在引進該反應器之前及/或期間隔開該等前驅物而避免該等前驅物的預反應。關於這一點,沉積技術例如PEALD或PECCVD製程係用以沉積該矽氮化物膜。在一具體實施例中,該膜係經由PEALD製程藉由使該基材表面輪流暴露於該矽氮化物前驅物、含氮來源或其他前驅物或試劑中之其一或多者而沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,便停止該膜生長。
在某些具體實施例中,本文所述的方法另外包含該具有式I的環二矽氮烷前驅物以外的一或更多其他矽前驅物。其他矽氮化物前驅物的實例包括,但不限於,單氯矽烷、二氯矽烷、六氯二矽烷、二異丙基胺基矽烷、二第二丁基胺基矽烷、雙(第三丁基胺基)矽烷、雙(二乙基胺基)矽烷、叁(二甲基胺基)矽烷。
依據該沉積方法,在某些具體實施例中,該至少 一環二矽氮烷前驅物可以預定莫耳體積例如,舉例來說,約0.1至約1000微莫耳引進該反應器。在各個不同具體實施例中,該至少一環二矽氮烷前驅物可經歷預定時期引進該反應器。在某些具體實施例中,該時期介於約0.001至約500秒。
在某些具體實施例中,該等矽氮化物膜包含矽及氮。在這些具體實施例中,運用本文所述的方法沉積的矽氮化物膜係於含氮來源存在之下形成。含氮來源可以至少一含氮來源的形式引進該反應器中及/或可附帶地存於用於該沉積製程的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氮/氬電漿。在某些具體實施例中,該含氮來源包含於介於約1至約2000標準立方公分(sccm)或約1至約1000sccm的流速下引進該反應器的氮/氬電漿來源氣體。該含氮來源能被引進經過介於約0.1至約100秒的時間。在該膜係藉由ALD或循環式CVD製程沉積的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該含氮來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。
在本文所述的方法中,包含含氮氣體(例如,但不限於,氮)的含氮電漿,而且任意地稀有氣體能在原地或遠距產生,較佳地具有大於氮原子質量(亦即,28amu)的原子質量之稀有氣體。咸相信有原子質量大於氮原子質量的稀有氣體存在將創造更多原子氮自由基。該氮電漿來源氣體係於介於約1至約2000標準立方公分(sccm)或約1至約1000sccm 或更高的速率下引進該反應器。該含氮電漿能被引進經過介於約0.01至約100秒或更多的時間。在多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮電漿可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等前驅物脈衝與氮電漿之間的洗淨時期可能小到0秒。在又另一具體實施例中,當氫電漿能夠使用時氫電漿能在原地或遠距使用純氫(H2)與稀有氣體混合而產生。該含有氮和稀有氣體二者的電漿中之稀有氣體重量百分比能從1重量%變動至99重量%,而該含有氫和稀有氣體二者的電漿中之稀有氣體重量百分比也能從1重量%變動至99重量%。
本文所揭露的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖(Ne)、氫(H2)及其混合物。在某些具體實施例中,當洗淨氣體用的惰性氣體包含稀有氣體。本文所用的措辭“稀有氣體”意指於週期表第18族中見到者並且包括,氦(He)、氖(Ne)、氬(Ar)、氙(Xe)、氪(Kr)及其混合物。在一特定具體實施例中,當洗淨氣體用的稀有氣體包含氬。在各個不同具體實施例中,該包含Ar的洗淨氣體係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的前驅物材料和可能留在該反應器中的任何副產物。
供應該等前驅物、該含氮來源、及/或其他前驅 物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變所得矽氮化物膜的化學計量組成。
把能量施加於該前驅物、含氮來源、還原劑、其他前驅物或其組合中的至少其一以引發反應並且將該矽氮化物膜或塗層形成於該基材上。此能量可藉由,但不限於,熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特性。在該沉積涉及電漿的具體實施例中,該電漿產生製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生方法。
該環二矽氮烷前驅物可以單晶圓或批次依各式各樣的方式例如起泡、蒸氣牽引(vapor draw)或直接液體注射(DLI)輸送給該反應艙例如PEALD或PECCVD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性而且有益於特定最終用途應用的溶劑組分以於基材上形成膜。示範溶劑能包 括,但不限於,醚、三級胺、烷基烴、芳香族烴、三級胺基醚及其組合。在某些具體實施例中,該有機胺基二矽烷的沸點與該溶劑的沸點之間的差異係40℃或更小。該溶劑中的矽前驅物化合物的重量%能從1變動至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%。在某些具體實施例中,該組合物能藉由直接液體注射運送至供含矽膜用的反應艙中。
在某些具體實施例中,從該等前驅物罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且具有本文所述的式I之環二矽氮烷前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含至少一具有本文所述的化學式的矽氮化物前驅物之溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
氬、稀有氣體及/或其他惰性氣體流可當成載運氣體運用以協助在該前驅物脈衝的期間將該至少一環二矽氮烷前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙製程壓力係約2托耳或更低。在其他具體實施例中,該反應艙製程壓力係約10托耳或更低。
在典型的PEALD或PECCVD或類PEALD製程中,在最初暴露於該矽氮化物前驅物的反應艙之加熱器段上加熱基材例如,但不限於,氧化矽、碳摻雜氧化矽、撓性基材或金屬氮化物基材以便使該環二矽氮烷能以化學方式吸附於該基材表面上。洗淨氣體例如氮、氬或其他惰性氣體從該加工艙洗掉沒被吸附的過量環二矽氮烷。經過充分洗淨以 後,含氮來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工週期能重複進行以達成期望的膜厚度。在其他具體實施例中,在真空之下抽排能用以從該加工艙移除沒被吸附的過量環二矽氮烷,等到在抽排作用之下充分抽空以後,含氮來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。
在一態樣中,提供一種形成矽氮化物膜之方法,該方法包含下列步驟:a.提供一基材於一反應器中;b.將至少一下式I所示的包含一離去烴基及至少二Si-H基團的環二矽氮烷前驅物引進該反應器: 其中R係選自分支C4至C10烷基;R1、R2、R3、R4係各自獨立地選自氫原子、線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基、C4至C10芳基及鹵原子,其中該至少一環二矽氮烷於該基材至少一部分表面上反應以提供化學吸附層;c.以洗淨氣體洗淨該反應器; d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的矽氮化物膜為止。氬、稀有及/或其他惰性氣體流皆可當成載運氣體運用以協助在該前驅物脈衝的期間將該至少一環二矽氮烷前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙製程壓力係約2托耳或更低。在其他具體實施例中,該反應艙製程壓力係約10托耳或更低。在該方法的某些具體實施例中,包含氫的電漿能在步驟d之前介入以助於移除該環二矽氮烷與該表面之間的反應產生的烴。該包含氫的電漿係選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組。在某些具體實施例中,該含料源的電漿可依照至少一氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氮/氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3電漿、有機胺電漿及其混合物。在其他具體實施例中,該電漿係選自由氫電漿、氦電漿、氖電漿、氬電漿、氙電漿、氫/氦電漿、氫/氬電漿及其混合物所組成的群組。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或電漿強化類ALD製程形成矽氮化物膜之方法,該方法包含下列步驟: a.提供一基材於一反應器中;b.將至少一包含一離去烴基及至少二Si-H基團的環二矽氮烷前驅物引進該反應器,該至少一環二矽氮烷前驅物係選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二矽氮烷及其組合所組成的群組,其中該至少一環二矽氮烷於該基材表面的至少一部分表面上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少其一之洗淨氣體洗淨該反應器;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的矽氮化物膜為止。在某些具體實施例中,該含料源的電漿可依照至少一氮來源的形式 引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氮/氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3電漿、有機胺電漿及其混合物。在其他具體實施例中,該電漿係選自由氫電漿、氦電漿、氖電漿、氬電漿、氙電漿、氫/氦電漿、氫/氬電漿及其混合物所組成的群組。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或電漿強化類ALD製程形成矽鋁氮化物膜之方法,該方法包含下列步驟:a.提供一基材於一反應器中;b.將至少一包含一離去烴基及至少二Si-H基團的環二矽氮烷前驅物引進該反應器,該至少一環二矽氮烷前驅物係選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷、1,3-雙(第三丁基)-2-乙炔基環二 矽氮烷及其組合所組成的群組,其中該至少一環二矽氮烷於該基材表面的至少一部分表面上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少其一之洗淨氣體洗淨該反應器;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;f.將至少一鋁前驅物引進該反應器,該至少一鋁前驅物係選自由AlCl3、三甲基鋁(TMA)、三乙基鋁、叁(二甲基胺基)鋁(TDMAA)、叁(二甲基胺基)鋁(TDMAA)及叁(二乙基胺基)鋁(TDEAA)及其他揮發性鋁前驅物所組成的群組中的鋁前驅物;g.以惰性氣體洗淨該反應器;h.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至i係重複進行至獲得期望厚度的矽鋁氮化物膜為止。在某些具體實施例中,步驟b至e能在步驟f之前重複多次以創造包含鋁含量較低的矽鋁氮化物奈米層疊結構。在各個不同具體實施例中,步驟f至i係重複進行多次以創造包含鋁含量較高的矽鋁氮化物奈米層疊結構。在某些具體實施例中,該含料源的電漿可依照至少一氮來源的形式 引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氮/氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3電漿、有機胺電漿及其混合物。在其他具體實施例中,該電漿係選自由氫電漿、氦電漿、氖電漿、氬電漿、氙電漿、氫/氦電漿、氫/氬電漿及其混合物所組成的群組。
以上的步驟定義本文所述方法的一個週期;而且該週期可重複進行直到獲得期望厚度的矽氮化物膜為止。在各個不同具體實施例中,咸了解本文所述方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等前驅物和含氧來源的分別步驟可藉由變化供應彼等的時期來進行以改變所產生的矽氮化物膜的化學計量組成,但是總是使用低於關於可利用的矽的化學計量的氮。
在本文所述方法的某些具體實施例中,重複進行步驟b至e以提供厚度介於約0.1至約500Å,或約0.1至約5Å,或約0.1至約10Å,或約0.1至約50Å,或0.1至100Å的矽氮化物膜。在本文所述的方法之一特定具體實施例中,包含氫的電漿能在步驟d之前介入以助於移除該環二矽氮烷與該表面之間的反應產生的烴。該包含氫的電漿係選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組。
在某些具體實施例中,結果產生的含矽氮化物膜 或塗層能夠暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外線曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。在本文所述方法之一特定具體實施例中,包含氫的電漿能當成沉積後處理運用於原沉積的矽氮化物膜以提高密度以及降低蝕刻速率。該包含氫的電漿係選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組。
在某些具體實施例中,本文所述的含矽氮化物膜具有6或更低的介電常數。在各個不同具體實施例中,該等膜具有由水銀探針技術測得約5或更低,或約4或更低,或約3.5或更低的介電常數。然而,能想像具有其他介電常數(例如,更高或更低)的膜能依據該膜的預期最終用途形成。使用本文所述的環二矽氮烷前驅物及製程所形成的含矽膜或矽氮化物膜之實例具有此配方SixOyCzNvHw,其中Si介於約10%至約50%;O介於約0%至約10%;C介於約0%至約20%;N介於約10%至約75%或約10%至60%;而且H介於約0%至約10%原子百分比重量%,其中舉例來說藉由X-射線光電子光譜術(XPS)或二次離子質譜術(SIMS)測定時,x+y+z+v+w=100個原子重量百分比。
在該膜係利用包含稀有氣體的電漿沉積之一特定具體實施例中,該矽氮化物膜包含,舉例來說,藉由XPS或其他裝置測量時約5%至約50%碳原子重量百分比。在此特定具體實施例中,該矽氮化物膜另外包含以下含量,其中Si介於約10%至約40%;O介於約0%至約5%;N介於約10% 至約75%或約10% to 50%;而且H介於約0%至約10%原子重量百分比%,其中該膜的總重量百分比加總達於100原子重量百分比。
如先前提及的,本文所述的方法可用以將矽氮化物膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳矽化物、氫化矽碳化物、矽氮化物、氫化矽氮化物、矽碳氮化物、氫化矽碳氮化物、硼氮化物、抗反射塗層、光阻劑、撓性基材例如IGZO、有機聚合物、多孔性有機和無機材料、金屬類例如銅和鋁,及擴散阻絕層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與多變的後續處理步驟例如,舉例來說,化學機械平坦化(CMP)和各向異性蝕刻製程相容。
所沉積的膜具有多種應用,其包括,但不限於,電腦晶片、光學裝置、磁性資料儲存、於支撐材料或基材上的塗層、微機電系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。
下列實施例舉例說明本文所述的矽氮化物膜的沉積方法而且不會以任何方式限制附於此之申請專利範圍。
實施例
在以下實施例中,除非另行指明,否則均由沉積於中等電阻率(14至17Ω-cm)單晶矽晶圓基材上的樣品膜獲得性質。所有膜沉積皆使用具有13.56MHz直接電漿的噴灑 頭設計的CN-1反應器來進行。在典型的製程條件中,除了另行指明,否則艙壓係固定於介於約1至約5托耳的壓力。使用另一惰性氣體來保持艙壓。該鋁前驅物係利用蒸氣牽引來運送(亦即,完全不使用氬)。典型應用的RF功率係於150mm晶圓的電極面積上加諸125W以提供0.7W/cm2的功率密度。該等膜沉積包含關於熱ALD及電漿強化ALD之分別列於表1的步驟。表1的步驟1至4構成一個PEALD週期而且除非另行指明,否則重複進行總共300次而得到期望的膜厚度。
已沉積的膜之折射率(RI)及厚度係利用橢圓儀來測量。膜不均勻性利用下述標準方程式來計算:不均勻性%=((最大厚度-最小厚度)/(2*平均(avg)厚度))。膜結構及組成利用傅利葉轉移紅外線(FTIR)光譜術及X-射線光電子光譜術(XPS)來分析。該等膜的密度利用X-射線反射儀(XRR)來測量。
實施例1. 1,3-雙(第三丁基)環二矽氮烷的合成
於-40℃在惰性氣氛作用之下對THF(800mL)及己烷(600mL)中含雙(第三丁基胺基)矽烷(BTBAS)(200g,1.14mol,1eq)的攪拌溶液緩慢地添加己烷(637g,2.28mol,2eq)中含BuLi的2.5M溶液使反應溫度保持在-30℃以下。將該反應溶液暖化至周遭溫度而且將該溶液以雙(第三丁基胺基)矽烷二鋰醯胺的形式收集於試藥瓶。於-40至-30℃在惰性氣氛作用之下對THF(500g)及己烷(500g)的攪拌溶液同時添加於速率15g/min下的雙(第三丁基胺基)矽烷二鋰醯胺溶液及透過浸入管添加二氯矽烷氣體(3.6ml/sec,總共2560ml)使該溶液中的二反應物保持莫耳比率1:1而且使溫度保持在-20℃以下。將該反應溶液暖化至室溫而且濾掉固體。等到溶液被移除之後藉由減壓(56-7℃/7mmHg)蒸餾將粗製產物純化而得到118.9g純產物3(51.6%)。BP:181℃;MS:m/z,估計為C8H22N2Si;[M],202;根據,187(M-CH3)。
實施例2. 1,3-雙(第三丁基)-2-甲基環二矽氮烷的合成
於-40℃在惰性氣氛作用之下對THF(1400g)中含雙(第三丁基胺基)矽烷(BTBAS)(200g,1.15mol,1eq)的攪拌溶液緩慢地添加己烷(639g,2.3mol,2eq)中含BuLi的2.5M溶液使反應溫度保持在-30℃以下。等到該反應完成而且反應溫度冷卻至-40℃之後,於能使溫度保持在-10℃以下的速度下將1237g己烷類中含甲基二氯矽烷(137g)的溶液加於該反應溶液。將該反應溶液暖化至室溫而且將固體濾除。等 到溶劑被移除之後藉由減壓蒸餾(55℃/4mmHg)將粗製產物純化而得到118.1g純產物4(47.6%)。MS:m/z,估計為C9H24N2Si;[M],216;根據,201(M-CH3)。
實施例3. 利用1,3-雙(第三丁基)環二矽氮烷及氮電漿來進行矽氮化物膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。當環二矽氮烷前驅物的1,3-雙(第三丁基)環二矽氮烷係利用蒸氣牽引於72℃的溫度下運送至該反應器。該ALD週期包含列於表1的製程步驟而且使用下列製程參數:
a.將環二矽氮烷前驅物引進該反應器
氮流量:1000sccm
環二矽氮烷前驅物脈衝:1秒
b.惰性氣體洗淨
氮流量:1000sccm
洗淨時間:10秒
c.引進氮電漿
氮流量:1000sccm
氮電漿脈衝:配合125W的電漿功率進行10秒
d.洗淨
氮流量:1000sccm
洗淨時間:10秒
步驟a至d重複進行500週期。原沉積的矽氮化物厚度係為約145Å,相當於0.29Å/週期的生長速率。SIMS 組成分析顯示該矽氮化物具有Si,36.22原子%;N,52.45原子%;C,1.16原子%;O,2.79原子%,及H,11.32原子%。
實施例4. 利用1,3-雙(第三丁基)環二矽氮烷及氨電漿來進行矽氮化物膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。當環二矽氮烷前驅物的1,3-雙(第三丁基)環二矽氮烷係利用蒸氣牽引於72℃的溫度下運送至該反應器。該ALD週期包含列於表1的製程步驟而且使用下列製程參數:
a.將環二矽氮烷前驅物引進該反應器
氬流量:1000sccm
環二矽氮烷前驅物脈衝:1秒
b.惰性氣體洗淨
氬流量:1000sccm
洗淨時間:10秒
c.引進氨電漿
氬流量:1000sccm
氨流量:500sccm
電漿脈衝:配合125W的電漿功率進行10秒
d.洗淨
氬流量:1000sccm
洗淨時間:10秒
步驟a至d重複進行500週期。原沉積的矽氮化 物厚度係為約30Å,相當於0.06Å/週期的生長速率。
實施例5. 利用1,3-雙(第三丁基)環二矽氮烷及氮/氨電漿來進行矽氮化物膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。當環二矽氮烷前驅物的1,3-雙(第三丁基)環二矽氮烷係利用蒸氣牽引於72℃的溫度下運送至該反應器。該ALD週期包含列於表1的製程步驟而且使用下列製程參數:
a.將環二矽氮烷前驅物引進該反應器
氬流量:1000sccm
環二矽氮烷前驅物脈衝:1秒
b.惰性氣體洗淨
氬流量:1000sccm
洗淨時間:10秒
c.引進氨電漿
氬流量:1000sccm
氨流量:250sccm
氮流量:250sccm
氮電漿脈衝:配合125W的電漿功率進行10秒
d.洗淨
氬流量:1000sccm
洗淨時間:10秒
步驟a至d重複進行500週期。原沉積的矽氮化 物厚度係為約40Å,相當於0.08Å/週期的生長速率。
實施例6. 利用1,3-雙(第三丁基)-2-甲基環二矽氮烷及氮電漿來進行矽氮化物膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。該環二矽氮烷前驅物1,3-雙(第三丁基)-2-甲基環二矽氮烷係利用蒸氣牽引於80℃的溫度下運送至該反應器。該ALD週期包含列於表1的製程步驟而且使用下列製程參數:
a.將環二矽氮烷前驅物引進該反應器
氮流量:1000sccm
環二矽氮烷前驅物脈衝:從0.4變動至1秒
b.惰性氣體洗淨
氮流量:1000sccm
洗淨時間:10秒
c.引進氮電漿
氮流量:1000sccm
氮電漿脈衝:配合125W的電漿功率進行10秒
d.洗淨
氮流量:1000sccm
洗淨時間:10秒
步驟a至d重複進行500週期。原沉積的矽氮化物厚度係為約107Å至133Å(參見圖1)。現在參照圖1,圖1顯示原沉積的矽氮化物的矽氮化物膜厚度與於300℃下使用 氮電漿的1,3-雙(第三丁基)-2-甲基環二矽氮烷脈衝時間之對比,其證實於0.8秒時的自限性性質。藉著1秒的環二矽氮烷前驅物脈衝所沉積的矽氮化物之SIMS分析顯示此組成:Si,33.88原子%;N,50.50原子%;C,1.60原子%;O,3.03原子%,及H,10.98原子%。.現在參照圖2,圖2顯示該矽氮化物厚度與1秒環二矽氮烷前驅物脈衝的週期數之對比,從而得知0.26Å/週期的生長速率。藉著1秒環二矽氮烷前驅物脈衝所沉積的矽氮化物於稀HF中的濕式蝕刻速率係在相同蝕刻條件之下關於熱矽氧化物的約0.7及關於熱矽氮化物的2.53。
實施例7. 利用1,3-雙(第三丁基)-2-甲基環二矽氮烷及氨電漿來進行矽氮化物膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。當環二矽氮烷前驅物的1,3-雙(第三丁基)-2-甲基環二矽氮烷係利用蒸氣牽引於80℃的溫度下運送至該反應器。該ALD週期包含列於表1的製程步驟而且使用下列製程參數:
a.將環二矽氮烷前驅物引進該反應器
氬流量:1000sccm
環二矽氮烷前驅物脈衝:1秒
b.惰性氣體洗淨
氬流量:1000sccm
洗淨時間:10秒
c.引進氨電漿
氬流量:1000sccm
氨流量:500sccm
氮電漿脈衝:配合125W的電漿功率進行10秒
d.洗淨
氬流量:1000sccm
洗淨時間:10秒
步驟a至d重複進行500週期。原沉積的矽氮化物厚度係為約30Å,相當於0.06Å/週期的生長速率。
儘管本發明已經參照特定具體實施例描述過,咸了解熟悉此技藝者皆可完成不同變化而且等效物可替換其元件而不會悖離本發明的範疇。除此之外,可使特定情況或材料順應本發明的教導而完成許多修飾而不會悖離其基本範疇。因此,咸認為本發明不限於預期能進行本發明的最佳模式所揭示的特定具體實施例,而是本發明能將所有落在後附申請專利範圍以內的具體實施例皆包括在內。

Claims (3)

  1. 一種用於含矽膜的氣相沉積之組合物,其包含選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷及1,3-雙(第三丁基)-2-乙炔基環二矽氮烷所組成的群組中之至少一環二矽氮烷前驅物。
  2. 一種用以運送供沉積含矽膜用的環二矽氮烷前驅物之容器,該容器包含:選自由1,3-雙(第三丁基)環二矽氮烷、1,3-雙(第三丁基)-2-甲基環二矽氮烷、1,3-雙(第三丁基)-2,4-二甲基環二矽氮烷、1,3-雙(第三戊基)環二矽氮烷、1,3-雙(第三戊基)-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2,4-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯環二矽氮烷、1,3-雙(第三丁基)-2,4-二氯環二矽氮烷、1,3-雙(第三戊基)-2-氯環二矽氮烷、1,3-雙(第三戊基)-2,4-二氯環二矽氮烷、1,3-雙(第三丁基)-2,4,4-三氯環二矽氮烷、1,3-雙(第三丁基)-2-二甲基環二矽氮烷、1,3-雙(第三丁基)-2-氯-2-甲基環二矽氮烷、1,3-雙(第三戊基)-2-二甲基環二矽氮烷、1,3-雙(第三戊基)-2-氯-2-甲基-環二矽氮烷、1,3-雙(第三丁基)-2-乙烯基環二矽氮烷及1,3-雙(第三丁基)-2-乙炔基環二矽氮烷所組成的群組之環二矽氮烷前驅物;而且其中該前驅物的純度係約98%或更高;及該容器的頂部空間包含選自由氦、氬、氮及其組合所組成的群組之惰性氣體。
  3. 如申請專利範圍第2項之容器,其中該容器包含不銹鋼。
TW106139056A 2015-07-31 2016-07-29 用於沉積矽氮化物膜的組合物及含有其之容器 TWI672392B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562199593P 2015-07-31 2015-07-31
US62/199,593 2015-07-31

Publications (2)

Publication Number Publication Date
TW201809338A TW201809338A (zh) 2018-03-16
TWI672392B true TWI672392B (zh) 2019-09-21

Family

ID=56616074

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106139056A TWI672392B (zh) 2015-07-31 2016-07-29 用於沉積矽氮化物膜的組合物及含有其之容器
TW105124088A TWI626329B (zh) 2015-07-31 2016-07-29 用於沉積矽氮化物膜的組合物及方法
TW108124613A TWI683024B (zh) 2015-07-31 2016-07-29 用於沉積矽氮化物膜的組合物及含有其之容器

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW105124088A TWI626329B (zh) 2015-07-31 2016-07-29 用於沉積矽氮化物膜的組合物及方法
TW108124613A TWI683024B (zh) 2015-07-31 2016-07-29 用於沉積矽氮化物膜的組合物及含有其之容器

Country Status (8)

Country Link
US (1) US11035039B2 (zh)
EP (2) EP4108803A1 (zh)
JP (1) JP6600074B2 (zh)
KR (1) KR102245160B1 (zh)
CN (2) CN113403604A (zh)
IL (1) IL256951B (zh)
TW (3) TWI672392B (zh)
WO (1) WO2017023693A1 (zh)

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019055393A1 (en) * 2017-09-14 2019-03-21 Versum Material Us, Llc COMPOSITIONS AND METHODS FOR DEPOSITION OF FILMS CONTAINING SILICON
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20220037144A1 (en) * 2018-09-24 2022-02-03 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7174614B2 (ja) * 2018-12-12 2022-11-17 株式会社日立製作所 ナノポア形成方法及び分析方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202107377VA (en) * 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR102422927B1 (ko) * 2019-08-22 2022-07-21 (주)디엔에프 신규한 실릴사이클로다이실라잔 화합물 및 이를 이용한 실리콘 함유 박막의 제조방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210052027A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물, 이를 포함하는 박막 및 그 박막의 제조방법
KR20210052026A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물 및 이를 이용한 박막의 제조 방법
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210064658A (ko) 2019-11-26 2021-06-03 에스케이트리켐 주식회사 실리콘 함유 박막 형성용 전구체, 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112242298B (zh) * 2020-09-14 2022-06-07 北海惠科光电技术有限公司 一种氮化硅薄膜的制作方法、薄膜晶体管和显示面板
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230279545A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR88274E (fr) * 1962-03-30 1967-01-06 Monsanto Co Composés hétérocycliques à noyaux à quatre maillons
US3565934A (en) * 1964-09-10 1971-02-23 Monsanto Co Diazadisiletidines and the preparation thereof
US4310477A (en) * 1977-03-25 1982-01-12 Ford Motor Company Method of making a silicon nitride part
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5874230B2 (ja) * 2010-08-27 2016-03-02 東ソー株式会社 封止膜材料、封止膜及び用途
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
WO2015105350A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel cyclodisilazane derivative, method for preparing the same and silicon-containing thin film using the same
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film

Also Published As

Publication number Publication date
EP3329032A1 (en) 2018-06-06
TWI626329B (zh) 2018-06-11
TWI683024B (zh) 2020-01-21
CN107923040A (zh) 2018-04-17
TW201938833A (zh) 2019-10-01
KR20180034581A (ko) 2018-04-04
JP2018523753A (ja) 2018-08-23
JP6600074B2 (ja) 2019-10-30
KR102245160B1 (ko) 2021-04-26
TW201809338A (zh) 2018-03-16
EP3329032B1 (en) 2022-09-21
CN113403604A (zh) 2021-09-17
WO2017023693A1 (en) 2017-02-09
IL256951A (en) 2018-03-29
IL256951B (en) 2021-12-01
US20190085451A1 (en) 2019-03-21
EP4108803A1 (en) 2022-12-28
US11035039B2 (en) 2021-06-15
CN107923040B (zh) 2021-06-25
TW201712141A (zh) 2017-04-01
WO2017023693A8 (en) 2017-03-30

Similar Documents

Publication Publication Date Title
TWI672392B (zh) 用於沉積矽氮化物膜的組合物及含有其之容器
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
US20210388489A1 (en) Methods for Depositing a Conformal Metal or Metalloid Silicon Nitride Film and Resultant Films
CN111295465B (zh) 用于沉积含硅膜的组合物和方法
CN106367730B (zh) 用于沉积第13族金属或类金属氮化物膜的方法
CN113025992B (zh) 组合物和使用所述组合物沉积含硅膜的方法
TW202321499A (zh) 多層矽氮化物膜