JP2018523753A - 窒化ケイ素膜を堆積するための組成物及び方法 - Google Patents

窒化ケイ素膜を堆積するための組成物及び方法 Download PDF

Info

Publication number
JP2018523753A
JP2018523753A JP2018504170A JP2018504170A JP2018523753A JP 2018523753 A JP2018523753 A JP 2018523753A JP 2018504170 A JP2018504170 A JP 2018504170A JP 2018504170 A JP2018504170 A JP 2018504170A JP 2018523753 A JP2018523753 A JP 2018523753A
Authority
JP
Japan
Prior art keywords
tert
bis
butyl
plasma
amyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018504170A
Other languages
English (en)
Other versions
JP6600074B2 (ja
Inventor
レイ シンチエン
レイ シンチエン
ム−ソン キム
ム−ソン キム
シアオ マンチャオ
シアオ マンチャオ
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2018523753A publication Critical patent/JP2018523753A/ja
Application granted granted Critical
Publication of JP6600074B2 publication Critical patent/JP6600074B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本明細書で説明されるのは、組成物、窒化ケイ素膜、及び少なくとも1つのシクロジシラザン前駆体を使用して窒化ケイ素膜を形成するための方法である。1つの態様において、窒化ケイ素膜を形成する方法であって、反応器中に基材を提供する工程と、炭化水素脱離基及び2つのSi−H基を含む少なくとも1つのシクロジシラザン前駆体を反応器中に導入する工程であって、少なくとも1つのシクロジシラザン前駆体が、基材の表面の少なくとも一部で反応して、化学吸着層を提供する工程と、パージガスで反応器をパージする工程と、窒素を含むプラズマ及び不活性ガスを反応器中に導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが約0.01〜約1.5W/cmの範囲の電力密度で生成される工程とを含む方法が提供される。

Description

この出願は、2015年7月31日に出願された出願第62/199593号の利益を主張する。出願第62/199593号の開示は、参照することにより本明細書に組み込まれる。
本明細書で説明されるのは、シクロジシラザン前駆体を使用して、コンフォーマルな、化学量論的又は非化学量論な窒化ケイ素を堆積するための方法及び組成物である。より具体的には、本明細書で説明されるのは、窒化ケイ素膜を堆積するために使用されるシクロジシラザン前駆体、及びそれを含む組成物を使用した堆積プロセス、例えば、限定されないが、プラズマ原子層堆積(「PEALD」)、プラズマ周期的化学気相堆積(「PECCVD」)である。
低圧化学気相堆積(LPCVD)プロセスは、窒化ケイ素膜の堆積のための、半導体産業で使用される広く受け入れられている方法の1つである。アンモニアを使用した低圧化学気相堆積(LPCVD)は、適度な成長速度及び均一性を得るために、650℃超の堆積温度を要求することがある。より高い堆積温度は、典型的に、改善した膜特性を提供するために用いられる。窒化ケイ素を成長させるためのより一般的な工業的方法の1つは、前駆体としてシラン、ジクロロシラン、及び/又はアンモニアを使用して、750℃超の温度で、高温壁反応器中での低圧化学気相堆積を通じてなされる。しかしながら、この方法を使用するには幾つかの欠点がある。例えば、シランのような特定の前駆体は自然発火性を有する。これは、取扱い及び使用上の問題を示すことがある。また、ジクロロシランから堆積された膜は、いくらかの不純物、例えば、塩素及び塩化アンモニウムを含有することがあり、それは、堆積プロセス中に副産物として形成される。
ビス(3級ブチル)シラン(BTBAS)及びクロロシランのような窒化ケイ素膜を堆積するのに使用される前駆体は、一般的に、550℃超の温度で膜を堆積する。しかしながら、半導体装置の小型化及び低い熱量の傾向は、400℃未満のプロセス温度と、より高い堆積速度とを要求する。特に、金属化層を含むそれらの基材、並びに多くのグループIII−V及びII−Vの装置上のそれらの基材について、格子中でのイオン拡散を防止するために、ケイ素膜が堆積される温度は低減されるべきである。
米国特許出願公開第2013/183835号(「835文献」)では、基材上に低温でコンフォーマルな窒化ケイ素膜を形成するための方法及び装置を記載している。窒化ケイ素層を形成する方法は、その中に基材を有する処理チャンバー中に処理ガス混合物を流す工程であって、その処理ガス混合物が、不安定なケイ素−窒素、ケイ素−炭素、又は窒素−炭素の結合を有する前駆体ガス分子を含む工程と、好ましくは不安定な結合を破壊することによって、約20〜約480℃の温度で前駆体ガスを活性化して、前駆体ガス分子に沿って1つ又は複数の反応サイトを提供する工程と、基材上に前駆体材料層を形成する工程であって、活性化した前駆体ガス分子が、1つ又は複数の反応サイトで基材上の表面と結合する工程と、前駆体材料層上にプラズマ熱プロセスを行って、コンフォーマルな窒化ケイ素層を形成する工程とを含む堆積サイクルを実行することを含む。
米国特許出願公開第2009/075490号(「490文献」)では、反応チャンバーにシリコンウエハを導入すること、反応チャンバーに窒化ケイ素化合物を導入すること、不活性ガスで反応チャンバーをパージすること、及びシリコンウエハ上に窒化ケイ素膜の単分子層の形成に適した条件下で、ガス状の窒素含有共反応剤を反応チャンバーに導入することを含む、窒化ケイ素膜を調製するための方法を記載している。
米国特許出願公開第2009/155606号(「606文献」)では、基材上に窒化ケイ素膜を堆積する周期的方法を記載している。1つの実施形態において、方法は、基材が処理される反応器にクロロシランを供給すること、パージガスを反応器中に供給すること、及びアンモニアプラズマを反応器に提供することを含む。
米国特許第6,391,803号(「803特許」)では、Siを含有する固体の薄膜層を形成する原子層堆積方法を記載している。
米国特許第6,528,430号(「430特許」)では、反応剤としてSi2Cl6及びNH3、又はSi2Cl6及び活性化NH3を用いて、窒化ケイ素の薄膜を形成するためのALD方法を記載している。
米国特許出願公開第2010/0081293号(「293文献」)では、ケイ素前駆体及びラジカル窒素前駆体を堆積チャンバーに導入することを含む、窒化ケイ素を堆積するための方法を記載している。ケイ素前駆体は、N−Si−H結合、N−Si−Si結合、及び/又はSi−Si−H結合を有する。ラジカル窒素前駆体は、含有酸素を実質的に含まない。ラジカル窒素前駆体は、堆積チャンバーの外で生成される。ケイ素前駆体及びラジカル窒素前駆体は相互作用して、窒化ケイ素系誘電体層を形成する。293文献では、Ne、Ar、Kr、及び/又はXeから選択される初期材料から堆積チャンバーの外側で生成することができるラジカル不活性ガス前駆体の使用をさらに教示している。
米国特許出願公開第2012/196048号(「048文献」)では、基材上に前駆体を吸着させるプロセスと、反応ガス及びプラズマを使用して吸着面を処理するプロセスとを、それぞれ、複数回数交互に行うことで薄膜を形成するための方法であって、反応ガスが、基材上に実質的に均一に供給され、プラズマが、パルス時間変調されており、かつ、反応ガスを供給するプロセス中に適用される方法を記載している。
国際公開第2015/105350号では、様々な堆積方法により優れた物理的及び電気的特性を有する高純度のケイ素含有薄膜を形成するための新規のシクロジシラザン誘導体を提供している。
「一連の表面反応を使用したSi34膜の制御された原子層成長(Atomic layer controlled growth of Si34 films using sequential surface reactions)」(Klausら Surface Science 418:L14〜L19(1998))と題した文献では、一連の表面化学反応を使用してSi(100)基材上に原子層の制御を用いてSi34薄膜を堆積するための方法を記載している。Si34膜成長は、2元系反応3SiCl4+4NH3→Si34+12HClを2つの半反応に分けることにより達成される。ABAB…列中のSiCl4及びNH3の半反応を連続的に適用すると、500〜900Kの基材温度で、かつ、1〜10TorrのSiCl4及びNH3反応圧力で、Si34の堆積がもたらされる。
「BTBASからの窒化ケイ素のプラズマ補助ALD:プラズマ照射及び基材温度の影響(Plasma−assisted ALD of Silicon Nitride from BTBAS: Influence of Plasma Exposure and Substrate Temperature)」(12th International Conference on Atomic Layer Deposition.San Diego,CA.Knoopsら(ALD2013))では、N2プラズマと共にBTBAS(ビスアミノシラン)を使用したSi窒化物の堆積を教示している。堆積される膜は、約5%のO及びや約5%の炭素を有している。
上に記載された特許、特許出願及び文献の開示は、参照することにより本明細書に組み込まれる。
したがって、当技術分野において、コンフォーマルな、高品質の窒化ケイ素膜を堆積するための低温(例えば、400℃以下の処理温度)での方法であって、膜が、他の堆積方法又は前駆体を使用した他の窒化ケイ素膜と比べて、以下の特性:2.2グラム毎立法センチメートル(g/cc)以上の密度、低ウェットエッチ速度(希フッ酸(HF)で測定した場合)、及びそれらの組み合わせのうち1つ又は複数を有する方法を提供するための必要性が存在している。
本明細書で説明されるのは、基材の少なくとも一部上に炭素、酸素、又は両方をさらに含むことができる、化学量論的又は非化学量論的な窒化ケイ素膜を形成するための方法である。1つの態様において、本明細書で説明される有機アミノシラン前駆体は、以下の式I:
Figure 2018523753
で示される、炭化水素脱離基及び少なくとも2つのSi−H基を有する少なくとも1つのシクロジシラザンを含み、式中、Rが、分枝状C4〜C10アルキル基から選択され、R1、R2、R3及びR4が、それぞれ独立して、水素原子、直鎖状又は分枝状C1〜C10アルキル基、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、電子求引基、C4〜C10アリール基、及びハライド原子から選択される。式Iの幾つかの実施形態において、R1〜4が全て水素である。他の実施形態において、R1及びR3が水素である。
別の態様において、(a)以下の式I:
Figure 2018523753
で示される、炭化水素脱離基及び少なくとも2つのSi−H基を有するシクロジシラザンであって、式中、Rが、分枝状C4〜C10アルキル基から選択され、R1、R2、R3及びR4が、それぞれ独立して、水素原子、直鎖状又は分枝状C1〜C10アルキル基、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、電子求引基、C4〜C10アリール基、及びハライド原子から選択される少なくとも1つのシクロジシラザンと、(b)溶媒とを含む組成物が提供される。式Iの幾つかの実施形態において、R1〜4が全て水素である。他の実施形態において、R1及びR3が水素である。本明細書で説明される組成物の幾つかの実施形態において、溶媒は、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテル、及びそれらの組み合わせからなる群より選択される少なくとも1つである。幾つかの実施形態において、シクロジシラザンの沸点と溶媒の沸点との間の差は40℃以下である。
1つの態様において、窒化ケイ素膜を形成するための方法であって、
a.反応器中に基材を提供する工程と、
b.以下の式I:
Figure 2018523753
で示される、脱離基及び少なくとも2つのSi−H基を含むシクロジシラザンであって、式中、Rが、それぞれ独立して、分枝状C4〜C10アルキル基から選択され、R1、R2、R3及びR4が、それぞれ独立して、水素原子、直鎖状又は分枝状C1〜C10アルキル基、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、電子求引基、C4〜C10アリール基、及びハライド原子から選択されるシクロジシラザンを反応器中に導入する工程と、
c.パージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さの窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法が提供される。
別の態様において、プラズマ原子層堆積プロセス又はプラズマALD型プロセスから選択される堆積プロセスを使用して、窒化ケイ素膜を形成するための方法であって、
a.反応器中に基材を提供する工程と、
b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される少なくとも1つのシクロジシラザン前駆体を反応器中に導入する工程であって、少なくとも1つのシクロジシラザンが、基材の表面の少なくとも一部上で反応して化学吸着層を提供する工程と、
c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さの窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法が提供される。
更なる態様において、本発明は、基材の少なくとも表面上に窒化ケイ素膜を形成する方法であって、
a.反応器中に基材を提供する工程と、
b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される少なくとも1つのシクロジシラザン前駆体を反応器中に導入する工程であって、少なくとも1つのシクロジシラザンが、基材の表面の少なくとも一部上で反応して化学吸着層を提供する工程と、
c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さの窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法に関する。
本発明の別の態様は、基材の少なくとも表面上に窒化ケイ素膜を形成する方法であって、
a.反応器中に基材を提供する工程と、
b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される少なくとも1つのシクロジシラザン前駆体を反応器中に導入する工程であって、少なくとも1つのシクロジシラザンが、基材の表面の少なくとも一部上で反応して化学吸着層を提供する工程と、
c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さの窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法に関する。
本発明の更なる態様は、1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザンからなる群より選択される少なくとも1つのシクロジシラザン前駆体を含むケイ素含有膜の気相堆積のための組成物に関する。
本発明の別の態様は、ケイ素含有膜の堆積のための、シクロジシラザン前駆体を輸送するために使用されるベッセルであって、
1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザンからなる群より選択されるシクロジシラザン前駆体であって、前駆体の純度が約98%以上であるシクロジシラザン前駆体と、
ヘリウム、アルゴン、窒素及びそれらの組み合わせからなる群より選択される不活性ガスを含む容器のヘッドスペースとを含むベッセルに関する。
本発明の更なる態様は、前述した方法のいずれかで製造されたか又は前述した組成物のいずれかから製造された、2.2g/cc以上の密度を有するケイ素含有膜に関する。
本発明の態様は、単独で又は様々な互いの組み合わせで使用することができる。
例6で記載される方法及びシクロジシラザン前駆体について、Åで測定された堆積窒化ケイ素膜の厚さと、ケイ素前駆体のパルス時間(秒で測定)との間の関係を提供する。 例6で記載される、300℃での窒素プラズマ及びシクロジシラザン前駆体を使用した、サイクル数に対する、Åで測定された窒化ケイ素膜の厚さを提供する。
高品質な膜とみなされる1つ又は複数の基準を満たす、低温、例えば、400℃以下の温度でのコンフォーマルな、化学量論的又は非化学量論的な窒化ケイ素膜の堆積は、長年にわたる産業上の課題であった。高品質な膜を要求するアドバンスドパターニング又はスペーサのような半導体分野における幾つかのアプリケーションがある。窒化ケイ素膜が、他の窒化ケイ素膜と比較して、以下の特性:2.2グラム毎立法センチメートル(g/cc)以上(例えば、約2.2〜約3.0g/cc、約2.4〜約3.0g/cc、幾つかの場合では約2.5〜約2.8g/cc)の密度、低ウェットエッチ速度(以下でより詳細に説明される方法に関連して希フッ酸(DI中の0.5wt%のHF)で測定した場合)、及びそれらの組み合わせのうち1つ又は複数を有する場合に、その窒化ケイ素膜が「高品質な」膜とみなされる。これらの又は他の実施形態において、窒化ケイ素膜についての反射率は、エリプソメーターで測定した場合に、1.9以上(例えば、約1.9〜約2.4、約2.0〜約2.4、幾つかの場合では約2.0〜約2.2)であるべきである。
1つの態様において、本明細書で説明されるのは、(a)1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン、及びそれらの組み合わせからなる群より選択される、式Iを有する少なくとも1つのシクロジシラザン前駆体と、(b)少なくとも1つの溶媒とを含むケイ素含有膜を堆積するための組成物である。本明細書で説明される組成物の幾つかの実施形態において、例示の溶媒としては、限定されないが、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテル、及びそれらの組み合わせを挙げることができる。幾つかの実施形態において、有機アミノジシランの沸点と溶媒の沸点との間の差は40℃以下である。溶媒中のケイ素前駆体化合物のwt%は、1〜99wt%、又は10〜90wt%、又は20〜80wt%、又は30〜70wt%、又は40〜60wt%、又は50〜50wt%で変化させることができる。幾つかの実施形態において、ケイ素含有膜のために、直接液体注入を通じて組成物を反応チャンバー中に輸送することができる。
1つの実施形態において、本明細書で説明されるのは、窒素及び任意選択で希ガスを含むプラズマプロセスにおいて、低温又は約25〜約400℃の範囲の1つ又は複数の堆積温度で、本明細書で説明される式Iを有するシクロジシラザン前駆体を使用した、原子層堆積(ALD)又はALD型法である。
本発明で説明されるのは、基材の少なくとも一部上に窒素及びケイ素を含む化学量論的又は非化学量論的な窒化ケイ素膜を形成するための方法である。幾つかの実施形態において、ケイ素含有膜は、炭窒化ケイ素又はアルミニウム窒化ケイ素膜のように炭素又はアルミニウムをさらに含む。幾つかの実施形態において、窒化ケイ素膜は、酸窒化ケイ素膜のように、酸素をさらに含む。この又は他の実施形態において、窒化ケイ素膜は、XPSで測定して0.1〜30原子%の範囲の酸素含有量と、0.1〜40原子%の範囲の炭素含有量とを含む炭酸窒化ケイ素膜のように、酸素及び酸素をさらに含む。
本明細書で説明される窒化ケイ素膜は、以下の式I:
Figure 2018523753
で示される、脱離基及び少なくとも2つのSi−H基を含むシクロジシラザンであって、式中、Rが、分枝状C4〜C10アルキル基から選択され、R1、R2、R3及びR4が、それぞれ独立して、水素原子、直鎖状又は分枝状C1〜C10アルキル基、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、電子求引基、C4〜C10アリール基、及びハライド原子から選択される少なくとも1つのシクロジシラザンを使用して堆積される。式Iの幾つかの実施形態において、置換基R1〜4が全て水素である。他の実施形態において、R1及びR3が水素である。式Iのまた別の実施形態において、置換基R1〜4の少なくとも1つ又は全てが、ハライド原子(例えば、F、Cl、Br、I)、水素原子、メチル基、又はそれらの組み合わせから選択される。
式Iを有する例示のシクロジシラザン前駆体としては、限定されないが、表1に示される以下の前駆体が挙げられる。
Figure 2018523753
Figure 2018523753
Figure 2018523753
本明細書で説明される式Iを有するシクロジシラザン前駆体は反応性と安定性のバランスを示し、それが、前駆体を半導体装置製造プロセスのためのPEALD又はPECCVD前駆体として理想的に適合するものにしている。反応性に関しては、幾つかの前駆体は、基材上に膜として堆積されるために気化して、反応器に輸送されるには高すぎる沸点(例えば、約200℃超)を有する場合がある。比較的高い沸点を有する前駆体は、輸送の容器及びラインが、その容器、ライン又は両方において濃縮物又は粒子が形成されるのを防ぐために、所与の真空下において前駆体の沸点以上で加熱される必要があることを要求している。重要なことに、式Iを有するシクロジシラザン前駆体は、従来技術で開示されたものより良好な脱離基(すなわち、イソプロピルに対するtert−ブチル)を有し、したがって、少ない炭素含有量(例えば、約5原子%未満、好ましくは約1原子%未満、最も好ましくは0.1原子%未満)を有する窒化ケイ素を堆積することが可能となると考えられる。安定性に関しては、他の前駆体は劣化するため、それらはシラン(SiH4)又はジシラン(Si26)を形成することがある。シランは室温で自然発火性を有するか又は自然燃焼する場合があり、それは安全及び操作の問題を示す。さらに、シラン又はジシラン及び他の副産物の形成は、前駆体の純度レベルを下げ、そして、化学純度の1〜2%程度の小さい変化でも、信頼性のある半導体製造に対しては容認できないとみなされる場合がある。幾つかの実施形態において、本明細書で説明される式Iを有するシクロジシラザン前駆体は、(6か月以上の期間又は1年以上の期間保管された後に)2wt%以下、又は1wt%以下、又は0.5wt%以下の副産物を含み、これは保存可能であることを示す。幾つかの実施形態において、本明細書で説明される式Iを有するシクロジシラザン前駆体は、100ppm以下の塩化物のようなハライド不純物、又は50ppm以下のハライド不純物、又は10ppm以下のハライド不純物を含む。上述した利点に加えて、例えばPEALD又はPECCVD堆積方法を使用して窒化ケイ素を堆積するための幾つかの実施形態において、本明細書で説明されるシクロジシラザン前駆体は、1つ又は複数の堆積温度、例えば、400℃以下、350℃以下、300℃以下、250℃以下、200℃以下、150℃以下、100℃以下、又は50℃以下で高密度の材料を堆積することができる場合がある。
説明を通じて、「アルキル炭化水素」という用語は、直鎖状又は分枝状C6〜C20炭化水素、環状C6〜C20炭化水素を言い表す。例示の炭化水素としては、限定されないが、ヘキサン、ヘプタン、オクタン、ノナン、デカン、ドデカン、シクロオクタン、シクロノナン、シクロデカンが挙げられる。
説明を通じて、「芳香族炭化水素」という用語は、C6〜C20芳香族炭化水素を言い表す。例示の芳香族炭化水素としては、限定されないが、トルエン、メシチレンが挙げられる。
式Iにおいて及び説明を通じて、「環状アルキル」という用語は、3〜10個若しくは4〜10個の炭素原子又は5〜10個の炭素原子を有する環状官能基を示す。例示の環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル、及びシクロオクチル基が挙げられる。
式Iにおいて及び説明を通じて、「アリール」という用語は、5〜12個の炭素原子、又は6〜10個の炭素原子を有する芳香族環状官能基を示す。例示のアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、o−キシリルが挙げられる。
式Iにおいて及び説明を通じて、「アルキル」という用語は、1〜10個又は1〜4個の炭素原子を有する直鎖状又は分枝状官能基を示す。例示のアルキル基としては、限定されないが、メチル、エチル、n−プロピル、イソプロピル、n−ブチル、イソブチル、sec−ブチル、tert−ブチル、n−ペンチル、イソペンチル、tert−ペンチル、ヘキシル、イソヘキシル、及びネオヘキシルが挙げられる。幾つかの実施形態において、アルキル基は、それに結合した1つ又複数の官能基、例えば、限定されないが、それに結合したアルコキシ基、ジアルキルアミノ基、又はそれらの組み合わせを有することができる。他の実施形態において、アルキル基は、それに結合した1つ又は複数の官能基を有さない。
式Iにおいて及び説明を通じて、「アルケニル基」という用語は、1つ又は複数の炭素−炭素二重結合を有し、かつ、2〜10個又は2〜6個又は2〜4個の炭素原子を有する基を示す。例示のアルケニル基としては、限定されないが、ビニル(CH2=CH−)又はアリルが挙げられる。
式Iにおいて及び説明を通じて、「アルキニル基」という用語は、1つ又は複数の炭素−炭素三重結合を有し、かつ、2〜10個又は2〜6個又は2〜4個の炭素原子を有する基を示す。例示のアルキニル基としては、限定されないが、エチニル(アセチルエニル)が挙げられる。
式Iにおいて及び説明を通じて、「ジアルキルアミノ基」という用語は、窒素原子に結合した2つのアルキル基を有し、かつ、1〜10個又は2〜6個又は2〜4個の炭素原子を有する基を示す。例示のジアルキルアミノ基としては、限定されないが、ジメチルアミノ、ジエチルアミノ、及びエチルメチルアミノが挙げられる。
「良好な炭化水素脱離基」又は「炭化水素脱離基」という用語は、本明細書で使用される場合、堆積プロセス中に容易に崩壊して安定な炭化水素ラジカルを形成することができ、したがって、低い炭素含有量(例えば、約1原子%以下の炭素含有量)を有する窒化ケイ素膜をもたらす、窒素原子に結合した炭化水素基を説明している。炭化水素ラジカルの安定性は、ビニルラジカル>ベンジルラジカル>tert−ブチルラジカル>イソプロピルラジカル>メチルラジカルである。良好な炭化水素脱離基又は置換基の例としては、限定されないが、その両方がイソプロピルより良好な脱離基であるtert−ブチル又はtert−アミル基が挙げられる。式Iの幾つかの実施形態において、Rはtert−ブチル又はtert−アミル基から選択される。
説明を通じて、「有機アミン」という用語は、本明細書で使用される場合、少なくとも1つの窒素原子を有する有機化合物を説明する。有機アミンの例としては、限定されないが、メチルアミン、エチルアミン、プロピルアミン、イソプロピルアミン、tert−ブチルアミン、sec−ブチルアミン、tert−アミルアミン、エチレンジアミン、ジメチルアミン、トリメチルアミン、ジエチルアミン、トリエチルアミンが挙げられる。
「電子求引基」という用語は、本明細書で使用される場合、Si−N結合から電子を引き離すために作用する原子又は基を説明する。適切な電子求引基又は置換基の例としては、限定されないが、ニトリル(CN)が挙げられる。幾つかの実施形態において、電子求引置換基は、式Iの任意の1つのNに隣接するか又は隣にあることができる。電子求引基の更なる非限定の例としては、F、Cl、Br、I、CN、NO2、RSO、及び/又はRSO2が挙げられ、式中、RはC1〜C10アルキル基、例えば、限定されないが、メチル基又は別の基であることができる。
説明を通じて、「窒化ケイ素」という用語は、本明細書で使用される場合、化学量論的又は非化学量論的な窒化ケイ素、炭窒化ケイ素、炭酸窒化ケイ素、アルミニウム窒化ケイ素、及びそれらの混合物からなる群より選択されるケイ素及び窒素を含む膜を言い表す。アルミニウム窒化ケイ素については、アルミニウム含有量は0.1〜20原子%の範囲であることができる。
幾つかの実施形態において、式Iにおけるアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノ基、アリール基、及び/又は電子求引基のうち1つ又は複数は、置換されているか、又は例えば水素原子の代わりに置換された1つ又は複数の原子又は原子群を有する。例示の置換基としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素、及びリンが挙げられる。例示の置換された置換基としては、限定されないが、直鎖状又は分枝状C1〜C6フッ素化アルキル基が挙げられる。1つの特定の実施形態において、R1〜R4の少なくとも1つが、直鎖状又は分枝状C1〜C6フッ素化アルキル基である。他の実施形態において、式Iにおけるアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノ基、アリール基、及び/又は電子求引基のうち1つ又は複数は、置換されない。
窒化ケイ素膜又はコーティングを形成するために使用される方法は堆積プロセスである。本明細書で開示される方法のための適切な堆積プロセスの例としては、限定されないが、プラズマALD(PEALD)又はプラズマ周期的CVD(PECCVD)プロセスが挙げられる。本明細書で使用される場合、「化学気相堆積プロセス」という用語は、基材が、基材の表面上で反応する及び/分解する1つ又は複数の揮発性の前駆体にさらされて、所望の堆積をもたらす任意のプロセスを言い表す。本明細書で使用される場合、「原子層堆積プロセス」という用語は、様々な組成の基材上に材料の膜を堆積する、自己制限的な(例えば、各反応サイクルで堆積される膜材料の量が一定である)連続表面化学を言い表す。本明細書で使用される前駆体、反応剤及び源は、時折、「ガス状」と説明されることがあるが、前駆体は、不活性ガスを用いて又は用いずに、直接気化、バブリング又は昇華により反応器中に輸送される液体又は固体のいずれかであることができることが理解される。幾つかの場合において、気化した前駆体はプラズマ生成器を通過することができる。1つの実施形態において、窒化ケイ素膜は、ALDプロセスを使用して堆積される。別の実施形態において、窒化ケイ素膜はCCVDプロセスを使用して堆積される。更なる実施形態において、窒化ケイ素膜は熱CVDプロセスを使用して堆積される。「反応器」という用語は、本明細書で使用される場合、限定されないが、反応チャンバー又は堆積チャンバーが挙げられる。ALD型プロセスは、本明細書では、以下:エリプソメーターで測定した場合に約10%以下(例えば、約1〜約10%、約1〜約5%、及び幾つかの場合は約1〜約3%)の非均一性の割合、1Å/サイクル以上(例えば、約1〜約4Å/サイクル、約1〜約3Å/サイクル、及び幾つかの場合は約1〜約2Å/サイクル)の堆積速度、又はそれらの組み合わせのうち少なくとも1つを有することで示されるように、基材上に、窒化ケイ素又は炭窒化ケイ素のような高コンフォーマルな窒化ケイ素膜を提供する周期的CVDプロセスとして規定される。
幾つかの実施形態において、本明細書で開示される方法は、反応器に導入する前及び/又は最中に前駆体を分離するPEALD又はPECCVD法を使用することで、前駆体の先行反応を防止する。この関係において、PEALD又はPECCVDプロセスのような堆積技術は、窒化ケイ素膜を堆積するために使用される。1つの実施形態において、膜は、1つ又は複数の窒化ケイ素前駆体、窒素含有源、又は他の前駆体若しくは反応剤に基材の表面を交互にさらすことで、PEALDプロセスを通じて堆積される。膜成長は、堆積温度、各前駆体又は反応剤のパルス長、及び表面反応の自己制御により進行する。しかしながら、基材の表面が飽和すると、膜成長は停止する。
幾つかの実施形態において、本明細書で説明される方法は、式Iを有するシクロジシラザン前駆体以外の1つ又は複数の追加のケイ素前駆体をさらに含むことができる。追加の窒化ケイ素前駆体の例としては、限定されないが、モノクロロシラン、ジクロロシラン、ヘキサクロロジシラン、ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、ビス(tertブチルアミノ)シラン、ビス(ジエチルアミノ)シラン、トリス(ジメチルアミノ)シランが挙げられる。
幾つかの実施形態において、堆積方法に応じて、少なくとも1つのシクロジシラザン前駆体を、所定のモル容積、又は約0.1〜約1000マイクロモルで反応器中に導入することができる。この又は他の実施形態において、少なくとも1つのシクロジシラザン前駆体を、所定の時間の間、反応器中に導入することができる。幾つかの実施形態において、その時間の間隔は、約0.001〜約500秒間の範囲である。
幾つかの実施形態において、窒化ケイ素膜はケイ素及び窒素を含む。これらの実施形態において、本明細書で説明される方法を使用して堆積される窒化ケイ素膜は、窒素含有源の存在下で形成される。窒素含有源は、少なくとも1つの窒素含有源の形態で反応器中に導入されることがあるか、又は堆積プロセスで使用される他の前駆体中に偶然存在することがある。適切な窒素含有源のガスとしては、限定されないが、例えば、窒素/アルゴンプラズマを挙げることができる。幾つかの実施形態において、窒素含有源は、約1〜約2000標準立法センチメートル毎分(sccm)、又は約1〜約1000sccmの範囲の流量で、反応器中に導入される窒素/アルゴンプラズマ源ガスを含む。窒素含有源を、約0.1〜約100秒間の範囲である時間、導入することができる。膜がALD又は周期的CVDプロセスにより堆積される実施形態において、前駆体パルスは、0.01秒間超のパルス幅を有することができ、窒素含有源は、0.01秒間未満のパルス幅を有することができ、一方、水パルス幅は、0.01秒間未満のパルス幅を有することができる。また別の実施形態において、パルス間のパージ幅は0秒間程度に小さいことができるか、又はその間のパージなしで連続的にパルス化される。
本明細書で説明される方法において、窒素含有ガス、例えば、限定されないが、窒素及び、任意選択で希ガスを含む窒素含有プラズマは、その場で又は遠隔で生成されることができ、好ましくは、窒素の原子質量(すなわち、28amu)より大きい原子質量を持つ。窒素の原子質量より大きい原子質量を持つ希ガスの存在は、多くの原子窒素ラジカルをもたらすと考えられる。窒素プラズマ源ガスは、約1〜約2000標準立法センチメートル毎分(sccm)、又は約1〜約1000sccmの範囲の流量で、反応器中に導入される。窒素含有プラズマを、約0.1〜約100秒間の範囲である時間、導入することができる。実施形態において、前駆体パルスは、0.01秒間超のパルス幅を有することができ、窒素含有プラズマは、0.01秒間未満のパルス幅を有することができ、一方、水パルス幅は、0.01秒間未満のパルス幅を有することができる。また別の実施形態において、前駆体パルスと窒素プラズマの間のパージ幅は0秒間程度小さいことができる。また別の実施形態において、水素プラズマを用いることができる場合は、希ガスと混合された純粋な水素(H2)を使用して、水素プラズマをその場で又は遠隔で生成することができる。窒素及び希ガスの両方を含有するプラズマ中の希ガスの重量パーセントは、1〜99wt%で変化することができるのに対して、水素及び希ガスの両方を含有するプラズマ中の希ガスの重量パーセントはまた、1〜99wt%で変化することができる。
本明細書で説明される堆積方法は、1つ又は複数のパージガスを含むことができる。未消費の反応剤及び/又は反応副産物をパージするために使用されるパージガスは、前駆体と反応しない不活性ガスである。例示のパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン(Ne)、水素(H2)及びそれらの混合物が挙げられる。幾つかの実施形態において、パージガスとして使用される不活性ガスは希ガスを含む。「希ガス」という用語は、本明細書で使用される場合、周期表の18族にあるガスを意味し、ヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、キセノン(Xe)、クリプトン(Kr)及びそれらの混合物が挙げられる。1つの特定の実施形態において、パージガスとして使用される希ガスはアルゴンを含む。この又は他の実施形態において、Arを含むパージガスは、約10〜約2000sccmの範囲の流量で、約0.1〜約1000秒間、反応器中に供給され、それによって、反応器中に残ることがある副産物及び未反応の前駆体材料をパージする。
得られる窒化ケイ素膜の化学量論的な組成を変えるために、前駆体、窒素含有源、及び/又は他の前駆体、源ガス、及び/又は反応剤を供給するそれぞれの工程は、それらを供給するための時間を変えることで行われることがある。
前駆体、窒素含有源、還元剤、他の前駆体又はそれらの組み合わせの少なくとも1つにエネルギーを適用して、反応を誘発し、かつ、基材上の窒化ケイ素膜又はコーティングを形成する。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、リモートプラズマ法、及びそれらの組み合わせにより供給することができる。幾つかの実施形態において、二次RF周波数源は、基材表面でのプラズマ特性を改質するために使用することができる。堆積にプラズマを用いる実施形態において、プラズマ生成プロセスは、プラズマが反応器内で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外部で生成され反応器に供給されるリモートプラズマ生成プロセスを含むことができる。
シクロジシラザン前駆体を、バブリング、ベーパードロー(vapor draw)、又は直接液体注入(DLI)のような様々な方法において、シングルウエハ又はバッチ式のいずれかで、PEALD又はPECCVD反応器のような反応器チャンバーに送ることができる。1つの実施形態において、液体輸送システムを用いることができる。代替的な実施形態において、複合液体輸送及びフラッシュ気化プロセス、例えば、Shoreview,MNのMSP Corporation製のターボ気化装置を用いることができ、低揮発性材料を容量輸送することが可能となり、それにより、前駆体の熱分解なく再現可能な輸送及び堆積がもたらされる。液体輸送配合物中において、本明細書で説明される前駆体は原液形態で輸送することができるか、又は代替的に、溶媒の配合物又はそれを含む組成物の中で用いることができる。したがって、幾つかの実施形態において、基材上に膜を形成するための所望の最終使用用途において望ましくかつ有利であることができるように、前駆体配合物は、適切な性質の1つ又は複数の溶媒成分を含むことができる。例示の溶媒としては、限定されないが、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテル、及びそれらの組み合わせを挙げることができる。幾つかの実施形態において、有機アミノジシランの沸点と溶媒の沸点との間の差は40℃以下である。溶媒中のケイ素前駆体化合物のwt%は、1〜99wt%、又は10〜90wt%、又は20〜80tw%、又は30〜70wt%、又は40〜60wt%、又は50〜50wt%で変えることができる。幾つかの実施形態において、組成物は、ケイ素含有膜のための反応器チャンバーに、直接液体注入を通じて輸送することができる。
幾つかの実施形態において、前駆体キャニスターから反応チャンバーまで接続するガスラインは、プロセスの要求に応じて1つ又は複数の温度に加熱され、本明細書で説明される式Iを有するシクロジシラザン前駆体の容器は、バブリングのための1つ又は複数の温度に保たれる。他の実施形態において、本明細書で説明される式を有する少なくとも1つの窒化ケイ素前駆体を含む溶液は、直接液体注入のための1つ又は複数の温度に保たれた気化装置に注入される。
アルゴン、希ガス、及び/又は他の不活性ガスの流れは、前駆体パルス中に、反応チャンバーに少なくとも1つのシクロジシラザン前駆体の蒸気を輸送するのを助けるキャリアガスとして用いることができる。幾つかの実施形態において、反応チャンバーのプロセス圧力は約2Torr以下である。別の実施形態において、反応チャンバーのプロセス圧力は約10Torr以下である。
典型的なPEALD又はPECCVD又はPEALD型プロセスにおいて、基材、例えば、限定されないが、酸化ケイ素、炭素ドープ酸化ケイ素、フレキシブル基材、又は金属窒化物基材は、シクロジシラザンが基材の表面上に化学吸着するのを可能にするために、最初に窒化ケイ素前駆体にさらされる反応チャンバーにおけるヒーターステージで加熱される。パージガス、例えば窒素、アルゴン、又は他の不活性ガスは、プロセスチャンバーから未吸着の過剰なシクロジシラザンをパージする。十分なパージを行った後、窒素含有源を反応器チャンバー中に導入して、吸着表面と反応させて、その後別のガスでパージを行い、チャンバーから反応副産物を取り除くことができる。プロセスサイクルは、所望の膜厚を得るために繰り返すことができる。別の実施形態において、真空下でのポンピングを使用して、プロセスチャンバーから未吸着の過剰なシクロジシラザンを取り除くことができ、ポンピング下での十分な排出の後、窒素含有源を反応器チャンバーに導入して、吸着表面と反応させ、別のポンピングパージを行い、チャンバーから反応副産物を取り除くことができる。
1つの態様において、窒化ケイ素膜を形成するための方法であって、
a.反応器中に基材を提供する工程と、
b.以下の式I:
Figure 2018523753
で示される、脱離炭化水素基及び少なくとも2つのSi−H基を含むシクロジシラザンであって、式中、Rが、分枝状C4〜C10アルキル基から選択され、R1、R2、R3及びR4が、それぞれ独立して、水素原子、直鎖状又は分枝状C1〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、電子求引基、C4〜C10アリール基、及びハライド原子から選択される、少なくとも1つのシクロジシラザンを反応器中に導入する工程であって、少なくとも1つのシクロジシラザンが基材の表面の少なくとも一部上で反応して化学吸着層を提供する工程と、
c.パージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さの窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法が提供される。アルゴン、希ガス、及び/又は不活性ガスの流れは、前駆体パルス中に反応チャンバーに少なくとも1つのシクロジシラザン前駆体の蒸気を輸送するのを助けるキャリアガスとして用いることができる。幾つかの実施形態において、反応チャンバーのプロセス圧力は約2Torr以下である。他の実施形態において、反応チャンバーのプロセス圧力は約10Torr以下である。方法の幾つかの実施形態において、水素を含むプラズマを、シクロジシラザンと表面との間の反応から生成された炭化水素を取り除くのを助けるために、工程dの前に挿入することができる。水素を含むプラズマは、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ、及びそれらの混合物からなる群より選択される。幾つかの実施形態において、プラズマ含有源を、少なくとも1つの窒素源の形態で反応器中に導入することがあるか、及び/又は堆積プロセスで使用される他の前駆体中に偶然存在する場合がある。適切な窒素含有源ガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素プラズマ、窒素/水素、窒素/ヘリウム、窒素/アルゴンプラズマ、アンモニアプラズマ、窒素/アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物を挙げることができる。別の実施形態において、プラズマは、水素プラズマ、ヘリウムプラズマ、ネオンプラズマ、アルゴンプラズマ、キセノンプラズマ、水素/ヘリウムプラズマ、水素/アルゴンプラズマ、及びそれらの混合物からなる群より選択される。
別の態様において、プラズマ原子層堆積プロセス又はプラズマALD型プロセスを通じて、窒化ケイ素膜を形成するための方法であって、
a.反応器中に基材を提供する工程と、
b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される、脱離炭化水素基及び少なくとも2つのSi−H基を含む少なくとも1つのシクロジシラザン前駆体を反応器中に導入する工程であって、少なくとも1つのシクロジシラザンが、基材の表面の少なくとも一部上で反応して化学吸着層を提供する工程と、
c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さの窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法が提供される。幾つかの実施形態において、プラズマ含有源を、少なくとも1つの窒素源の形態で反応器中に導入することがあるか、及び/又は堆積プロセスで使用される他の前駆体中に偶然存在する場合がある。適切な窒素含有源ガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素プラズマ、窒素/水素、窒素/ヘリウム、窒素/アルゴンプラズマ、アンモニアプラズマ、窒素/アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物を挙げることができる。別の実施形態において、プラズマは、水素プラズマ、ヘリウムプラズマ、ネオンプラズマ、アルゴンプラズマ、キセノンプラズマ、水素/ヘリウムプラズマ、水素/アルゴンプラズマ、及びそれらの混合物からなる群より選択される。
別の態様において、プラズマ原子層堆積プロセス又はプラズマALD型プロセスを通じて、アルミニウム窒化ケイ素膜を形成するための方法であって、
a.反応器中に基材を提供する工程と、
b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される、脱離炭化水素基及び少なくとも2つのSi−H基を含む少なくとも1つのシクロジシラザン前駆体を反応器中に導入する工程であって、少なくとも1つのシクロジシラザンが、基材の表面の少なくとも一部上で反応して化学吸着層を提供する工程と、
c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで反応器をパージする工程と、
d.反応器中にプラズマ含有源を導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
e.任意選択で、不活性ガスで反応器をパージする工程と、
f.AlCl3、トリメチルアルミニウム(TMA)、トリエチルアルミニウム、トリス(ジメチルアミノ)アルミニウム(TDMAA)、トリス(ジメチルアミノ)アルミニウム(TDMAA)、トリス(ジエチルアミノ)アルミニウム(TDEAA)、及び他の揮発性アルミニウム前駆体からなる群より選択されるアルミニウム前駆体から選択される少なくとも1つのアルミニウム前駆体を反応器中に導入する工程と、
g.不活性ガスで反応器をパージする工程と、
h.プラズマ含有源を反応器中に導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、プラズマが約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
i.任意選択で、不活性ガスで反応器をパージする工程と
を含み、所望の厚さのアルミニウム窒化ケイ素膜が得られるまで工程b〜iが繰り返される方法が提供される。幾つかの実施形態において、工程fの前に工程b〜eを複数回数繰り返して、より低いアルミニウム含有量を含むアルミニウム窒化ケイ素を含むナノラミネート構造を作り出すことができる。この又は別の実施形態において、工程f〜iを複数回繰り返して、より高いアルミニウム含有量を含むアルミニウム窒化ケイ素を含むナノラミネート構造を作り出す。幾つかの実施形態において、プラズマ含有源を、少なくとも1つの窒素源の形態で反応器中に導入することがあるか、及び/又は堆積プロセスで使用される他の前駆体中に偶然存在する場合がある。適切な窒素含有源ガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素プラズマ、窒素/水素、窒素/ヘリウム、窒素/アルゴンプラズマ、アンモニアプラズマ、窒素/アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物を挙げることができる。他の実施形態において、プラズマは、水素プラズマ、ヘリウムプラズマ、ネオンプラズマ、アルゴンプラズマ、キセノンプラズマ、水素/ヘリウムプラズマ、水素/アルゴンプラズマ、及びそれらの混合物からなる群より選択される。
上記の工程は本発明で説明される方法についての1サイクルを規定している。サイクルは、所望の厚さの窒化ケイ素膜が得られるまで繰り返すことができる。この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部の間に)、及びそれらの任意の組み合わせで行うことができる。得られる窒化ケイ素膜の化学量論的な組成を変えるために、前駆体及び酸素含有源を供給するそれぞれの工程は、それらを供給する時間を変更することで行うことができるが、使用可能なケイ素に対して化学量論的な量より少ない窒素を常に使用している。
本明細書で説明される方法の幾つかの実施形態において、工程b〜eは、約0.1〜約500Å、又は約0.1〜約5Å、又は約0.1〜約10Å、又は約0.1〜約50Å、又は約0.1〜約100Åの範囲の厚さを持つ窒化ケイ素膜を提供するために繰り返される。本明細書で説明される方法の1つの特定の実施形態において、水素を含むプラズマを、シクロジシラザンと表面との間の反応から生成された炭化水素を取り除くのを助けるために、工程dの前に挿入することができる。水素を含むプラズマは、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ、及びそれらの混合物からなる群より選択される。
幾つかの実施形態において、得られた窒化ケイ素含有膜又はコーティングは、後堆積処理、例えば、限定されないが、プラズマ処理、化学的処理、紫外線照射、電子ビーム照射、及び/又は膜の1つ又は複数の特性に影響を与える他の処理にさらすことができる。本明細書で説明される方法の1つの特定の実施形態において、水素を含むプラズマを、後堆積処理として、堆積された窒化ケイ素膜に用いて、密度を増加させ、並びにエッチ速度を減らすことができる。水素を含むプラズマは、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ、及びそれらの混合物からなる群より選択される。
幾つかの実施形態において、本明細書で説明される窒化ケイ素含有膜は、6以下の誘電率を有する。これらの又は他の実施形態において、膜は、水銀プローブ技術により測定した場合に約5以下、又は約4以下、又は約3.5以下を有することができる。しかしながら、他の誘電率(例えば、より高い又はより低い誘電率)を有する膜を、膜の所望の最終用途に応じて形成することができると考えられる。本明細書で説明されるシクロジシラザン前駆体及びプロセスを使用して形成されるケイ素含有膜又は窒化ケイ素膜の例は、式Sixyzvwを有し、ここで、Siは、約10〜約50原子wt%の範囲であり、Oは約0〜約10原子wt%の範囲であり、Cは約0〜約20原子wt%の範囲であり、Nは約10〜約75原子wt%又は約10〜約60原子wt%であり、Hは約0〜約10原子wt%であり、式中、x+y+z+v+w=100原子wt%であり、これらは、例えば、X線光電子分光法(XPS)又は二次イオン質量分析法により決定される。
膜が希ガスを含むプラズマを使用して堆積される1つの特定の実施形態において、窒化ケイ素膜は、例えばXPS又は他の方法で決定した場合に、約5〜約50原子wt%の炭素を含む。この特定の実施形態において、窒化ケイ素膜は、約10〜約40原子wt%の範囲のSi、約0〜約5原子wt%の範囲のO、約10〜約75原子wt%又は約10〜約50原子wt%のN、及び約0〜約10原子wt%のHをさらに含み、膜の全体の重量パーセントを足すと100原子重量パーセントになる。
前で述べたように、本明細書で説明される方法は、基材の少なくとも一部に窒化ケイ素膜を堆積するために使用することができる。適切な基材の例としては、限定されないが、ケイ素、SiO2、Si34、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、IGZOのようなフレキシブル基材、有機ポリマー、多孔性有機及び無機材料、銅及びアルミニウムのような金属、及び拡散バリア層、例えば、限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNが挙げられる。膜は、様々なその後の処理プロセス、例えば、化学機械平坦化(CMP)及び異方性エッチングプロセスに適合する。
堆積された膜は、限定されないが、コンピュータチップ、光学デバイス、磁気情報記憶装置、支持材料又は基材上のコーティング、マイクロエレクトロメカニカルシステム(MEMS)、ナノエレクトロメカニカルシステム、薄膜トランジスタ(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO、及び液晶ディスプレイ(LCD)を含む用途を有する。
以下の例は、本明細書で説明される窒化ケイ素膜を堆積するための方法を例示するものであり、本明細書に添付した特許請求の範囲を、いかなる方法を用いて制限することを意図していない。
以下の例において、別段の記載がない限り、中抵抗率(14〜17Ωcm)の単結晶シリコンウエハ基材上に堆積された試料膜から特性を得た。全ての膜の堆積を、シャワーヘッド設計を有し、13.56MHzの直接プラズマを使用するCN−1反応器を使用して得た。典型的なプロセス条件においては、別段の記載がない限り、チャンバー圧力を、約1〜約5torrの範囲の圧力で固定した。追加の不活性ガスを使用してチャンバー圧力を維持した。アルミニウム前駆体を、ベーパードロー(すなわち、アルゴン未使用)を使用して輸送した。使用した典型的なRF電力は、150mmウエハの電極領域にわたって125Wであり、0.7W/cm2の電力密度を提供した。膜の堆積には、熱ALDプロセス及びプラズマALDについて、それぞれ表1及び2に示した工程を含む。表1の工程1〜4は1回のPEALDサイクルを構成し、別段に特定されていない限り、そのサイクルを所望の膜を得るために合計300回繰り返した。
Figure 2018523753
堆積された膜についての反射率(RI)及び厚さを、エリプソメーターを使用して測定した。膜の非均一性を、標準式:%非均一性=((最大厚さ−最小厚さ)/(2×平均(avg)厚さ))を使用して計算した。膜の構造及び組成を、フーリエ変換赤外分光法(FTIR)及びX線光電子分光法(XPS)を使用して分析した。膜についての密度を、X線反射率測定法で測定した。
例1:1,3−ビス(tert−ブチル)シクロジシラザンの合成
不活性雰囲気下において、−40℃で、THF(800mL)及びヘキサン(600mL)中のビス(tert−ブチルアミノ)シラン(BTBAS)(200g、1.14mol、1eq)の撹拌溶液に、ヘキサン(637g、2.28mol、2eq)中の2.5MのBuLi溶液をゆっくり加えて、反応温度を−30℃未満に維持した。反応溶液を周辺温度に加温して、ビス(tert−ブチルアミノ)シランジリチウムアミドとして試薬ビンに収集した。不活性雰囲気下において、−40〜−30℃で、THF(500g)及びヘキサン(500g)の撹拌溶液に、浸漬管を通じて15g/分の速度で、ビス(tert−ブチルアミノ)シランジリチウムアミドの溶液と、ジクロロシランガス(3.6ml/秒、全体で2560ml)とを同時に加えて、溶液中の2つの試薬のモル比を1:1に、温度を−20℃未満に維持した。反応溶液を室温に加温して、固形物をろ過した。溶媒を除去した後、粗製品を減圧蒸留(56〜7℃/7mmHg)により精製し、118.9gの純製品3(51.6%)を得た。BP:181℃;MS:C8222Siについて計算されたm/z;[M],202;実測,187(M−CH3)。
例2:1,3−ビス(tert−ブチル)−2−メチルシクロジシラザンの合成
不活性雰囲気下において、−40℃で、THF(1400g)中のビス(tert−ブチルアミノ)シラン(BTBAS)(200g、1.15mol、1eq)の撹拌溶液に、ヘキサン(639g、2.3mol、2eq)中の2.5MのBuLi溶液をゆっくり加えて、反応温度を−30℃未満に維持した。反応が終わり、反応温度を−40℃まで冷却した後、1237g中のメチルジクロロシラン(137g)の溶液を反応溶液に、−10℃未満の温度を維持できる速度で加えた。反応溶液を室温に加温して、固形物をろ過した。溶媒を除去して、粗製品を減圧蒸留(55℃/4mmHg)で生成して、118.1gの純製品4(47.6%)を得た。MS:C9242Siについて計算されたm/z;[M],216;実測,201(M−CH3)。
例3:1,3−ビス(tert−ブチル)シクロジシラザン及び窒素プラズマを使用したPEALD窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマ、シャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。72℃の温度でベーパードローを使用して、シクロジシラザン前駆体として1,3−ビス(tert−ブチル)シクロジシラザンを反応器中に輸送した。ALDサイクルを表1に示したプロセス工程で構成し、以下のプロセスパラメータを使用した。
a.反応器へのシクロジシラザン前駆体の導入
窒素流:1000sccm
シクロジシラザン前駆体パルス:1秒間
b.不活性ガスによるパージ
窒素流:1000sccm
パージ時間:10秒間
c.窒素プラズマの導入
窒素流:1000sccm
窒素プラズマパルス:125Wのプラズマ電力で10秒間
d.パージ
窒素流:1000sccm
パージ時間:10秒間
工程a〜dを500サイクル繰り返した。堆積された窒化ケイ素の厚さは約145Åであり、これは0.29Å/サイクルの成長速度に相当する。SIMS組成分析では、窒化ケイ素が、Si,36.22原子%;N,52.45原子%;C,1.16原子%;O,2.79原子%,及びH,11.32原子%を有することを示した。
例4:1,3−ビス(tert−ブチル)シクロジシラザン及びアンモニアプラズマを使用したPEALD窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマ、シャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。72℃の温度でベーパードローを使用して、シクロジシラザン前駆体として1,3−ビス(tert−ブチル)シクロジシラザンを反応器中に輸送した。ALDサイクルを表1に示したプロセス工程で構成し、以下のプロセスパラメータを使用した。
a.反応器へのシクロジシラザン前駆体の導入
アルゴン流:1000sccm
シクロジシラザン前駆体パルス:1秒間
b.不活性ガスによるパージ
アルゴン流:1000sccm
パージ時間:10秒間
c.アンモニアプラズマの導入
アルゴン流:1000sccm
アンモニア流:500sccm
プラズマパルス:125Wのプラズマ電力で10秒間
d.パージ
アルゴン流:1000sccm
パージ時間:10秒間
工程a〜dを500サイクル繰り返した。堆積された窒化ケイ素の厚さは約30Åであり、これは0.06Å/サイクルの成長速度に相当する。
例5:1,3−ビス(tert−ブチル)シクロジシラザン及び窒素/アンモニアプラズマを使用したPEALD窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマ、シャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。72℃の温度でベーパードローを使用して、シクロジシラザン前駆体として1,3−ビス(tert−ブチル)シクロジシラザンを反応器中に輸送した。ALDサイクルを表1に示したプロセス工程で構成し、以下のプロセスパラメータを使用した。
a.反応器へのシクロジシラザン前駆体の導入
アルゴン流:1000sccm
シクロジシラザン前駆体パルス:1秒間
b.不活性ガスによるパージ
アルゴン流:1000sccm
パージ時間:10秒間
c.アンモニアプラズマの導入
アルゴン流:1000sccm
アンモニア流:250sccm
窒素流:250sccm
プラズマパルス:125Wのプラズマ電力で10秒間
d.パージ
アルゴン流:1000sccm
パージ時間:10秒間
工程a〜dを500サイクル繰り返した。堆積された窒化ケイ素の厚さは約40Åであり、これは0.08Å/サイクルの成長速度に相当する。
例6:1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン及び窒素プラズマを使用したPEALD窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマ、シャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。80℃の温度でベーパードローを使用して、シクロジシラザン前駆体の1,3−ビス(tert−ブチル)−2−メチルシクロジシラザンを反応器中に輸送した。ALDサイクルを表1に示したプロセス工程を含み、以下のプロセスパラメータを使用した。
a.反応器へのシクロジシラザン前駆体の導入
窒素流:1000sccm
シクロジシラザン前駆体パルス:0.4〜1秒間で可変
b.不活性ガスによるパージ
窒素流:1000sccm
パージ時間:10秒間
c.窒素プラズマの導入
窒素流:1000sccm
窒素プラズマパルス:125Wのプラズマ電力で10秒間
d.パージ
窒素流:1000sccm
パージ時間:10秒間
工程a〜dを500サイクル繰り返した。堆積された窒化ケイ素の厚さは約107〜133Åであった(図1参照)。ここで図1を参照すると、図1は、300℃で窒素プラズマを使用して、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザンのパルス時間に対する堆積された窒化ケイ素の窒化ケイ素膜厚を示し、これは、0.8秒で自己制限の挙動を示している。1秒間のシクロジシラザン前駆体パルスで堆積された窒化ケイ素のSIMS分析は、組成:Si,33.88原子%;N,50.50原子%;C,1.60原子%;O,3.03原子%,及びH,10.98原子%を示した。ここで図2を参照すると、図2は、1秒間のシクロジシラザン前駆体パルスについてのサイクル数に対する窒化ケイ素の厚さを示し、これは、0.26Å/サイクルの成長速度を示している。1秒間のシクロジシラザン前駆体パルスで堆積された窒化ケイ素についての希釈HF中のウェットエッチ速度は、同一エッチ条件下において、熱酸化ケイ素に対して約0.7であり、熱窒化ケイ素に対して2.53であった。
例7:1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン及びアンモニアプラズマを使用したPEALD窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマ、シャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。80℃の温度でベーパードローを使用して、シクロジシラザン前駆体として1,3−ビス(tert−ブチル)−2−メチルシクロジシラザンを反応器中に輸送した。ALDサイクルを表1に示したプロセス工程で構成し、以下のプロセスパラメータを使用した。
a.反応器へのシクロジシラザン前駆体の導入
アルゴン流:1000sccm
シクロジシラザン前駆体パルス:1秒間
b.不活性ガスによるパージ
アルゴン流:1000sccm
パージ時間:10秒間
c.アンモニアプラズマの導入
アルゴン流:1000sccm
アンモニア流:500sccm
プラズマパルス:125Wのプラズマ電力で10秒間
d.パージ
アルゴン流:1000sccm
パージ時間:10秒間
工程a〜dを500サイクル繰り返した。堆積された窒化ケイ素の厚さは約30Åであり、これは0.06Å/サイクルの成長速度に相当する。
本発明を幾つかの実施形態に関連して説明してきたが、本発明の範囲を逸脱することなく、様々な変更を行うことができ、等価物をその要素に対して置換することができることを当業者は理解できるであろう。また、本発明の範囲を逸脱することなく、本発明の教示に特定の状況又は材料を採用するために、多くの変更を行うことができる。したがって、本発明は、本発明を実施するために考えられる最良の形態として開示された特定の実施形態に限定されないが、本発明は、添付の特許請求の範囲に記載の範囲内に含まれる全ての実施形態を包含することが意図される。

Claims (26)

  1. 基材の少なくとも表面上に窒化ケイ素膜を形成するための方法であって、
    a.反応器中に基材を提供する工程と、
    b.脱離炭化水素基及び少なくとも2つのSi−H基を含み、以下の式A:
    Figure 2018523753
    で示されるシクロジシラザン前駆体であって、式中、Rが、分枝状C4〜C10アルキル基から選択され、R1、R2、R3及びR4が、独立して、水素、直鎖状又は分枝状C1〜C10アルキル基、直鎖状又は分枝状C3〜C6アルケニル基、直鎖状又は分枝状C3〜C6アルキニル基、C1〜C6ジアルキルアミノ基、C6〜C10アリール基、電子求引基、C4〜C10アリール基、及びハライド原子から選択されるシクロジシラザン前駆体を前記反応器中に導入する工程であって、前記少なくとも1つのシクロジシラザンが、前記基材の表面の少なくとも一部で反応して、化学吸着層を提供する工程と、
    c.パージガスで前記反応器をパージする工程と、
    d.前記反応器中にプラズマ含有源及び不活性ガスを導入して、前記化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、前記プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
    e.任意選択で、不活性ガスで前記反応器をパージする工程と
    を含み、所望の厚さの前記窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法。
  2. 前記少なくとも1つのシクロジシラザン前駆体が、1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される、請求項1に記載の方法。
  3. 前記プラズマ含有源が、窒素/アルゴンプラズマ、アンモニアプラズマ、窒素/アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択される、請求項1に記載の方法。
  4. 前記窒化ケイ素膜が2.2g/cc以上の密度を有する、請求項1に記載の方法。
  5. 前記方法が、気相堆積プロセスであり、かつ、プラズマ化学気相堆積及びプラズマ周期的化学気相堆積から選択される少なくとも1つからなる群より選択される、請求項1に記載の方法。
  6. 前記方法が、約400℃以下の1つ又は複数の温度で行われる、請求項1に記載の方法。
  7. 前記方法が、約300℃以下の1つ又は複数の温度で行われる、請求項1に記載の方法。
  8. 工程bが前記反応器中に希ガスを導入することをさらに含む、請求項1に記載の方法。
  9. 基材の少なくとも表面上に窒化ケイ素膜を形成するための方法であって、
    a.反応器中に基材を提供する工程と、
    b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される少なくとも1つのシクロジシラザン前駆体を前記反応器中に導入する工程であって、前記少なくとも1つのシクロジシラザンが、前記基材の表面の少なくとも一部で反応して、化学吸着層を提供する工程と、
    c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで前記反応器をパージする工程と、
    d.前記反応器中にプラズマ含有源を導入して、前記化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、前記プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
    e.任意選択で、不活性ガスで前記反応器をパージする工程と
    を含み、所望の厚さの前記窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法。
  10. 前記窒化ケイ素膜が2.2g/cc以上の密度を有する、請求項9に記載の方法。
  11. 前記方法が、プラズマ化学気相堆積及びプラズマ周期的化学気相堆積から選択される少なくとも1つからなる群より選択される気相堆積プロセスである、請求項9に記載の方法。
  12. 前記方法が、約400℃以下の温度で行われる、請求項9に記載の方法。
  13. 前記方法が、約300℃以下の温度で行われる、請求項9に記載の方法。
  14. 前記プラズマ含有源が、窒素/アルゴンプラズマ、アンモニアプラズマ、窒素/アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択される、請求項9に記載の方法。
  15. 工程bが、前記反応器中に希ガスを導入することをさらに含む、請求項9に記載の方法。
  16. 水素を含むプラズマが、工程dの前に挿入されて、前記シクロジシラザンと前記表面との間の反応から生成された炭化水素を除去するのを助けることができる、請求項9に記載の方法。
  17. 前記水素を含むプラズマが、水素プラズマ、水素/ヘリウム、水素/アルゴンプラズマ、水素/ネオンプラズマ、及びそれらの混合物からなる群より選択される、請求項16に記載の方法。
  18. 基材の少なくとも表面上に窒化ケイ素膜を形成するための方法であって、
    a.反応器中に基材を提供する工程と、
    b.1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン及びそれらの組み合わせからなる群より選択される少なくとも1つのシクロジシラザン前駆体を前記反応器中に導入する工程であって、前記少なくとも1つのシクロジシラザンが、前記基材の表面の少なくとも一部で反応して、化学吸着層を提供する工程と、
    c.窒素、希ガス、及びそれらの組み合わせから選択される少なくとも1つを含むパージガスで前記反応器をパージする工程と、
    d.前記反応器中にプラズマ含有源を導入して、前記化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程であって、前記プラズマが、約0.01〜約1.5W/cm2の範囲の電力密度で生成される工程と、
    e.任意選択で、不活性ガスで前記反応器をパージする工程と
    を含み、所望の厚さの前記窒化ケイ素膜が得られるまで工程b〜eが繰り返される方法。
  19. 前記窒化ケイ素膜が2.2g/cc以上の密度を有する、請求項18に記載の方法。
  20. 前記方法が、プラズマ化学気相堆積及びプラズマ周期的化学気相堆積から選択される少なくとも1つからなる群より選択される気相堆積プロセスである、請求項18に記載の方法。
  21. 前記方法が、約400℃以下の温度で行われる、請求項18に記載の方法。
  22. 前記プラズマ含有源が、窒素/アルゴンプラズマ、アンモニアプラズマ、窒素/アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択される、請求項18に記載の方法。
  23. 1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、及び1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザンからなる群より選択される少なくとも1つのシクロジシラザン前駆体を含む、ケイ素含有膜の気相堆積のための組成物。
  24. ケイ素含有膜の堆積のためのシクロジシラザン前駆体を輸送するために使用されるベッセルであって、
    1,3−ビス(tert−ブチル)シクロジシラザン、1,3−ビス(tert−ブチル)−2−メチルシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)シクロジシラザン、1,3−ビス(tert−アミル)−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロシクロジシラザン、1,3−ビス(tert−アミル)−2,4−ジクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2,4,4−トリクロロシクロジシラザン、1,3−ビス(tert−ブチル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−ブチル)−2−クロロ−2−メチルシクロジシラザン、1,3−ビス(tert−アミル)−2−ジメチルシクロジシラザン、1,3−ビス(tert−アミル)−2−クロロ−2−メチル−シクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザン、1,3−ビス(tert−ブチル)−2−ビニルシクロジシラザン、及び1,3−ビス(tert−ブチル)−2−エチニルシクロジシラザンからなる群より選択され、純度が約98%以上であるシクロジシラザン前駆体と、
    ヘリウム、アルゴン、窒素及びそれらの組み合わせからなる群より選択される不活性ガスを含む前記ベッセルのヘッドスペースと
    を含むベッセル。
  25. 前記ベッセルがステンレス鋼で構成されている、請求項24に記載のベッセル。
  26. 請求項1に記載の方法で製造されたケイ素含有膜。
JP2018504170A 2015-07-31 2016-07-28 窒化ケイ素膜を堆積するための組成物及び方法 Active JP6600074B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562199593P 2015-07-31 2015-07-31
US62/199,593 2015-07-31
PCT/US2016/044472 WO2017023693A1 (en) 2015-07-31 2016-07-28 Compositions and methods for depositing silicon nitride films

Publications (2)

Publication Number Publication Date
JP2018523753A true JP2018523753A (ja) 2018-08-23
JP6600074B2 JP6600074B2 (ja) 2019-10-30

Family

ID=56616074

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018504170A Active JP6600074B2 (ja) 2015-07-31 2016-07-28 窒化ケイ素膜を堆積するための組成物及び方法

Country Status (8)

Country Link
US (1) US11035039B2 (ja)
EP (2) EP3329032B1 (ja)
JP (1) JP6600074B2 (ja)
KR (1) KR102245160B1 (ja)
CN (2) CN107923040B (ja)
IL (1) IL256951B (ja)
TW (3) TWI683024B (ja)
WO (1) WO2017023693A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020094894A (ja) * 2018-12-12 2020-06-18 株式会社日立製作所 ナノポア形成方法及び分析方法
JP2022504261A (ja) * 2018-10-03 2022-01-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び窒素を含有する膜を製造するための方法
JP2022545479A (ja) * 2019-08-22 2022-10-27 ディーエヌエフ カンパニー リミテッド 新規なシリルシクロジシラザン化合物およびこれを用いたシリコン含有薄膜の製造方法

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
IL260069B2 (en) * 2015-12-21 2024-02-01 Versum Mat Us Llc Preparations and methods using them for depositing a silicon-containing layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111295465B (zh) * 2017-09-14 2022-12-09 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物和方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20220037144A1 (en) * 2018-09-24 2022-02-03 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7256263B2 (ja) * 2018-10-05 2023-04-11 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の高温原子層堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210052026A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물 및 이를 이용한 박막의 제조 방법
KR20210052027A (ko) 2019-10-31 2021-05-10 솔브레인 주식회사 실리콘 전구체 화합물, 이를 포함하는 박막 및 그 박막의 제조방법
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210064658A (ko) 2019-11-26 2021-06-03 에스케이트리켐 주식회사 실리콘 함유 박막 형성용 전구체, 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112242298B (zh) * 2020-09-14 2022-06-07 北海惠科光电技术有限公司 一种氮化硅薄膜的制作方法、薄膜晶体管和显示面板
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202344707A (zh) * 2022-03-04 2023-11-16 美商恩特葛瑞斯股份有限公司 製備富矽氮化矽膜之方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1121481A (en) * 1962-03-30 1968-07-31 Monsanto Co Production of cyclodisilazanes
US3565934A (en) * 1964-09-10 1971-02-23 Monsanto Co Diazadisiletidines and the preparation thereof
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310477A (en) * 1977-03-25 1982-01-12 Ford Motor Company Method of making a silicon nitride part
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5874230B2 (ja) * 2010-08-27 2016-03-02 東ソー株式会社 封止膜材料、封止膜及び用途
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
WO2015105350A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel cyclodisilazane derivative, method for preparing the same and silicon-containing thin film using the same
TW201535513A (zh) * 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1121481A (en) * 1962-03-30 1968-07-31 Monsanto Co Production of cyclodisilazanes
US3565934A (en) * 1964-09-10 1971-02-23 Monsanto Co Diazadisiletidines and the preparation thereof
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022504261A (ja) * 2018-10-03 2022-01-13 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び窒素を含有する膜を製造するための方法
JP7421551B2 (ja) 2018-10-03 2024-01-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び窒素を含有する膜を製造するための方法
JP2020094894A (ja) * 2018-12-12 2020-06-18 株式会社日立製作所 ナノポア形成方法及び分析方法
JP7174614B2 (ja) 2018-12-12 2022-11-17 株式会社日立製作所 ナノポア形成方法及び分析方法
JP2022545479A (ja) * 2019-08-22 2022-10-27 ディーエヌエフ カンパニー リミテッド 新規なシリルシクロジシラザン化合物およびこれを用いたシリコン含有薄膜の製造方法
JP7337257B2 (ja) 2019-08-22 2023-09-01 ディーエヌエフ カンパニー リミテッド 新規なシリルシクロジシラザン化合物およびこれを用いたシリコン含有薄膜の製造方法

Also Published As

Publication number Publication date
TW201938833A (zh) 2019-10-01
TW201809338A (zh) 2018-03-16
EP3329032B1 (en) 2022-09-21
CN107923040A (zh) 2018-04-17
IL256951A (en) 2018-03-29
TWI672392B (zh) 2019-09-21
TWI683024B (zh) 2020-01-21
EP3329032A1 (en) 2018-06-06
WO2017023693A1 (en) 2017-02-09
TW201712141A (zh) 2017-04-01
IL256951B (en) 2021-12-01
TWI626329B (zh) 2018-06-11
WO2017023693A8 (en) 2017-03-30
JP6600074B2 (ja) 2019-10-30
CN107923040B (zh) 2021-06-25
CN113403604A (zh) 2021-09-17
KR20180034581A (ko) 2018-04-04
US11035039B2 (en) 2021-06-15
KR102245160B1 (ko) 2021-04-26
US20190085451A1 (en) 2019-03-21
EP4108803A1 (en) 2022-12-28

Similar Documents

Publication Publication Date Title
JP6600074B2 (ja) 窒化ケイ素膜を堆積するための組成物及び方法
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP7025534B2 (ja) シリコン含有膜堆積用の組成物及び方法
JP6871161B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
KR102188750B1 (ko) 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191003

R150 Certificate of patent or registration of utility model

Ref document number: 6600074

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250