KR20200130500A - 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들 - Google Patents

붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들 Download PDF

Info

Publication number
KR20200130500A
KR20200130500A KR1020207032231A KR20207032231A KR20200130500A KR 20200130500 A KR20200130500 A KR 20200130500A KR 1020207032231 A KR1020207032231 A KR 1020207032231A KR 20207032231 A KR20207032231 A KR 20207032231A KR 20200130500 A KR20200130500 A KR 20200130500A
Authority
KR
South Korea
Prior art keywords
group
boron
branched
linear
reactor
Prior art date
Application number
KR1020207032231A
Other languages
English (en)
Other versions
KR102434246B1 (ko
Inventor
신지안 레이
무성 김
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20200130500A publication Critical patent/KR20200130500A/ko
Application granted granted Critical
Publication of KR102434246B1 publication Critical patent/KR102434246B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/027Organoboranes and organoborohydrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/022Boron compounds without C-boron linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/02Boron compounds
    • C07F5/05Cyclic compounds having at least one ring containing boron but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본원에는 붕소-함유 막들을 형성시키기 위한, 붕소-함유 전구체 화합물들, 및 이를 포함하는 조성물들 및 방법들이 기술된다. 일 양태에서, 막은 본원에 기술된 하기 화학식 I 또는 하기 화학식 II를 갖는 적어도 하나의 전구체로부터 증착된다:
Figure pat00021

Description

붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들{BORON-CONTAINING COMPOUNDS, COMPOSITIONS, AND METHODS FOR THE DEPOSITION OF BORON CONTAINING FILMS}
본 출원은 2015년 3월 31일에 출원된 미국가특허출원번호 제62/140,570호, 및 2016년 3월 24일에 출원된 미국특허출원번호 제15/079,585호의 이익을 청구하며, 이러한 문헌들의 전체 내용들은 본원에 참고로 포함된다.
본원에는 붕소 함유 막 또는 붕소-도핑된 실리콘 함유 막의 형성을 위한 조성물 및 방법이 기술된다. 보다 상세하게, 본원에는 하나 이상의 증착 온도들에서 화학양론적 또는 비-화학양론적 붕소-함유 막 또는 물질, 또는 붕소-도핑된 실리콘 함유 막의 형성을 위한, 화합물들, 및 이를 포함하는 조성물 및 방법이 기술된다.
붕소 화합물들은 예를 들어, 핀 전계 효과 트랜지스터들(FinFET; fin field effect transistor)의 제작 또는 반도체 산업에서의 다른 적용에서 요구된다. 지금까지는, 붕소 전구체들, 예를 들어, 붕소 할라이드들(예를 들어, BCl3), 트리알킬보란, 또는 붕소 알콕사이드 전구체들이 붕소 도핑된 막들에 대하여 사용되고 있다.
문헌["Silyl-amino boron compounds", Burg, A. B. and et al., J. Am. Chem. Soc., Vol. 72: pp. 3103-3107]에는 다양한 붕소 화합물들의 형성이 기재되어 있다.
미국특허번호 제8288292호 및 미국특허번호 제8479683호에는 로딩 효과(loading effect) 없이 콘포말한 층(conformal layer)을 형성시키는 붕소 니트라이드 또는 붕소 카본 니트라이드 유전체를 형성시키는 방법이 기재되어 있다. 유전체 층(dielectric layer)은 붕소-함유 막의 화학적 증기 증착(CVD)에 의해 기판 상에 형성되며, 이러한 증착 중 적어도 일부는 플라즈마 없이 수행되고, 이후에, 증착된 붕소-함유 막을 플라즈마에 노출된다. CVD 구성요소(component)는 로딩 효과 없이 콘포말한 막을 형성시키는, 증착 공정의 가장 중요한 특징이 된다. 유전체는 애시(ash)화 가능하고, 주변 물질들에 영향을 미치지 않으면서 수소 플라즈마로 제거될 수 있다. 유전체는 다른 프론트 엔드 스페이서(front end spacer) 또는 하드 마스크(hard mask) 물질들, 예를 들어, 실리콘 옥사이드 또는 실리콘 니트라이드와 비교하여, 훨씬 더 낮은 습식 에칭률(wet etch rate)을 가지고, 실리콘 니트라이드에 비해 훨씬 낮은, 비교적 낮은 유전 상수(dielectric constant)를 갖는다.
미국공개번호 제2013/052836A호에는 하기 단계들을 규정된 횟수로 교대로 수행함으로써 기판 상에 규정된 조성 및 규정된 막 두께를 갖는 절연 막을 형성시키는 것을 포함하는, 반도체 디바이스를 제작하는 방법으로서, 가공 챔버에서 기판에 클로로실란-기반 공급원 및 아미노실란-기반 공급원의 공급원들 중 하나를 공급하는 단계, 및 이후에, 다른 공급원을 공급하여 기판 상에 실리콘, 질소 및 탄소를 함유한 제1 층을 형성시키는 단계; 및 가공 챔버에서, 기판에 공급원들 각각과 상이한 반응성 가스를 공급하여 제1 층을 개질시키고 제2 층을 형성시키는 단계를 포함하는, 방법이 기재되어 있다.
문헌["Transition Metal-Catalyzed Formation of Boron-Nitrogen Bonds: Catalytic Dehydrocoupling of Amine-Borane Adducts to Form Aminoboranes and Borazines" J. Am. Chem. Soc. 125(31): 9424-9434]에는 예비촉매들로서 후 전이금속 착물들(late transition metal complexes)을 사용하여 1차 또는 2차 아민-보란 부가물들 중 어느 하나로부터의 아미노보란들 및 보라진 유도체들을 제조하는 방법이 기재되어 있다.
미국특허번호 제8,753,717호에는 가공 용기(processing container)에 붕소 함유 가스, 니트라이드화 가스(nitriding gas), 실란-기반 가스, 및 탄화수소 가스를 공급함으로써 가공 타겟(processing target)의 표면 상에 붕소, 질소, 실리콘, 및 탄소를 포함하는 박막을 형성시키기 위한 막 형성 방법으로서, 가공 타겟이 진공 흡입되도록 수용되고, 붕소-함유 가스 및 니트라이드화 가스를 교대로 그리고 간헐적으로 공급하는 사이클을 1회 이상 수행함으로써 붕소 니트라이드(BN) 막을 형성시키는 제1 공정; 및 실란-기반 가스, 탄화수소 가스, 및 니트라이드화 가스를 간헐적으로 공급하는 사이클을 1회 이상 수행함으로써 실리콘 카보니트라이드(SiCN) 막을 형성시키는 제2 공정을 포함하는 방법이 기재되어 있다.
미국공개번호 제2013/239893호에는 진공-배기 가능한 가공 챔버(vacuum-evacumable processing chamber)에서 처리되는 적어도 하나의 타겟 물체 상에서 붕소-함유 니트라이드 막 형성 공정 또는 비-붕소-함유 니트라이드 막 형성 공정을 선택적으로 수행할 수 있는, 막 형성 장치를 안정화시키는 방법으로서, 붕소-함유 니트라이드 막 형성 공정 후에 비-붕소-함유 니트라이드 막 형성 공정을 수행할 때, 붕소-함유 니트라이드 막 형성 공정과 비-붕소-함유 니트라이드 막 형성 공정 사이에, 산소-함유 가스 분위기 하에서 가공 챔버의 내부를 가열시키기 위해 열 안정화 공정을 수행하는 것을 포함하는 방법이 기재되어 있다.
미국특허번호 US6815350호 및 US6962876호에는 웨이퍼를 포함한 챔버에 제1 반응성 물질 및 제2 반응성 물질을 공급하는 단계로서, 제1 반응성 물질 및 제2 반응성 물질이 웨이퍼의 표면 상에 흡착되는 단계, 미반응된 채로 잔류하는 제1 반응성 물질 및 제2 반응성 물질을 퍼징시키기 위해 챔버에 제1 가스를 공급하는 단계, 제1 및 제2 반응성 물질들과 제3 반응성 물질 간의 반응을 야기시켜 박막 단일층을 형성시키기 위해 챔버에 제3 반응성 물질을 공급하는 단계, 반응되지 않은 채로 잔류하는 제3 반응성 물질 및 부산물을 퍼징시키기 위해 제2 가스를 공급하는 단계, 및 웨이퍼 상에 사전결정된 두께를 지닌 삼원 박막을 형성시키도록 사전결정된 수로 박막 단일층을 형성시키기 위해 상기 단계들을 반복하는 단계를 포함하는, 원자층 증착(ALD) 공정을 이용하여 삼원 박막을 형성시키는 방법이 기재되어 있다. 바람직하게, 삼원 박막은 실리콘 보로니트라이드(SiBN) 막이다.
미국특허번호 제7633125호에는 고전압 반도체들에서의 실리콘 붕소 니트라이드의 집적화(integration)가 기재되어 있다. 일 예에서, 마이크로전자 장치는 그 위에 집적 회로의 트랜지스터들이 형성된 반도체 기판, 반도체 기판 상에 형성된 복수의 트랜지스터 게이트들, 게이트들 사이에 배치된 게이트 스페이서 유전체, 및 게이트들 및 게이트 스페이서 유전체 상에 배치된 콘택트 에치 스톱 유전체(contact etch stop dielectric)를 포함하며, 콘택트 에치 스톱 유전체는 고전압 적용들에서 콘택트 에치 스톱 유전체의 파괴를 감소시키기 위해 SiBN을 포함한다.
미국특허번호 제8324014호에는 화학적 증기 증착(CVD) 또는 물리적 증기 증착(PVD)에 의해 일반 구조 R1R2R3B (R1은 H, C1-5-알킬, 아릴, 알킬아미드, -OH, C1-5-알콕시이며; R2 및 R3은 붕소를 함유하고, C1-5-알킬, 아릴, 알킬아미드 및 C1-5-알콕시(특히, 트리-Me 보레이트 또는 트리-이소-Pr 보레이트)로 임의적으로 치환된, 포화된 6원 헤테로시클릭 화합물(예를 들어, 3개의 B 원자들 및 3개의 N 원자들을 함유함)을 포함할 수 있는 기임)의 붕소 화합물 전구체를 사용하여 기판 상에 붕소 화합물들의 증착이 기재되어 있다. 상세하게, 화합물들은 헥사-치환된 보라진들, 1,3,5-트리-치환된 보라진들, 또는 2,4,6-트리치환된 보라진들(특히, 1,3,5-트리메틸보라진)일 수 있다. 붕소는 태양 전지 층들을 위한 도펀트들로서 작용할 수 있는 첨가된 물질의 존재 하에서 증착될 수 있다.
미국특허번호 제3031503호에는 150 내지 180°에서 반응식: 2 R2NH + B4H10 → 2 R2NB2H5 + H2에 따라 테트라보란들로부터 아미노보란 화합물들의 제조가 기재되어 있다.
미국특허번호 제8679958호에는 원자층 증착 공정들에 의한, 도핑된 실리콘 옥사이드 막들과 같은, 도펀트 막들의 증착이 기재되어 있다. 일부 구체예들에서, 실리콘 전구체 및 도펀트 전구체가 기판 표면 상에 흡착하도록, 반응 공간에서 기판은 실리콘 전구체 및 도펀트 전구체의 펄스들과 접촉된다. 산소 플라즈마는 흡착된 실리콘 전구체 및 도펀트 전구체를 도핑된 실리콘 옥사이드로 변환시키기 위해 사용된다.
상기 특허들, 출원들 및 문헌의 내용은 본원에 참고로 포함된다.
이에 따라, 화학적 증기 증착(CVD), 원자층 증착(ALD), 또는 플라즈마 강화 원자층 증착(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정에서 하나 이상의 붕소 화합물을 사용하여 붕소-함유 막 또는 붕소-도핑된 실리콘 함유 막을 형성시키는 공정을 개발하는 것이 여전히 요구되고 있다.
본원에는 플라즈마 강화 ALD, 플라즈마 강화 시클릭 화학적 증기 증착(PECCVD), 플라즈마 강화 ALD-유사 공정, 또는 ALD 공정에서, 하나 이상의 증착 온도들에서, 화학양론적 또는 비화학양론적 붕소 함유 물질, 막 또는 붕소-도핑된 실리콘 함유 막 또는 물질, 예를 들어, 비제한적으로, 붕소 옥사이드, 붕소 니트라이드, 붕소 카복사이드, 붕소 카보니트라이드, 붕소 도핑된 실리콘 옥사이드, 붕소 도핑된 실리콘 옥시니트라이드 막, 탄소 도핑된 실리콘 니트라이드 막의 증착을 위한, 전구체 화합물들, 이를 포함하는 조성물들, 및 방법들이 기술된다. 붕소-도핑된 실리콘 막들 이외에, 화합물들, 이를 포함하는 조성물들, 및 방법들이 다른 금속성 또는 비-금속성 물질들에 붕소를 도핑하거나 도입하기 위해 사용될 수 있는 것이 예상된다.
일 양태에서, 하기 화학식 I 또는 하기 화학식 II를 갖는 붕소-함유 전구체가 제공된다:
Figure pat00001
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다. 화학식 I 또는 화학식 II의 특정 구체예들에서, R1 및 R2는 함께 연결되어 고리를 형성한다. 하나의 특정 구체예에서, R1 및 R2는 선형 또는 분지형 C3 내지 C6 알킬 기로부터 선택되고, 연결되어 환형 고리를 형성한다. 화학식 I 또는 화학식 II의 대안적인 구체예들에서, R1 및 R2는 고리를 형성시키기 위해 함께 연결되지 않는다. 다른 구체예들에서, R1 및 R2는 상이하다.
다른 양태에서, 붕소-함유 전구체는 화학식 I을 갖는 오가노아미노보란을 포함한다. 예시적인 화합물들은 디-이소-프로필아미노보란, 디-2차-부틸아미노보란, N-에틸-사이클로헥실아미노보란, N-메틸-사이클로헥실아미노보란, N-이소-프로필-사이클로헥실아미노보란, 페닐메틸아미노보란, 페닐에틸아미노보란, 및 피페리디노보란을 포함하지만, 이로 제한되지 않는다. 화학식 I의 특정 구체예들에서, 오가노아미노보란은 R1 및 R2가 부피가 크거나 입체적으로 방해되지 않는 알킬 기, 예를 들어, 비제한적으로, 메틸, 에틸, 피롤리디노, 및 피페리디노일 때, 액체상 또는 가스상 중 어느 하나인 다이머 화합물, 예를 들어, [Me2NBH2]2일 수 있다. 화학식 I의 특정의 바람직한 구체예들에서, 오가노아미노보란은 R1 및 R2가 부피가 큰, 입체적으로 방해된 알킬 기들, 예를 들어, 이소-프로필, 3차-부틸, 및 3차-펜틸일 때의 모노머이다.
또 다른 양태에서, 붕소-함유 전구체는 화학식 II를 갖는 오가노아미노디보란을 포함한다. 예시적인 화합물들은 디-이소-프로필아미노디보란, 디-2차-부틸아미노디보란, 및 2,6-디메틸피페리디노디보란을 포함하지만, 이로 제한되지 않는다.
다른 양태에서, 본원에는 하기 화학식 I 또는 화학식 II를 갖는 붕소-함유 전구체; 및 용매를 포함하는 조성물이 기술된다:
Figure pat00002
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다. 조성물의 일 구체예에서, 용매는 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르, 및 이들의 조합들로 이루어진 군으로부터 선택된 적어도 하나이다.
다른 추가의 양태에서, 기판의 적어도 표면 상에 붕소-함유 막을 증착시키는 방법으로서,
반응기에 기판을 제공하는 단계;
화학적 증기 증착 및 원자층 증착 공정으로부터 선택된 증착 공정에서 하기 화학식 I 및 하기 화학식 II를 갖는 화합물로부터 선택된 붕소-함유 전구체를 사용하여 표면 상에 붕소-함유 막을 형성시키는 단계를 포함하는 방법이 제공된다:
Figure pat00003
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다.
본 발명의 다양한 양태들은 단독으로 또는 서로 조합하여 사용될 수 있다.
도 1은 붕소 니트라이드 두께 대 실시예 1에 기술된 바와 같은 디-2차-부틸아미노보란(DSBAB) 펄스 시간을 제공하는 것으로서, 이는 DSBAB가 약 1초에서 ALD 자가-제한(self-limiting)에 도달함을 나타낸다.
도 2는 붕소 니트라이드 두께 대 실시예 1에 기술된 바와 같은 N2 플라즈마 펄스 시간을 제공하는 것으로서, 이는 N2 플라즈마가 약 10초에서 ALD 자가-제한에 도달함을 나타낸다.
도 3은 실시예 1에 기술된 바와 같이 붕소 전구체로서 DSBAB를 사용하고 질소-함유 공급원으로서 질소 플라즈마를 사용한, 붕소 니트라이드 두께 대 기판 온도들을 제공하는 것으로서, 이는 DSBAB가 ALD 공정들에서 사용하기에 적합함을 나타낸다.
도 4는 실시예 3에 기술된 바와 같이 디-2차-부틸아미노보란(DSBAB), 테트라키스(디메틸아미노)티탄(TDMAT) 및 질소-함유 공급원으로서 질소 플라즈마로부터 증착된 붕소 도핑된 티탄 니트라이드의 저항률을 제공한다.
도 5는 실시예 3에 기술된 바와 같이 디-2차-부틸아미노보란(DSBAB), 테트라키스(디메틸아미노)티탄(TDMAT), 및 질소-함유 공급원으로서 질소 플라즈마로부터 증착된 붕소 도핑된 티탄 니트라이드 니트라이드의 투과 전자 현미경(TEM; transmission electron microscope) 이미지를 제공하는 것으로서, 이는 상단에서 중간 및 하단까지 100%인, 우수한 단차 피복성(step coverage)을 나타낸다.
본원에는 하나 이상의 온도들, 실온(예를 들어, 약 25℃) 내지 약 1000℃, 또는 실온 내지 약 400℃, 또는 실온 내지 약 300℃, 또는 실온 내지 약 200℃, 또는 실온 내지 약 100℃에서, 비제한적으로, 실리콘 옥사이드, 탄소-도핑된 실리콘 옥사이드 막, 실리콘 옥시니트라이드, 탄소-도핑된 실리콘 옥시니트라이드, 금속 니트라이드 막들 또는 이들의 조합들과 같은, 붕소를 포함하는 화학양론적 또는 비화학양론적 막 또는 물질의 형성과 관련된 방법들이 기술된다. 본원에 기술된 막들은 증착 공정, 예를 들어, 화학적 증기 증착(CVD) 공정, 원자층 증착(ALD)에서 또는 ALD-유사 공정, 예를 들어, 비제한적으로, 플라즈마 강화 ALD 또는 플라즈마 강화 시클릭 화학적 증기 증착 공정(CCVD)에서 증착된다.
일 구체예에서, 본원에 기술된 붕소-함유 전구체는 하기 화학식 I 또는 하기 화학식 II 중 어느 하나를 갖는 화합물이다:
Figure pat00004
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다.
특정 구체예들에서, 화학식 I 또는 화학식 II에서 치환체 R1 및 치환체 R2는 고리 구조를 형성시키기 위해 함께 연결될 수 있다. 당업자가 이해하는 바와 같이, R1 및 R2가 고리를 형성시키기 위해 함께 연결되는 경우에, R1은 R2에 연결시키기 위한 결합을 포함할 것이며, R2는 R1에 연결시키기 위한 결합을 포함할 것이다. 이러한 구체예들에서, 고리 구조는 불포화될 수 있고, 예를 들어, 시클릭 알킬 고리일 수 있거나, 포화될 수 있고, 예를 들어, 아릴 고리일 수 있다. 추가로, 이러한 구체예들에서, 고리 구조는 또한 하나 이상의 원자들 또는 기들로 치환되거나 비치환될 수 있다. 예시적인 시클릭 고리 기들은 피롤리디노, 피페리디노, 및 2,6-디메틸피페리디노 기들을 포함하지만, 이로 제한되지 않는다. 화학식 I의 특정 구체예들에서, 오가노아미노보란은 R1 및 R2가 부피가 크지 않은 알킬들, 예를 들어, 메틸 또는 에틸 또는 피롤리디노 또는 피페리디노인 경우 액체상 또는 가스상 중 어느 하나인 [Me2NBH2]2와 같은 다이머(dimeric)일 수 있다. 그러나, 다른 구체예들에서, 치환체 R1 및 R2는 고리 구조를 형성시키도록 연결되지 않는다.
다른 구체예들에서, R1 및 R2는 상이하다.
다른 구체예들에서, 오가노아미노보란은 R1 및 R2가 부피가 보다 작은 알킬들, 예를 들어, 메틸, 에틸, 피롤리디노 또는 피페리디노일 때 다이머일 수 있다.
화학식 I의 특정의 바람직한 구체예들에서, 오가노아미노보란은 R1 및 R2가 부피가 큰 알킬들, 예를 들어, 이소-프로필, 3차-부틸, 3차-펜틸일 때 모노머이다.
본원에 기술된 화학식 I 또는 화학식 II 중 어느 하나를 갖는 예시적인 붕소-함유 전구체 화합물들은 하기의 구조들에서 나타낸 바와 같은, 디메틸아미노보란, 디에틸아미노보란, 에틸메틸아미노보란, 디-이소-프로필아미노보란, 디-2차-부틸아미노보란, N-에틸-사이클로헥실아미노보란, N-메틸-사이클로헥실아미노보란, N-이소-프로필-사이클로헥실아미노보란, 페닐메틸아미노보란, 페닐에틸아미노보란, 피페리디노보란, 2,6-디메틸피페리디노보란, 2,2,6,6-테트라메틸피페리디노보란, 디-이소-프로필아미노디보란, 디-2차-부틸아미노디보란, 및 2,6-디메틸피페리디노디보란을 포함하지만, 이로 제한되지 않는다:
Figure pat00005
Figure pat00006
Figure pat00007
상기 화학식들에서 그리고 명세서 전반에 걸쳐, 용어 "알킬"은 1개 내지 10개, 또는 1개 내지 6개의 탄소 원자들을 갖는 선형 또는 분지형 작용기를 의미한다. 예시적인 선형 알킬 기들은 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기들을 포함하지만, 이로 제한되지 않는다. 예시적인 분지형 알킬 기들은 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소-헥실, 및 네오-헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예들에서, 알킬 기는 알킬 기에 부착된 하나 이상의 작용기들, 예를 들어, 비제한적으로, 알킬 기에 부착된, 알콕시 기, 디알킬아미노 기 또는 이들의 조합들을 가질 수 있다. 다른 구체예들에서, 알킬 기는 알킬 기에 부착된 하나 이상의 작용기들을 가지지 않는다. 알킬 기는 포화될 수 있거나, 대안적으로, 불포화될 수 있다. 알킬 기는 또한, 치환될 수 있거나 하나 이상의 헤테로원자들, 예를 들어, 할라이드 또는 O를 가질 수 있거나, 불포화될 수 있다.
상기 화학식들에서 그리고 명세서 전반에 걸쳐, 용어 "시클릭 알킬"은 4개 내지 10개의 탄소 원자들을 갖는 시클릭 작용기를 의미한다. 예시적인 시클릭 알킬 기들은 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기들을 포함하지만, 이로 제한되지 않는다.
상기 화학식들에서 그리고 명세서 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합들을 가지고 2개 내지 10개, 또는 2개 내지 10개, 또는 2개 내지 6개의 탄소 원자들을 갖는 기를 의미한다.
상기 화학식들에서 그리고 명세서 전반에 걸쳐, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합들을 가지고 3개 내지 10개, 또는 2개 내지 10개, 또는 2개 내지 6개의 탄소 원자들을 갖는 기를 의미한다.
상기 화학식들에서 그리고 명세서 전반에 걸쳐, 용어 "아릴"은 4개 내지 10개의 탄소 원자들, 5개 내지 10개의 탄소 원자들, 또는 6개 내지 10개의 탄소 원자들을 갖는 방향족 시클릭 작용기를 의미한다. 예시적인 아릴 기들은 페닐, 벤질, 클로로벤질, 톨릴, o-자일릴, 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐, 피리다지닐, 피리미디닐, 피라지닐, 및 이미다졸릴을 포함하지만, 이로 제한되지 않는다.
상기 화학식들에서 그리고 명세서 전반에 걸쳐, 용어 "아미노"는 HNR2R3의 화학식을 갖는 오가노아민들로부터 유도된 1개 내지 10개의 탄소 원자들을 갖는 오가노아미노 기를 의미한다. 예시적인 아미노 기들은 2차 아민들로부터 유도된 2차 아미노 기들, 예를 들어, 디메틸아미노(Me2N-), 디에틸아미노(Et2N-), 에틸메틸아미노(EtMeN-), 디-이소-프로필아미노(iPr2N-); 1차 아민들로부터 유도된 1차 아미노 기들, 예를 들어, 메틸아미노(MeNH-), 에틸아민(EtNH-), 이소-프로필아미노(iPrNH-), 2차-부틸아미노(sBuNH-), 3차-부틸아미노(tBuNH-), 3차-펜틸아미노(tAmNH-), 바람직하게, 부피가 큰 1차 아민들, 예를 들어, 3차-부틸아민 또는 3차-펜틸아민을 포함하지만, 이로 제한되지 않는다.
본원에 기술된 붕소-함유 전구체 화합물들, 예를 들어, 비제한적으로, 디-이소-프로필아미노보란(DIPAB) 또는 디-2차-부틸아미노보란(DSBAB)은 문헌[Jaska, C. A., Temple, K., Lough, A. J. and Manners, I "Transition Metal-Catalyzed Formation of Boron-Nitrogen Bonds: Catalytic Dehydrocoupling of Amine-Borane Adducts to form Aminoboranes and Borazines." J. Am. Chem. Soc. 125, 9424 (2003)]에 기술된 방법에 따라 제조될 수 있으며, 이러한 문헌은 본원에 참고로 포함된다. 그러나, 다른 합성 방법들은 이러한 화합물들을 제조하기 위해 사용될 수 있다.
또한, 본원에는 (a) 하기 화학식 I 및 하기 화학식 II로 표현되는 붕소-함유 전구체; 및 (b) 용매를 포함하는 조성물이 기술된다:
Figure pat00008
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다. 본원에 기술된 조성물의 특정 구체예들에서, 예시적인 용매들은 비제한적으로, 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르, 및 이들의 조합들을 포함할 수 있다. 특정 구체예들에서, 오가노아미노디실란의 비등점과 용매의 비등점 간의 차이는 40℃ 또는 그 미만이다. 일부 용매가 저장 또는 배달 동안 액체상 또는 심지어 가스상 중 어느 하나에서 오가노아미노보란을 안정화시키는데 도움을 줄 수 있는 것으로 여겨진다.
다른 양태에서, 기판의 적어도 하나의 표면 상에 붕소-함유 막을 형성시키는 방법으로서,
반응 챔버에 기판의 적어도 하나의 표면을 제공하고; 하기 화학식 I 및 하기 화학식 II로 표현되는 붕소-함유 전구체를 사용하여 화학적 증기 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해 적어도 하나의 표면 상에 붕소-함유 막을 형성시키는 것을 포함하는 방법이 제공된다:
Figure pat00009
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다.
다른 양태에서, 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 옥사이드 또는 붕소 카복사이드 막을 형성시키는 방법으로서,
(a) 반응기에 기판을 제공하는 단계;
(b) 반응기에, 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
(c) 반응기를 퍼지 가스(purge gas)로 퍼징하는 단계;
(d) 적어도 하나의 표면 상에 막을 증착시키기 위해 산소-함유 공급원을 제공하는 단계;
(e) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (e)가 반복되는 방법이 제공된다:
Figure pat00010
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다.
특정의 일 구체예에서, 증착시키는 단계는 약 실온 내지 약 1000℃, 또는 실온 내지 약 400℃, 또는 실온 내지 약 300℃, 또는 실온 내지 약 200℃, 또는 실온 내지 약 100℃ 범위의 하나 이상의 온도들에서 수행된다.
다른 양태에서, 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 도핑된 실리콘 옥사이드, 붕소 도핑된 실리콘 카복사이드 막을 형성시키는 방법으로서,
(a) 반응기에 기판을 제공하는 단계;
(b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
(c) 반응기를 퍼지 가스로 퍼징하는 단계;
(d) 적어도 하나의 표면 상에 막을 증착시키기 위해 산소-함유 공급원을 제공하는 단계;
(e) 반응기를 퍼지 가스로 퍼징하는 단계;
(f) 반응기에 적어도 하나의 실리콘-함유 공급원을 도입하는 단계;
(g) 반응기를 퍼지 가스로 퍼징하는 단계;
(h) 적어도 하나의 표면 상에 막을 증착시키기 위해 산소-함유 공급원을 제공하는 단계;
(i) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (i)가 반복되는 방법이 제공된다:
Figure pat00011
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다. 일부 구체예들에서, 단계 (b) 내지 단계 (e)가 반복되며, 이후에, 단계 (f) 내지 단계 (i)가 반복되어, 붕소 옥사이드 및 실리콘 옥사이드로 이루어진 나노라미네이트 층(nanolaminate layer)을 증착시킨다. 다른 구체예들에서, 단계 (f) 내지 단계 (i)가 수행되고 반복될 수 있고, 이후에, 단계 (b) 내지 단계 (e)가 반복된다. 나노라미네이트에 대하여, 실리콘 옥사이드의 두께는 1Å 내지 5000Å, 10Å 내지 2000Å, 50Å 내지 1500Å, 50Å 내지 1000Å, 50Å 내지 500Å의 범위일 수 있으며, 붕소 옥사이드의 두께는 1Å 내지 5000Å, 10Å 내지 2000Å, 50Å 내지 1500Å, 50Å 내지 1000Å, 50Å 내지 500Å의 범위이다. 특정의 일 구체예에서, 증착시키는 단계는 약 실온 내지 약 1000℃, 또는 실온 내지 약 400℃, 또는 실온 내지 약 300℃, 또는 실온 내지 약 200℃, 또는 실온 내지 약 100℃ 범위의 하나 이상의 온도들에서 수행된다. 다른 특정 구체예에서, 증착 단계는, 실리콘-함유 공급원이 적어도 하나의 SiH3 기를 가질 때, 예를 들어, 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 디-이소-프로필아미노디실란, 디-2차-부틸아미노디실란이 사용될 때, 200℃ 미만의 온도에서 수행된다.
또 다른 양태에서, 원자층 증착 공정 또는 ALD-유사 공정을 통해, 붕소 니트라이드, 붕소 카보니트라이드, 붕소 카복시니트라이드 막을 형성시키는 방법으로서,
(a) 반응기에 기판을 제공하는 단계;
(b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
(c) 반응기를 퍼지 가스로 퍼징하는 단계;
(d) 적어도 하나의 표면 상에 막을 증착시키기 위해 질소-함유 공급원을 제공하는 단계;
(e) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (e)가 반복되는 방법이 제공된다:
Figure pat00012
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다. 특정의 일 구체예에서, 증착시키는 단계는 약 실온 내지 약 1000℃, 또는 실온 내지 약 400℃, 또는 실온 내지 약 300℃, 또는 실온 내지 약 200℃, 또는 실온 내지 약 100℃ 범위의 하나 이상의 온도들에서 수행된다.
다른 양태에서, 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 도핑된 실리콘 니트라이드, 붕소 도핑된 실리콘 카보니트라이드, 붕소 도핑된 실리콘 카복시니트라이드 막을 형성시키는 방법으로서,
(a) 반응기에 기판을 제공하는 단계;
(b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
(c) 반응기를 퍼지 가스로 퍼징하는 단계;
(d) 적어도 하나의 표면 상에 막을 증착시키기 위해 질소-함유 공급원을 제공하는 단계;
(e) 반응기를 퍼지 가스로 퍼징하는 단계;
(f) 반응기에 적어도 하나의 실리콘-함유 공급원을 도입하는 단계;
(g) 반응기를 퍼지 가스로 퍼징하는 단계;
(h) 적어도 하나의 표면 상에 막을 증착시키기 위해 질소-함유 공급원을 제공하는 단계;
(i) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (g)가 반복되는 방법이 제공된다:
Figure pat00013
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다. 일부 구체예들에서, 단계 (b) 내지 단계 (e)가 반복되며, 이후에, 단계 (f) 내지 단계 (i)가 반복되어, 붕소 니트라이드 및 실리콘 니트라이드로 이루어진 나노라미네이트 층을 증착시킨다. 다른 구체예들에서, 단계 (f) 내지 단계 (i)가 먼저 수행되고 반복될 수 있고, 이후에, 단계 (b) 내지 단계 (e)가 반복될 수 있다. 나노라미네이트에 대하여, 실리콘 니트라이드의 두께는 1Å 내지 5000Å, 10Å 내지 2000Å, 50Å 내지 1500Å, 50Å 내지 1000Å, 50Å 내지 500Å의 범위일 수 있으며, 붕소 니트라이드의 두께는 1Å 내지 5000Å, 10Å 내지 2000Å, 50Å 내지 1500Å, 50Å 내지 1000Å, 50Å 내지 500Å의 범위이다. 특정의 일 구체예에서, 증착시키는 단계는 약 실온 내지 약 1000℃, 또는 실온 내지 약 400℃, 또는 실온 내지 약 300℃, 또는 실온 내지 약 200℃, 또는 실온 내지 약 100℃ 범위의 하나 이상의 온도들에서 수행된다. 다른 특정 구체예에서, 증착 단계는, 실리콘-함유 공급원이 적어도 하나의 SiH3 기를 가질 때, 예를 들어, 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 디-이소-프로필아미노디실란, 디-2차-부틸아미노디실란이 사용될 때, 200℃ 미만의 온도에서 수행된다.
실리콘-함유 공급원이 사용되는 방법의 구체예들에서, 실리콘-함유 공급원은 트리실릴아민(TSA), 비스(디실릴아미노)실란(SiH2(N(SiH3)2)2), 비스(3차-부틸아미노)실란(BTBAS), 비스(디메틸아미노)실란, 비스(디에틸아미노)실란, 비스(에틸메틸아미노)실란, 트리스(디메틸아미노)실란, 트리스(에틸메틸아미노)실란, 테트라키스)디메틸아미노)실란, 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 디-3차-부틸아미노실란, 2,6-디메틸피페리디노실란, 2,2,6,6-테트라메틸피페리디노실란, 사이클로헥실-이소-프로필아미노실란, 페닐메틸아미노실란, 페닐에틸아미노디실란, 디-사이클로헥실아미노실란, 디-이소-프로필아미노디실란, 디-2차-부틸아미노디실란, 디-3차-부틸아미노디실란, 2,6-디메틸피페리디노디실란, 2,2,6,6-테트라메틸피페리디노디실란, 사이클로헥실-이소-프로필아미노디실란, 페닐메틸아미노디실란, 페닐에틸아미노디실란, 디-사이클로헥실아미노디실란, 디메틸아미노트리메틸실란, 디메틸아미노트리메틸실란, 디-이소-프로필아미노트리메틸실란, 피페리디노트리메틸실란, 2,6-디메틸피페리디노트리메틸실란, 디-2차-부틸아미노트리메틸실란, 이소-프로필-2차-부틸아미노트리메틸실란, 3차-부틸아미노트리메틸실란, 이소-프로필아미노트리메틸실란, 디에틸아미노디메틸실란, 디메틸아미노디메틸실란, 디-이소-프로필아미노디메틸실란, 피페리디노디메틸실란, 2,6-디메틸피페리디노디메틸실란, 디-2차-부틸아미노디메틸실란, 이소-프로필-2차-부틸아미노디메틸실란, 3차-부틸아미노디메틸실란, 이소-프로필아미노디메틸실란, 3차-펜틸아미노디메틸아미노실란, 비스(디메틸아미노)메틸실란, 비스(디에틸아미노)메틸실란, 비스(디-이소-프로필아미노)메틸실란, 비스(이소-프로필-2차-부틸아미노)메틸실란, 비스(2,6-디메틸피페리디노)메틸실란, 비스(이소-프로필아미노)메틸실란, 비스(3차-부틸아미노)메틸실란, 비스(2차-부틸아미노)메틸실란, 비스(3차-펜틸아미노)메틸실란, 디에톡시메틸실란, 디메톡시메틸실란, 디(3차)부톡시메틸실란, 메틸트리아세테이트옥시실란, 디메틸아세테이트옥시실란, 디메틸디아세톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메틸트리에톡시실란, 네오헥실트리에톡시실란, 네오펜틸트리메톡시실란, 디아세톡시메틸실란, 페닐디메톡시실란, 페닐디에톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 페닐메틸디메톡시실란, 1,3,5,7-테트라메틸테트라사이클로실록산, 옥타메틸테트라사이클로실록산, 1,1,3,3-테트라메틸디실록산, 1-네오헥실-1,3,5,7-테트라메틸사이클로테트라실록산, 헥사메틸디실록산, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란, 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,2-디메틸,1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,2-디메틸-1-아세톡시-2-에톡시디실란, 메틸아세톡시(3차)부톡시실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 헥사메틸디실란, 테트라메틸디실란, 및 디메틸디실란, 헥사메틸디실록산(HMDSO), 옥타메틸사이클로테트라실록산(OMCTS) 및 테트라메틸사이클로테트라실록산(TMCTS)), 비스(트리에톡시실릴)메탄, 비스(트리에톡시실릴)에탄, 비스(트리메톡시실릴)메탄, 비스(트리메톡시실릴)에탄, 비스(디에톡시메틸실릴)메탄, 비스(디에톡시메틸실릴)에탄, 비스(메틸디에톡시실릴)메탄, (디에톡시메틸실릴)(디에톡시실릴)메탄을 포함하지만, 이로 제한되지 않는다.
다른 양태에서, 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 도핑된 금속 니트라이드, 붕소 도핑된 금속 카보니트라이드, 붕소 도핑된 금속 카복시니트라이드 막을 형성시키는 방법으로서,
(a) 반응기에 기판을 제공하는 단계;
(b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
(c) 반응기를 퍼지 가스로 퍼징하는 단계;
(d) 적어도 하나의 표면 상에 막을 증착시키기 위해 질소-함유 공급원을 제공하는 단계;
(e) 반응기를 퍼지 가스로 퍼징하는 단계;
(f) 반응기에 적어도 하나의 금속-함유 공급원을 도입하는 단계;
(g) 반응기를 퍼지 가스로 퍼징하는 단계;
(h) 적어도 하나의 표면 상에 막을 증착시키기 위해 질소-함유 공급원을 제공하는 단계;
(i) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (g)가 반복되는 방법이 제공된다:
Figure pat00014
상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다. 일부 구체예들에서, 단계 (b) 내지 단계 (e)가 반복되며, 이후에, 단계 (f) 내지 단계 (i)가 반복되어, 붕소 니트라이드 및 금속 니트라이드로 이루어진 나노라미네이트 층을 증착시킨다. 다른 구체예들에서, 단계 (f) 내지 단계 (i)가 먼저 수행되고 반복될 수 있고, 이후에, 단계 (b) 내지 단계 (e)가 반복될 수 있다. 나노라미네이트에 대하여, 금속 니트라이드의 두께는 1Å 내지 5000Å, 10Å 내지 2000Å, 50Å 내지 1500Å, 50Å 내지 1000Å, 50Å 내지 500Å 범위일 수 있으며, 붕소 니트라이드의 두께는 1Å 내지 5000Å, 10Å 내지 2000Å, 50Å 내지 1500Å, 50Å 내지 1000Å, 50Å 내지 500Å 범위이다. 특정의 일 구체예에서, 증착시키는 단계는 약 실온 내지 약 1000℃, 또는 실온 내지 약 400℃, 또는 실온 내지 약 300℃, 또는 실온 내지 약 200℃, 또는 실온 내지 약 100℃ 범위의 하나 이상의 온도들에서 수행된다.
금속-함유 공급원이 사용되는 방법의 구체예들에서, 금속-함유 공급원은 트리메틸알루미늄, 트리에틸알루미늄, 트리스(디메틸아미노)알루미늄, 트리스(에틸메틸아미노)알루미늄, 알킬알루미늄 클로라이드들(예를 들어, 메틸알루미늄 클로라이드, DMACl), AlCl3, 트리메틸알루미늄(TMA), 트리에틸알루미늄, 메틸알루미늄 클로라이드(MeAlCl2), 트리스(디메틸아미노)알루미늄(TDMAA), 트리스(디메틸아미노)알루미늄(TDMAA), 및 트리스(디에틸아미노)알루미늄(TDEAA), 지르코늄 클로라이드(ZrCl4), 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 및 테트라키스(에틸메틸아미노)하프늄(TEMAH), 티탄 클로라이드(TiCl4), 테트라키스(디메틸아미노)티탄(TDMAT), 테트라키스(디에틸아미노)티탄(TDEAT), 테트라키스(에틸메틸아미노)티탄(TEMAT), 바나듐 클로라이드, 테트라키스(디메틸아미노)바나듐(TDMAV), 테트라키스(디에틸아미노)바나듐(TDEAV), 테트라키스(에틸메틸아미노)바나듐(TEMAV), 탄탈 클로라이드(TaCl5), 3차-부틸이미노 트리(디에틸아미노)탄탈(TBTDET), 3차-부틸이미노 트리(디메틸아미노)탄탈(TBTDMT), 3차-부틸이미노 트리(에틸메틸아미노)탄탈(TBTEMT), 에틸이미노 트리(디에틸아미노)탄탈(EITDET), 에틸이미노 트리(디메틸아미노)탄탈(EITDMT), 에틸이미노 트리(에틸메틸아미노)탄탈(EITEMT), 3차-아밀이미노 트리(디메틸아미노)탄탈(TAIMAT), 3차-아밀이미노 트리(디에틸아미노)탄탈, 펜타키스(디메틸아미노)탄탈, 3차-아밀이미노 트리(에틸메틸아미노)탄탈, 텅스텐 헥사플루오라이드, 텅스텐 헥사클로라이드, 텅스텐 펜타클로라이드, 비스(3차-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(3차-부틸이미노)비스(디에틸아미노)텅스텐, 비스(3차-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합들을 포함하지만, 이로 제한되지 않는다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 실리콘 니트라이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, 0.5 내지 20 at%, 가장 바람직하게, 0.5 내지 8 at% 또는 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 실리콘 카보니트라이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, 0.5 내지 20 at%, 가장 바람직하게, 0.5 내지 8 at%, 또는 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 실리콘 카복시니트라이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, 0.5 내지 20 at%, 가장 바람직하게, 0.5 내지 8 at%, 또는 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 실리콘 옥사이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, XPS를 기초로 하여 0.5 내지 20 at%, 가장 바람직하게, XPS를 기초로 하여 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 금속 니트라이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, 0.5 내지 20 at%, 가장 바람직하게, 0.5 내지 8 at%, 또는 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다. 금속 니트라이드는 티탄 니트라이드, 지르코늄 니트라이드, 하프늄 니트라이드, 탄탈 니트라이드, 바나듐 니트라이드, 알루미늄 니트라이드, 텅스텐 니트라이드 및 이들의 조합들을 포함하지만, 이로 제한되지 않는다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 금속 카보니트라이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, 0.5 내지 20 at%, 가장 바람직하게, 0.5 내지 8 at%, 또는 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다. 금속 카보니트라이드는 티탄 카보니트라이드, 지르코늄 카보니트라이드, 하프늄 카보니트라이드, 바나듐 카보니트라이드, 탄탈 카보니트라이드, 알루미늄 카보니트라이드, 텅스텐 카보니트라이드 및 이들의 조합들을 포함하지만, 이로 제한되지 않는다.
명세서 전반에 걸쳐, 용어 "붕소 도핑된 금속 카복시니트라이드"는 XPS를 기초로 하여, 0.5 내지 50 at%, 바람직하게, 0.5 내지 20 at%, 가장 바람직하게, 0.5 내지 8 at%, 또는 0.5 내지 10 at%의 붕소 함량을 갖는 붕소 함유 막을 의미한다. 금속 카복시니트라이드는 티탄 카복시니트라이드, 지르코늄 카복시니트라이드, 하프늄 카복시니트라이드, 바나듐 카복시니트라이드, 탄탈 카복시니트라이드, 알루미늄 카복시니트라이드, 텅스텐 c 카복시니트라이드 및 이들의 조합들를 포함하지만, 이로 제한되지 않는다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "콘포말성(conformality) 또는 단차 피복성"은 비아(via)들 또는 트렌치(trench)들 중 어느 하나 또는 둘 모두를 갖는 구조화된 또는 피쳐화된(featured) 기판에서 증착된 붕소 도핑된 실리콘 니트라이드 유전체 붕소 도핑된 금속 니트라이드 막의 두 개의 두께들의 백분율로서 정의되며, 하부 단차 피복성은 피쳐의 하부에서의 두께를 피쳐의 상부에서의 두께로 나눈 비율(%)이며, 중간 단차 피복성은 피쳐의 측벽 상의 두께를 피쳐의 상부에서의 두께로 나눈 비율(%)이다. 본원에 기술된 방법을 이용하여 증착된 막들은 막들이 콘포말한 것을 지시하는, 약 60% 또는 그 초과, 약 70% 보다 그 초과, 약 80% 또는 그 초과, 또는 약 90% 또는 그 초과의 단차 피복성을 나타낸다.
본원에 기술된 증착 방법들은 하나 이상의 퍼지 가스들을 포함할 수 있다. 소비되지 않은 반응물들 및/또는 반응 부산물들을 퍼징시키기 위해 사용되는 퍼지 가스는 전구체들과 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스들은 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물들을 포함하지만, 이로 제한되지 않는다. 특정 구체예들에서, Ar과 같은 퍼지 가스는 반응기에 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 공급되며, 이에 의해 반응기에 잔류할 수 있는 미반응된 물질 및 임의 부산물을 퍼징시킨다.
특정 구체예들에서, 본원에 기술된 방법들을 이용하여 증착된 붕소 옥사이드, 붕소 실리콘 옥사이드 또는 붕소 도핑된 실리콘 카복사이드 막들은 산소-함유 공급원, 예를 들어, 오존, 물(H2O)(예를 들어, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 오존 플라즈마, 산소 플라즈마, NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합들의 존재 하에 형성된다. 산소-함유 공급원 가스는 산소를 포함하는 산소-함유 플라즈마 공급원, 예를 들어, 산소 플라즈마, 산소/아르곤 플라즈마, 산소/헬륨 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 또는 이산화탄소 플라즈마를 제공하기 위해 인시튜로 또는 원격으로 플라즈마 발생기를 통해 진행될 수 있다.
특정 구체예들에서, 붕소-함유 막들은 붕소 니트라이드, 붕소 도핑된 실리콘 니트라이드 또는 붕소 도핑된 실리콘 카보니트라이드 막을 제공하기 위해 붕소, 실리콘 및 질소를 포함한다. 이러한 구체예들에서, 본원에 기술된 방법들을 이용하여 증착된 붕소-함유 막들은 질소-함유 공급원의 존재 하에 형성된다. 질소-함유 공급원은 적어도 하나의 질소 공급원 형태로 반응기에 도입될 수 있고/거나, 부수적으로, 증착 공정에서 사용되는 다른 전구체들로 존재할 수 있다. 적합한 질소-함유 공급원 가스들은 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진(예를 들어, 메틸하이드라진, 3차-부틸하이드라진), 디알킬하이드라진(예를 들어, 1,1-디메틸하이드라진, 1,2-디메틸하이드라진), 오가노아민(예를 들어, 메틸아민, 디메틸아민, 에틸아민, 디에틸아민, 3차-부틸아민, 에틸렌디아민), 오가노아민 플라즈마, 질소, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3, NF3 플라즈마, 및 이들의 혼합물들을 포함할 수 있다.
특정 구체예들에서, 붕소-함유 막들은 XPS에 의해 측정하는 경우 0.5 내지 50%, 바람직하게, 1 내지 20% 범위의 붕소 함량을 포함하고, 붕소 옥사이드, 붕소 니트라이드, 붕소 카보니트라이드, 붕소 도핑된 실리콘 옥사이드, 붕소 도핑된 실리콘 카복사이드, 붕소 도핑된 실리콘 옥시니트라이드, 붕소 도핑된 실리콘 니트라이드, 붕소 도핑된 실리콘 카보니트라이드로 이루어진 군으로부터 선택될 수 있는데, 이는 반도체 공정들의 제작, 예를 들어, FinFET의 생산을 위한 고체 상태 확산 층에서 사용될 수 있다.
특정 구체예들에서, 얻어진 붕소-함유 막들은 증착후 처리, 예를 들어, 비제한적으로, 플라즈마 처리, 화학적 처리, 자외선광 처리, 적외선 노출, 전자빔 노출, 및/또는 막의 하나 이상의 성질들에 영향을 미치는 다른 처리들에 노출될 수 있다.
붕소-함유 전구체들, 산소 공급원, 및/또는 다른 전구체들, 공급원 가스들, 및/또는 시약들을 공급하는 개개 단계는 얻어진 막의 화학양론적 조성을 변경시키기 위해 이러한 것들을 공급시키기 위한 시간을 변경시킴으로써 수행될 수 있다.
에너지는 반응을 유도하기 위해 그리고 기판 상에 막 또는 코팅을 형성시키기 위해, 전구체들, 산소 함유 공급원, 또는 이들의 조합 중 적어도 하나에 적용된다. 이러한 에너지는 비제한적으로, 열적, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법들, 및 이들의 조합들에 의해 제공될 수 있다. 특정 구체예들에서, 2차 RF 주파수 소스는 기판 표면에 플라즈마 특징을 개질시키기 위해 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예들에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접적으로 발생되는 직접 플라즈마-발생 공정, 또는 대안적으로, 플라즈마가 반응기 외측에서 발생되고 반응기 안으로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
적어도 하나의 전구체들은 다양한 방식들로 반응 챔버, 예를 들어, 플라즈마 강화 시클릭 CVD 또는 PEALD 반응기 또는 배치 로 타입 반응기로 전달될 수 있다. 일 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 예를 들어, 저휘발성 물질들을 체적으로 전달하여, 전구체의 열적 분해 없이 재현 가능한 수송 및 증착을 초래하기 위하여, MSP Corporation(Shoreview, MN)에 의해 제작된 터보 기화기와 같은, 결합된 액체 전달 및 플래시 증기화 공정 유닛이 사용될 수 있다. 액체 전달 포뮬레이션들에서, 본원에 기술된 전구체들은 순 액체 형태(neat liquid form)로 전달될 수 있거나, 대안적으로, 이를 포함하는 용매 포뮬레이션들 또는 조성물들에서 사용될 수 있다. 이에 따라, 특정 구체예들에서, 전구체 포뮬레이션들은 기판 상에 막을 형성시키기 위해 제공된 최종 용도 적용에서 요망되고 유리할 수 있는 바와 같이 적합한 특성의 용매 성분(들)을 포함할 수 있다.
본원에 기술된 전구체가 본원에 기술된 용매 및 적어도 하나의 붕소-함유 전구체 및 임의적으로 실리콘-함유 전구체를 포함하는 조성물에서 사용되는 구체예들에 대하여, 선택된 용매 또는 이들의 혼합물은 붕소-함유 전구체와 반응하지 않는다. 조성물 중의 용매의 중량 백분율 기준의 양은 0.5 중량% 내지 99.5 중량%, 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 구체예 또는 다른 구체예에서, 용매는 전구체의 b.p.와 유사한 비등점(b.p.)을 가지거나, 용매의 b.p.와 전구체의 b.p. 간의 차이는 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 또는 10℃ 또는 그 미만이다. 대안적으로, 비등점들 간의 차이는 하기 종결점들 중 임의 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위들의 예는 비제한적으로, 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물들 중 적합한 용매들의 예들은 에테르(예를 들어, 1,4-디옥산, 디부틸 에테르), 3차 아민(예를 들어, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예를 들어, 벤조니트릴), 알칸(예를 들어, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예를 들어, 톨루엔, 메시틸렌), 3차 아미노에테르(예를 들어, 비스(2-디메틸아미노에틸)에테르), 또는 이들의 혼합물들을 포함하지만, 이로 제한되지 않는다.
상기에서 언급된 바와 같이, 붕소-함유 전구체의 순도 수준은 신뢰할 수 있는 반도체 제작을 위해 허용할 만큼 충분히 높다. 특정 구체예들에서, 본원에 기술된 전구체는 2 중량% 미만, 또는 1 중량% 미만, 또는 0.5 중량% 미만의 하기 불순물들 중 하나 이상을 포함한다: 자유 아민들, 자유 할라이드들 또는 할로겐 이온들, 및 고분자량의 종들. 본원에 기술된 전구체의 보다 높은 순도 수준은 하기 공정들 중 하나 이상을 통해 얻어질 수 있다: 정제, 흡착, 및/또는 증류.
본원에 기술된 방법의 일 구체예에서, 플라즈마 강화 시클릭 증착 공정, 예를 들어, PEALD-유사 또는 PEALD가 사용될 수 있으며, 여기서, 증착은 전구체(들) 및 산소-함유 또는 질소-함유 공급원을 사용하여 수행된다. PEALD-유사 공정은 플라즈마 강화 시클릭 CVD 공정으로서 규정되지만, 여전히 높은 콘포말한 붕소-함유 막들을 제공한다.
특정 구체예들에서, 전구체 캐니스터(canister)들에서 반응 챔버까지 연결되는 가스 라인들은 공정 요건들에 따라 하나 이상의 온도들로 가열되며, 전구체의 용기는 버블링을 위해 하나 이상의 온도들에서 유지된다. 다른 구체예들에서, 전구체를 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도들에서 유지된 기화기로 주입된다.
아르곤 및/또는 다른 가스의 흐름은 전구체 펄싱(pulsing) 동안에 반응 챔버로 적어도 하나의 실리콘 전구체의 증기를 전달하는 것을 돕기 위한 운반 가스로서 사용될 수 있다. 특정 구체예들에서, 반응 챔버 공정 압력은 약 50 mTorr 내지 10 Torr이다. 다른 구체예들에서, 반응 챔버 공정 압력은 최대 760 Torr일 수 있다.
통상적인 PEALD 또는 PEALD-유사 공정, 예를 들어, PECCVD 공정에서, 기판, 예를 들어, 실리콘 옥사이드 기판은 기판의 표면 상에 착물을 화학적으로 흡착시키기 위해 초기에 전구체에 노출되는 반응 챔버에서의 히터 스테이지(heater stage) 상에서 가열된다.
상기에서 언급된 바와 같이, 퍼지 가스, 예를 들어, 아르곤은 공정 챔버로부터 흡착되지 않은 과량의 착물을 퍼징시킨다. 충분한 퍼징 후에, 산소 공급원은 흡착된 표면과 반응시키기 위해 반응 챔버에 도입되고, 이후에, 챔버로부터 반응 부산물들을 제거하기 위해 다른 가스 퍼지를 수행할 수 있다. 공정 사이클은 요망되는 막 두께를 달성하기 위해 반복될 수 있다. 일부 경우들에서, 펌핑(pumping)은 불활성 가스로의 퍼지를 대체할 수 있거나, 둘 모두는 미반응된 전구체들을 제거하기 위해 사용될 수 있다.
이러한 구체예 또는 다른 구체예에서, 본원에 기술된 방법들의 단계들이 다양한 순서들로 수행될 수 있고, 순차적으로 수행될 수 있고, 동시에(예를 들어, 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이들의 임의 조합일 수 있는 것으로 이해된다. 전구체들 및 산소-함유 또는 질소-함유 공급원 가스들을 공급하는 개개 단계는 얻어진 유전체 막의 화학양론적 조성을 변경시키기 위해 이러한 것들을 공급하는 시간을 변경시킴으로써 수행될 수 있다. 또한, 전구체 또는 산소-함유 또는 질소-함유 단계들 이후 퍼지 시간들은, 처리량이 개선될 수 있도록, < 0.1 s까지 최소화될 수 있다.
다양한 상업적 ALD 반응기들, 예를 들어, 단일 웨이퍼, 세미-배치, 배치 로(batch furnace), 또는 롤 투 롤(roll to roll) 반응기는 본원에 기술된 붕소-함유 막 또는 물질들을 증착시키기 위해 사용될 수 있다.
본원에 기술된 방법을 위한 공정 온도는 종결점들로서 하기 온도들 중 하나 이상을 사용한다: 0, 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350,. 375, 400, 425, 450, 500, 525, 550, 575, 600, 625, 650, 675, 700, 725, 750, 775, 800, 825, 850, 875, 900, 925, 950, 975, 및 1000℃. 예시적인 온도 범위들은 하기를 포함하지만, 이로 제한되지 않는다: 약 0℃ 내지 약 1000℃; 또는 약 25℃ 내지 약 1000℃; 또는 약 150℃ 내지 약 900℃; 또는 약 25℃ 내지 약 250℃, 또는 약 25℃ 내지 약 200℃.
상기에서 언급된 바와 같이, 본원에 기술된 방법은 기판의 적어도 일부 상에 붕소-함유 막을 증착시키기 위해 사용될 수 있다. 적합한 기판들의 예들은 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅들, 포토레지스트들, 게르마늄, 게르마늄-함유, 붕소-함유, Ga/As, 플렉서블 기판, 유기 폴리머들, 다공성 유기 및 무기 물질들, 금속들, 예를 들어, 구리 및 알루미늄, 및 확산 배리어 층들, 예를 들어, 비제한적으로, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함하지만, 이로 제한되지 않는다. 막들은 예를 들어, 화학적 기계적 평탄화(CMP; chemical mechanical planarization) 및 이방성 에칭 공정(anisotropic etching process)들과 같은 다양한 후속 가공 단계들과 양립 가능하다.
하기 실시예들은 본원에 기술된 붕소-함유 막들 및 붕소-도핑된 실리콘 니트라이드 또는 붕소 도핑된 금속 니트라이드 막들을 증착시키기 위한 방법을 예시한 것으로서, 이는 어떠한 방식으로도 첨부된 청구범위를 한정하도록 의도되지 않는다.
실시예
하기 실시예들에서, 달리 기술하지 않는 한, 중간 저항률(14 내지 17 Ω-cm) 단결정 실리콘 웨이퍼 기판들 상에 증착된 샘플 막들로부터 성질들을 획득하였다. 모든 막 증착들을 샤워헤드 디자인(showerhead design)을 지니고 13.56 MHz 직접 플라즈마를 사용하는 CN-1 반응기를 이용하여 수행하였다. 통상적인 공정 조건들에서, 달리 기술하지 않는 한, 챔버 압력은 약 1 내지 약 5 torr 범위의 압력에서 고정되었다. 챔버 압력을 유지시키기 위해 추가적인 불활성 가스, 예를 들어, 아르곤 또는 질소를 사용하였다. 오가노보란 전구체, 금속 전구체 및 오가노아미노실란 전구체를 증기 드로우(vapor draw) 또는 버블링을 이용하여 전달하였다. 0.7 W/㎠의 출력 밀도(power density)를 제공하기 위해 150 mm 웨이퍼의 전극 면적 상에서 사용되는 통상적인 RF 출력은 125W이었다.
증착된 막들에 대한 굴절률(RI; refractive index) 및 두께를 엘립소미터(ellipsometer)(예를 들어, 실온에서 Ellipso Technology 모델 Elli-SE-UaM12) 또는 투과 전자 현미경(JEOL's HRTEM, 모델 JEM-3010) 중 어느 하나를 이용하여 측정하였다. 막 조성을 동적 이차 이온 질량 분석법(DSIMS; Dynamic Secondary Ion Mass Spectrometry), Ulvac-Phi's 모델 Adept-1010을 이용하여 분석하였다. 모든 측정들을 통상적인 방법들에 따라 수행하였다.
비교예 1. PEALD 붕소 니트라이드 막 트리스(디메틸아미노)보란(TDMAB), 및 질소 플라즈마
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기에 로딩하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 잘 알려진 붕소-함유 전구체, 트리스(디메틸아미노)보란(TDMAB)을 붕소 전구체로서 사용하였고, 증기 드로우 방법을 이용하여 반응 챔버로 전달하였다. ALD 사이클은 하기 공정 단계들을 포함하였다:
a. 반응기 준비 및 웨이퍼 로딩
√ 챔버 압력: 2 torr
b. 반응기에 붕소-함유 전구체 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ TDMAB 펄스: 0.5 초
c. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
d. 플라즈마 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ 플라즈마 출력: 125W
√ 플라즈마 펄스: 10 초
e. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
단계 b 내지 단계 e를 각각 200회 사이클, 400회 사이클, 및 600회 사이클 동안 반복하였다. 붕소 니트라이드의 성장속도를 0.10Å/사이클로서 사이클 횟수에 대한 두께의 그래프로부터 계산하였다.
실시예 1. 디-2차-부틸아미노보란(DSBAB) 및 질소 플라즈마를 사용한 PEALD 붕소 니트라이드 막
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기에 로딩하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 붕소 전구체로서 디-2차-부틸아미노보란(DSBAB)을 증기 드로우 방법을 이용하여 반응 챔버로 전달하였다. ALD 사이클은 하기 공정 단계들을 포함하였다:
a. 반응기 준비 및 웨이퍼 로딩
√ 챔버 압력: 2 torr
b. 반응기에 붕소-함유 전구체들 전구체 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ DSBAB 펄스: 0.5 내지 1.5 초
c. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
d. 플라즈마 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ 플라즈마 출력: 125W
√ 플라즈마 펄스: 20 초
e. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
단계 b 내지 단계 e를 도 1에 도시된 바와 같이 붕소 전구체 포화 시험들을 위해 각각 0.5s, 1.0s, 및 1.5s에서 DSBAB 펄스들과 함께 200회 사이클 동안 반복하였으며, 도 1은 DSBAB가 약 1초에 ALD 자가-제한(self-limiting)에 도달함을 나타낸다. 도 2는 단계 b 내지 단계 e가 다양한 N2 펄스들과 함께 200회 사이클 동안 반복된 것을 도시한 것으로서, 이는 N2 플라즈마가 약 10초에 ALD 자가-제한에 도달함을 확실히 나타낸다. 다른 실험들에서, 단계 b 내지 단계 e를 각각 100회 사이클, 200회 사이클, 및 500회 사이클 동안 반복하였다. 붕소 니트라이드의 성장속도를 0.14Å/사이클로서 사이클의 횟수 당 두께의 그래프로부터 계산하였으며, 이는 DSBAB가 비교예 1에 기술된 TDMAB 보다 더 높은 성장 속도를 가짐을 나타낸다. 다른 세트의 실험들에서, 단계 b 내지 단계 e를 100 내지 400℃ 범위의 온도들에서 조건들 DSBAB/퍼지/N2 플라즈마/퍼지 = 1s/10s/20s*/10s로 200회 사이클 반복하였다. 도 3을 참조하면, 도 3은 다양한 기판 온도들에서 DSBAB 및 N2 플라즈마로부터 증착된 붕소 니트라이드를 도시한 것으로서, 이는 DSBAB가 ALD 증착 공정들(예를 들어, 약 150 내지 약 350℃에서 수행된 ALD 공정들)에서 사용하기에 적합함을 시사한다.
실시예 2. 디-2차-부틸아미노보란(DSBAB), 디-이소-프로필아미노실란(DIPAS), 및 질소 플라즈마를 사용한 PEALD 붕소 도핑된 실리콘 니트라이드 막
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기에 로딩하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 붕소 전구체로서 디-2차-부틸아미노보란(DSBAB) 및 오가노아미노실란 전구체로서 디-이소-프로필아미노실란(DIPAS)을 증기 드로우 방법을 이용하여 반응기로 전달하였다. ALD 사이클은 하기 공정 파라미터들을 포함하였다:
a. 반응기 준비 및 웨이퍼 로딩
√ 챔버 압력: 2 torr
b. 반응기에 붕소-함유 전구체 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ DSBAB 펄스: 0.5 초
c. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
d. 플라즈마 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ 플라즈마 출력: 125W
√ 플라즈마 펄스: 20 초
e. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
f. 반응기에 오가노아미노실란 전구체 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ DIPAS 펄스: 1 초
g. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
h. 플라즈마 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ 플라즈마 출력: 125W
√ 플라즈마 펄스: 10 초
i. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
본 실시예에서, 1회 수퍼 사이클(super cycle)은 단계 b 내지 단계 e를 갖는 붕소 니트라이드를 1회 반복하고 이후에 단계 f 내지 단계 i를 갖는 실리콘 니트라이드를 5회 반복하는 것으로 이루어진다(즉, 수퍼 사이클 = 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 0.5s/10s/20*s/10s) x 1 사이클 + 실리콘 니트라이드: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s) x 5 사이클들)). 수퍼 사이클을 200회 반복하였다(즉, (붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 0.5s/10s/20*s/10s) x 1 사이클) + 실리콘 니트라이드: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s) x 5) x 200 사이클. 투과 전자 현미경(TEM) 측정은 하기 두께들을 나타내었다: 155Å의 붕소 도핑된 실리콘 니트라이드. 얻어진 막의 이차 이온 질량 분석법(SIMS) 분석은 하기 조성을 나타낸다: B = 5.99 at%, Si = 33.0 at%, N = 46.83 at%, O = 2.35 at%, C = 1.89 at%, H = 9.94 at%. 다른 실험에서, 1회 수퍼 사이클은 단계 b 내지 단계 e를 갖는 붕소 니트라이드를 2회 반복하고, 이후에 단계 f 내지 단계 i를 갖는 실리콘 니트라이드를 5회 반복하는 것으로 이루어진다(즉, 수퍼 사이클 = 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 0.5s/10s/20*s/10s) x 2 사이클 + 실리콘 니트라이드: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s) x 5 사이클)). 수퍼 사이클을 200회 반복하였다(즉, (붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 0.5s/10s/20*s/10s) x 2 사이클) + 실리콘 니트라이드: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s) x 5) x 200 사이클. TEM 측정은 170Å의 막 두께를 나타내었다. 얻어진 막의 이차 이온 질량 분석법(SIMS) 분석은 하기 조성을 나타낸다: B = 12.86 at%, Si = 26.42 at%, N = 44.28 at%, O = 1.42 at%, C = 4.36 at%, H = 10.68 at%. 다른 실험에서, 1회 수퍼 사이클은 단계 b 내지 단계 e를 갖는 붕소 니트라이드를 1회 반복하고, 이후에, 단계 f 내지 단계 i를 갖는 실리콘 니트라이드를 10회 반복하는 것으로 이루어진다(즉, 수퍼 사이클 = 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 0.5s/10s/20*s/10s) x 1 사이클 + 실리콘 니트라이드: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s) x 10 사이클)). 수퍼 사이클을 100회 반복하였다(즉, (붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 0.5s/10s/20*s/10s) x 1 사이클) + 실리콘 니트라이드: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s) x 10 사이클) x 100 사이클. TEM 측정은 150Å의 막 두께를 나타내었다. 얻어진 막의 이차 이온 질량 분석법(SIMS) 분석은 하기 조성을 나타낸다: B = 4.63 at%, Si = 35.72 at%, N = 48.89 at%, O = 2.27 at%, C = 1.82 at%, H = 6.67 at%.
실시예 3. 디-2차-부틸아미노보란(DSBAB), 테트라키스(디메틸아미노)티탄(TDMAT), 및 질소 플라즈마를 사용한 PEALD 붕소 도핑된 티탄 니트라이드 막
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기에 로딩하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 증기 드로우를 이용하여 붕소 전구체로서 디-2차-부틸아미노보란(DSBAB)을 그리고 버블링(Ar 유량은 50 sccm임)을 이용하여 금속-함유 전구체로서 테트라키스(디메틸아미노)티탄(TDMAT)을 반응기로 전달하였다. ALD 사이클은 하기 공정 파라미터들을 포함하였다:
a. 반응기 준비 및 웨이퍼 로딩
√ 챔버 압력: 2 torr
b. 반응기에 붕소-함유 전구체 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ DSBAB 펄스: 1 초
c. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
d. 플라즈마 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ 플라즈마 출력: 125W
√ 플라즈마 펄스: 20 초
e. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
f. 반응기에 금속-함유 전구체 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ TDMAT 펄스: 1 초
g. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 20 초
h. 플라즈마 도입
√ 전체 흐름 N2 흐름: 1000 sccm
√ 플라즈마 출력: 125W
√ 플라즈마 펄스: 5 초
i. 퍼지
√ N2의 전체 흐름: 1000 sccm
√ 퍼지 시간: 10 초
이러한 실시예에서, 1회 수퍼 사이클은 단계 b 내지 단계 e를 갖는 붕소 니트라이드를 1회 반복하고 이후에 단계 f 내지 단계 i를 갖는 티탄 니트라이드를 5회 반복하는 것으로 이루어진다(즉, 수퍼 사이클 = 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 1s/10s/20*s/10s) x 1 사이클 + 티탄 니트라이드: (TDMAT/퍼지/플라즈마/퍼지 = 1.0s/20s/10*s/10s) x 5 사이클)). 수퍼 사이클을 50회 반복하였다(즉, 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 1s/10s/20*s/10s) x 1 사이클 + 티탄 니트라이드: (TDMAT/퍼지/플라즈마/퍼지 = 1.0s/20s/10*s/10s) x 5 사이클) x 50 사이클. TEM 측정은 하기 두께들을 나타내었다: 230Å의 붕소 도핑된 티탄 니트라이드. 얻어진 막의 이차 이온 질량 분석법(SIMS) 분석은 하기 조성을 나타낸다: B = 2.82 at%, Ti = 41.02 at%, N = 47.73 at%, O = 2.61 at%, C = 3.62 at%, H = 2.48 at%. 다른 실험에서, 1회 수퍼 사이클은 단계 b 내지 단계 e를 갖는 붕소 니트라이드를 2회 반복하고, 이후에 단계 f 내지 단계 i를 갖는 실리콘 니트라이드를 5회 반복하는 것으로 이루어진다(즉, 수퍼 사이클 = 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 1s/10s/20*s/10s) x 2 사이클 + 티탄 니트라이드: (TDMAT/퍼지/플라즈마/퍼지 = 1.0s/20s/10*s/10s) x 5 사이클)). 수퍼 사이클을 50회 반복하였다(즉, 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 1s/10s/20*s/10s) x 2 사이클 + 티탄 니트라이드: (TDMAT/퍼지/플라즈마/퍼지 = 1.0s/20s/10*s/10s) x 5 사이클) x 50 사이클. TEM 측정은 하기 두께들을 나타내었다: 220Å의 붕소 도핑된 실리콘 니트라이드. 얻어진 막의 이차 이온 질량 분석법(SIMS) 분석은 하기 조성을 나타낸다: B = 5.90 at%, Ti = 37.58 at%, N = 46.95 at%, O = 1.85 at%, C = 4.12 at%, H = 3.59 at%. 도 4는 붕소 함량들에 대한 증착된 붕소 도핑된 티탄 니트라이드의 저항률들을 나타내는 것으로서, 이는 막 성질들이 증착 파라미터들의 변경을 통해 조정될 수 있음을 나타낸다. 콘포말성 또는 단차 피복성을 시험하기 위하여, AR = 12:1(폭 = 95 nm, 깊이 = 1160 nm)을 갖는 패턴 웨이퍼 피스(pattern wafer piece)들을 사용하였다. 이러한 실험에서, 1회 수퍼 사이클은 단계 b 내지 단계 e를 갖는 붕소 니트라이드를 2회 반복하고, 이후에, 단계 f 내지 단계 i를 갖는 실리콘 니트라이드를 5회 반복하는 것으로 이루어진다(즉, 수퍼 사이클 = 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 1s/10s/20*s/10s) x 2 사이클 + 티탄 니트라이드: (TDMAT/퍼지/플라즈마/퍼지 = 1.0s/20s/10*s/10s) x 5 사이클)). 수퍼 사이클을 50회 반복하였다(즉, 붕소 니트라이드: (DSBAB/퍼지/플라즈마/퍼지 = 1s/10s/20*s/10s) x 2 사이클 + 티탄 니트라이드: (TDMAT/퍼지/플라즈마/퍼지 = 1.0s/20s/10*s/10s) x 5 사이클) x 50 사이클: (DIPAS/퍼지/플라즈마/퍼지 = 1.0s/10s/10*s/10s for 10 사이클) x 50 사이클). TEM 측정(도 5)은 하기 두께들을 나타내었다: 상단, 220Å, 중간부, 220Å, 및 하단 220Å, 이는 100% 콘포말성 또는 단차 피복성에 해당함.
본 발명이 특정 양태들 또는 구체예들을 참조로 하여 기술되었지만, 본 발명의 범위를 벗어나지 않으면서, 다양한 변경들이 이루어질 수 있으며 균등물들이 이의 구성요소들에 대해 치환될 수 있다는 것이 당업자에 의해 이해될 것이다. 또한, 다수의 개질예들은 이의 필수적인 범위를 벗어나지 않으면서 본 발명의 교시들을 적용하기 위해 이루어질 수 있다. 이에 따라, 본 발명이, 본 발명을 수행하기 위해 고려되는 최상의 모드로서 개시된 특정 구체예로 제한되지 않고, 본 발명이 첨부된 청구범위 내에 속하는 모든 구체예들을 포함하는 것으로 의도된다.

Claims (20)

  1. 하기 화학식 I을 갖는 화합물 및 하기 화학식 II를 갖는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물을 포함하는, 증기 증착을 위한 붕소-함유 전구체:
    Figure pat00015

    상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다.
  2. 제1항에 있어서, 화합물이 디메틸아미노보란, 디에틸아미노보란, 에틸메틸아미노보란, 디-이소-프로필아미노보란, 디-2차-부틸아미노보란, N-에틸-사이클로헥실아미노보란, N-메틸-사이클로헥실아미노보란, N-이소-프로필-사이클로헥실아미노보란, 페닐메틸아미노보란, 페닐에틸아미노보란, 피페리디노보란, 2,6-디메틸피페리디노보란, 디-이소-프로필아미노디보란, 디-2차-부틸아미노디보란, 2,6-디메틸피페리디노디보란, 및 2,2,6,6-테트라메틸피페리디노보란으로 이루어진 군으로부터 선택된 적어도 하나의 일원(member)을 포함하는 전구체.
  3. (a) 하기 화학식 I 또는 화학식 II를 갖는 적어도 하나의 화합물; 및
    (b) 적어도 하나의 용매로서, 용매가 비등점을 지니고 용매의 비등점과 적어도 하나의 붕소-함유 전구체의 비등점 간의 차이가 40℃ 또는 그 미만인, 용매를 포함하는 조성물:
    Figure pat00016

    상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다.
  4. 제3항에 있어서, 화합물이 디메틸아미노보란, 디에틸아미노보란, 에틸메틸아미노보란 , 디-이소-프로필아미노보란, 디-2차-부틸아미노보란, N-에틸-사이클로헥실아미노보란, N-메틸-사이클로헥실아미노보란, N-이소-프로필-사이클로헥실아미노보란, 페닐메틸아미노보란, 페닐에틸아미노보란, 피페리디노보란, 2,6-디메틸피페리디노보란, 디-이소-프로필아미노디보란, 디-2차-부틸아미노디보란, 2,6-디메틸피페리디노디보란, 및 2,2,6,6-테트라메틸피페리디노보란으로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 조성물.
  5. 제3항에 있어서, 용매가 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 조성물.
  6. 기판(substrate)의 적어도 표면 상에 붕소-함유 막을 증착시키는 방법으로서,
    반응기에 기판을 제공하는 단계;
    화학적 증기 증착 및 원자층 증착 공정으로부터 선택된 증착 공정에서 하기 화학식 I 및 하기 화학식 II를 갖는 적어도 하나의 화합물로부터 선택된 붕소-함유 전구체를 사용하여, 표면 상에 붕소-함유 막을 형성시키는 단계를 포함하는 방법:
    Figure pat00017

    상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며; 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성한다.
  7. 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 도핑된 실리콘 니트라이드, 붕소 도핑된 실리콘 카보니트라이드, 붕소 도핑된 실리콘 카복시니트라이드 막을 형성시키는 방법으로서,
    (a) 반응기에 기판을 제공하는 단계;
    (b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
    (c) 반응기를 퍼지 가스(purge gas)로 퍼징하는 단계;
    (d) 적어도 하나의 표면 상에 막을 증착시키기 위해 적어도 하나의 질소-함유 공급원을 제공하는 단계;
    (e) 반응기를 퍼지 가스로 퍼징하는 단계;
    (f) 반응기에 적어도 하나의 실리콘-함유 공급원을 도입하는 단계;
    (g) 반응기를 퍼지 가스로 퍼징하는 단계;
    (h) 적어도 하나의 표면 상에 막을 증착시키기 위해 적어도 하나의 질소-함유 공급원을 제공하는 단계; 및
    (i) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
    요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (i)가 반복되는 방법:
    Figure pat00018

    상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다.
  8. 제7항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 오가노아민(organoamine), 오가노아민 플라즈마, 질소, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3, NF3 플라즈마, 및 이들의 혼합물들로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 방법.
  9. 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 도핑된 실리콘 옥사이드, 붕소 도핑된 실리콘 카복사이드 막을 형성시키는 방법으로서,
    (a) 반응기에 기판을 제공하는 단계;
    (b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
    (c) 반응기를 퍼지 가스로 퍼징하는 단계;
    (d) 적어도 하나의 표면 상에 막을 증착시키기 위해 적어도 하나의 산소-함유 공급원을 제공하는 단계;
    (e) 반응기를 퍼지 가스로 퍼징하는 단계;
    (f) 반응기에 적어도 하나의 실리콘-함유 공급원을 도입하는 단계;
    (g) 반응기를 퍼지 가스로 퍼징하는 단계;
    (h) 적어도 하나의 표면 상에 막을 증착시키기 위해 적어도 하나의 산소-함유 공급원을 제공하는 단계;
    (i) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
    요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (i)가 반복되는 방법:
    Figure pat00019

    상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다.
  10. 제9항에 있어서, 산소-함유 공급원이 오존, 물(H2O)(예를 들어, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 오존 플라즈마, 산소 플라즈마, NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합들로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 방법.
  11. 원자층 증착 공정 또는 ALD-유사 공정을 통해 붕소 도핑된 금속 니트라이드, 붕소 도핑된 금속 카보니트라이드, 붕소 도핑된 금속 카복시니트라이드 막을 형성시키는 방법으로서,
    (a) 반응기에 기판을 제공하는 단계;
    (b) 반응기에 하기 화학식 I 및 하기 화학식 II로 표현되는 적어도 하나의 붕소-함유 전구체를 도입하는 단계;
    (c) 반응기를 퍼지 가스로 퍼징하는 단계;
    (d) 적어도 하나의 표면 상에 막을 증착시키기 위해 적어도 하나의 질소-함유 공급원을 제공하는 단계;
    (e) 반응기를 퍼지 가스로 퍼징하는 단계;
    (f) 반응기에 적어도 하나의 금속-함유 공급원을 도입하는 단계;
    (g) 반응기를 퍼지 가스로 퍼징하는 단계;
    (h) 적어도 하나의 표면 상에 막을 증착시키기 위해 적어도 하나의 질소-함유 공급원을 제공하는 단계;
    (i) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
    요망되는 두께의 막이 얻어질 때까지, 단계 (b) 내지 단계 (i)가 반복되는 방법:
    Figure pat00020

    상기 식에서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C6 내지 C10 아릴 기로부터 선택되며; R2는 수소, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 불화된 알킬 기, 전자 끄는 기, 예를 들어, 할라이드들(Cl, Br, I), 및 C4 내지 C10 아릴 기로부터 선택된다.
  12. 제11항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 오가노아민, 오가노아민 플라즈마, 질소, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3, NF3 플라즈마, 및 이들의 혼합물들로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 방법.
  13. 제11항에 있어서, 금속-함유 공급원이 트리메틸알루미늄, 트리에틸알루미늄, 트리스(디메틸아미노)알루미늄, 트리스(에틸메틸아미노)알루미늄, 알킬알루미늄 클로라이드들(예를 들어, 메틸알루미늄 클로라이드, DMACl), AlCl3, 트리메틸알루미늄(TMA), 트리에틸알루미늄, 메틸알루미늄 클로라이드(MeAlCl2), 트리스(디메틸아미노)알루미늄(TDMAA), 트리스(디메틸아미노)알루미늄(TDMAA), 및 트리스(디에틸아미노)알루미늄(TDEAA), 지르코늄 클로라이드(ZrCl4), 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 및 테트라키스(에틸메틸아미노)하프늄(TEMAH), 티탄 클로라이드(TiCl4), 테트라키스(디메틸아미노)티탄(TDMAT), 테트라키스(디에틸아미노)티탄(TDEAT), 테트라키스(에틸메틸아미노)티탄(TEMAT), 바나듐 클로라이드, 테트라키스(디메틸아미노)바나듐(TDMAV), 테트라키스(디에틸아미노)바나듐(TDEAV), 테트라키스(에틸메틸아미노)바나듐(TEMAV), 탄탈 클로라이드(TaCl5), 3차-부틸이미노 트리(디에틸아미노)탄탈(TBTDET), 3차-부틸이미노 트리(디메틸아미노)탄탈(TBTDMT), 3차-부틸이미노 트리(에틸메틸아미노)탄탈(TBTEMT), 에틸이미노 트리(디에틸아미노)탄탈(EITDET), 에틸이미노 트리(디메틸아미노)탄탈(EITDMT), 에틸이미노 트리(에틸메틸아미노)탄탈(EITEMT), 3차-아밀이미노 트리(디메틸아미노)탄탈(TAIMAT), 3차-아밀이미노 트리(디에틸아미노)탄탈, 펜타키스(디메틸아미노)탄탈, 3차-아밀이미노 트리(에틸메틸아미노)탄탈, 텅스텐 헥사플루오라이드, 텅스텐 헥사클로라이드, 텅스텐 펜타클로라이드, 비스(3차-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(3차-부틸이미노)비스(디에틸아미노)텅스텐, 비스(3차-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합들로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 방법.
  14. 제11항에 있어서, 붕소 도핑된 금속 니트라이드가 붕소 도핑된 티탄 니트라이드, 붕소 도핑된 지르코늄 니트라이드, 붕소 도핑된 하프늄 니트라이드, 붕소 도핑된 바나듐 니트라이드, 붕소 도핑된 탄탈 니트라이드, 붕소 도핑된 알루미늄 니트라이드, 붕소 도핑된 텅스텐 니트라이드 및 이들의 조합들로 이루어진 군으로부터 선택된 적어도 하나의 일원을 포함하는 방법.
  15. 제11항에 있어서, 붕소 도핑된 금속 니트라이드가 10 at% 또는 그 미만의 붕소를 지니는 방법.
  16. 제11항에 있어서, 붕소 도핑된 금속 니트라이드가 8 at% 또는 그 미만의 붕소를 지니는 방법.
  17. 제6항에 따라 형성된 붕소-함유 막.
  18. 제7항에 따라 형성된 붕소-함유 막.
  19. 제9항에 따라 형성된 붕소-함유 막.
  20. 제11항에 따라 형성된 붕소-함유 막.
KR1020207032231A 2015-03-31 2016-03-29 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들 KR102434246B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562140570P 2015-03-31 2015-03-31
US62/140,570 2015-03-31
US15/079,585 US10763103B2 (en) 2015-03-31 2016-03-24 Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US15/079,585 2016-03-24
KR1020177031440A KR102178735B1 (ko) 2015-03-31 2016-03-29 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들
PCT/US2016/024703 WO2016160800A1 (en) 2015-03-31 2016-03-29 Boron-containing compounds, compositions, and methods for the deposition of boron containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177031440A Division KR102178735B1 (ko) 2015-03-31 2016-03-29 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들

Publications (2)

Publication Number Publication Date
KR20200130500A true KR20200130500A (ko) 2020-11-18
KR102434246B1 KR102434246B1 (ko) 2022-08-18

Family

ID=55661661

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207032231A KR102434246B1 (ko) 2015-03-31 2016-03-29 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들
KR1020177031440A KR102178735B1 (ko) 2015-03-31 2016-03-29 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177031440A KR102178735B1 (ko) 2015-03-31 2016-03-29 붕소-함유 화합물들, 조성물들, 및 붕소 함유 막들을 증착시키는 방법들

Country Status (7)

Country Link
US (2) US10763103B2 (ko)
EP (2) EP3663301B1 (ko)
JP (1) JP6781165B2 (ko)
KR (2) KR102434246B1 (ko)
CN (2) CN107660209A (ko)
TW (1) TWI623543B (ko)
WO (1) WO2016160800A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
JP6986425B2 (ja) * 2016-12-22 2021-12-22 東京応化工業株式会社 不純物拡散剤組成物、及び半導体基板の製造方法
WO2018118288A1 (en) * 2016-12-22 2018-06-28 Applied Materials, Inc. Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
KR102020211B1 (ko) * 2017-01-09 2019-11-04 주식회사 테스 탄소 및/또는 보론를 포함하는 비정질 실리콘막의 형성 방법
US10584039B2 (en) * 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
CN108622857B (zh) * 2018-04-13 2021-06-04 河南师范大学 环状硼氮氢化合物氨基乙硼烷的制备方法
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
EP3827111A1 (en) * 2018-07-24 2021-06-02 CIC nanoGUNE - Asociación Centro de Investigación Cooperativa en Nanociencias Method for producing organic-inorganic hybrid materials
JP7197328B2 (ja) * 2018-11-05 2022-12-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
US20220135412A1 (en) * 2019-03-12 2022-05-05 University Of Vermont And State Agricultural College Low-temperature formation of group 13-15 ceramics and group 13-15-16 ceramics
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
CN114424325A (zh) * 2019-08-07 2022-04-29 应用材料公司 用于3d nand的修改的堆叠
CN115280467A (zh) * 2019-11-08 2022-11-01 应用材料公司 减少材料表面粗糙度的方法
US11352693B1 (en) * 2020-04-24 2022-06-07 United States Of America As Represented By The Administrator Of Nasa Boron aluminum oxide compound deposited by atomic layer deposition on product used for radiation shielding
JP2024508907A (ja) * 2021-03-02 2024-02-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シリコン及びホウ素を含む膜用の組成物及びそれを用いる方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070086873A (ko) * 2004-11-29 2007-08-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 펜타보란(9)의 저장 및 급송 장치와 그 방법
JP2008222488A (ja) * 2007-03-12 2008-09-25 National Institute For Materials Science 立方晶窒化ホウ素の製造方法
KR20110090838A (ko) * 2010-02-04 2011-08-10 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막을 제조하는 방법
KR20140014020A (ko) * 2012-07-26 2014-02-05 노벨러스 시스템즈, 인코포레이티드 삼성분 텅스텐 붕소화 질화물 필름 및 형성 방법

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3232723A (en) * 1959-03-20 1966-02-01 Monsanto Co Fuels containing organic compounds of boron and phosphorus
US3031503A (en) 1959-07-22 1962-04-24 Callery Chemical Co Preparation of aminodiboranes
DE1206899B (de) * 1963-11-29 1965-12-16 Bayer Ag Verfahren zur Herstellung von siliciumhaltigen N, N', N"-Triorgano-B, B', B"-trihydrido-borazolen
DE2835069A1 (de) * 1978-08-10 1980-02-21 Bayer Ag N-amino-3,4,5-trihydroxypiperidine, ihre herstellung und verwendung
US4312989A (en) * 1979-08-21 1982-01-26 The United States Of America As Represented By The Secretary Of The Army Pharmacologically active amine boranes
US4578283A (en) * 1982-09-23 1986-03-25 Allied Corporation Polymeric boron nitrogen dopant
US4545968A (en) * 1984-03-30 1985-10-08 Toshiba Tungaloy Co., Ltd. Methods for preparing cubic boron nitride sintered body and cubic boron nitride, and method for preparing boron nitride for use in the same
US4774354A (en) * 1985-04-09 1988-09-27 Utah State University Foundation Hydroborate compounds
US4658051A (en) * 1985-04-09 1987-04-14 Utah State University Foundation Hydroborate compounds
US4581468A (en) * 1985-05-13 1986-04-08 Ultrasystems, Inc. Boron nitride preceramic polymers
US4977268A (en) * 1988-04-08 1990-12-11 Duke University Boron dipeptide compounds
US5082693A (en) * 1989-02-17 1992-01-21 University Of New Mexico Precursors for boron nitride ceramic coatings
US5204295A (en) * 1989-02-17 1993-04-20 University Of New Mexico Precursors for boron nitride coatings
US5128286A (en) * 1989-06-20 1992-07-07 Tonen Corporation Boron-containing, silicon nitride-based ceramic shaped body
DE59007568D1 (de) * 1990-04-06 1994-12-01 Siemens Ag Verfahren zur Herstellung von mikrokristallin kubischen Bornitridschichten.
DE4113791A1 (de) * 1991-04-26 1992-10-29 Solvay Deutschland Verfahren zur abscheidung einer bor und stickstoff enthaltenden schicht
US5300634A (en) * 1991-05-07 1994-04-05 Wako Pure Chemical Industries, Ltd. Process for producing maltooligosaccharide derivative
US5254706A (en) * 1991-05-10 1993-10-19 Boron Biologicals, Inc. Process of making phosphite-borane compounds
US5143907A (en) * 1991-05-10 1992-09-01 Boron Biologicals, Inc. Phosphite-borane compounds, and method of making and using the same
FR2691150B1 (fr) * 1992-05-15 1994-08-12 Rhone Poulenc Chimie Triéthylnylborazines, leur préparation et leur utilisation notamment pour la préparation de céramique essentiellement à base de nitrure de bore.
US5324690A (en) * 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5612013A (en) * 1995-02-10 1997-03-18 Trustees Of The University Of Pennsylvania Method for synthesis of borazine
DE19845463A1 (de) * 1998-10-02 2000-04-06 Stiftung Inst Fuer Werkstoffte Verfahren zur Herstellung von verschleißfesten Boridschichten
US6197715B1 (en) * 1999-03-23 2001-03-06 Cryovac, Inc. Supported catalysts and olefin polymerization processes utilizing same
DE60034406T2 (de) * 1999-03-30 2008-01-31 Seiko Epson Corp. Verfahren zur herstellung von einem dünnschichtfeldeffekttransistor
CN1199241C (zh) * 1999-03-30 2005-04-27 精工爱普生株式会社 硅膜成形方法
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP3737688B2 (ja) * 2000-09-14 2006-01-18 株式会社東芝 電子放出素子及びその製造方法
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
DE10057009A1 (de) * 2000-11-17 2002-05-29 Celanese Ventures Gmbh Non-Metallocene, Verfahren zur Herstellung von diesen und deren Verwendung zur Polymerisation von Olefinen
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US7563715B2 (en) * 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
FR2833957B1 (fr) * 2001-12-21 2004-02-13 Centre Nat Rech Scient Composes(aryl)(amino)boranes, procede pour leur preparation
FR2834983B1 (fr) * 2002-01-22 2004-12-17 Eads Launch Vehicules Procede de fabrication de fibres de nitrure de bore a partir de borylborazines
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
JP4461215B2 (ja) * 2003-09-08 2010-05-12 独立行政法人産業技術総合研究所 低誘電率絶縁材料とそれを用いた半導体装置
US7074502B2 (en) * 2003-12-05 2006-07-11 Eastman Kodak Company Organic element for electroluminescent devices
US7045583B2 (en) * 2003-12-22 2006-05-16 Exxon Mobil Chemical Patents Inc. Olefin polymerization catalyst system
US8114381B2 (en) * 2004-02-13 2012-02-14 The University Of British Columbia Radiolabeled compounds and compositions, their precursors and methods for their production
FR2868085B1 (fr) * 2004-03-24 2006-07-14 Alchimer Sa Procede de revetement selectif d'une surface composite, fabrication d'interconnexions en microelectronique utilisant ce procede, et circuits integres
US7388100B2 (en) * 2004-07-16 2008-06-17 Tetsuya Nishio Tertiary amine compounds
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP2007062629A (ja) 2005-09-01 2007-03-15 Kobelco Contstruction Machinery Ltd 建設機械のステップ構造
WO2007032004A1 (en) * 2005-09-13 2007-03-22 Yissum Research Development Company Of The Hebrew University Of Jerusalem Use of amine-borane compounds as anti-microbial agents
EP1951929B1 (en) * 2005-11-17 2012-06-06 Nippon Shokubai Co., Ltd. Composition for chemical vapor deposition film-formation and method for production of low dielectric constant film
US7531458B2 (en) * 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
US20080145536A1 (en) 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR20090121361A (ko) * 2007-02-27 2009-11-25 식스트론 어드밴스드 머티리얼즈 인코포레이티드 기판상에 막을 형성하는 방법
WO2008127935A1 (en) * 2007-04-13 2008-10-23 The Board Of Trustees Of The University Of Illinois Metal complex compositions and methods for making metal-containing films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
FR2923221B1 (fr) 2007-11-07 2012-06-01 Air Liquide Procede de depot par cvd ou pvd de composes de bore
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR101576033B1 (ko) * 2008-08-19 2015-12-11 삼성전자주식회사 전구체 조성물, 박막 형성 방법, 이를 이용한 게이트 구조물의 제조 방법 및 커패시터의 제조 방법
US7998859B2 (en) * 2008-09-25 2011-08-16 Enthone Inc. Surface preparation process for damascene copper deposition
MX338021B (es) * 2009-09-30 2015-08-17 Univ Guanajuato Sintesis borodipirrometenos con propiedades de laser.
KR20110092836A (ko) 2010-02-10 2011-08-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8367866B2 (en) * 2010-03-19 2013-02-05 United Technologies Corporation Single-source precursor and methods therefor
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
WO2011125395A1 (ja) 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
JP5699980B2 (ja) 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
FR2978152B1 (fr) * 2011-07-22 2015-02-20 Univ Lille 1 Sciences & Technologies Nouveau procede de preparation de dialkylmagnesiens par polymerisation de l'ethylene et leurs applications
US8632941B2 (en) * 2011-09-22 2014-01-21 Eastman Kodak Company Negative-working lithographic printing plate precursors with IR dyes
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP2013191770A (ja) 2012-03-14 2013-09-26 Tokyo Electron Ltd 成膜装置の安定化方法及び成膜装置
KR101973834B1 (ko) * 2012-04-20 2019-04-29 코니카 미놀타 가부시키가이샤 유기 일렉트로루미네센스 소자
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US20130330473A1 (en) * 2012-06-11 2013-12-12 Wayne State University Atomic Layer Deposition of Transition Metal Thin Films Using Boranes as the Reducing Agent
EP2684887B1 (en) 2012-07-13 2015-06-17 Universite De Bordeaux New process for preparing arylboranes by arylation of organoboron compounds
US9318710B2 (en) * 2012-07-30 2016-04-19 Universal Display Corporation Organic electroluminescent materials and devices
US20140147684A1 (en) * 2012-11-26 2014-05-29 Korea Institute Of Science And Technology Gas barrier film and method of preparing the same
CN105143503A (zh) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US10556023B2 (en) * 2013-03-08 2020-02-11 The University Of British Columbia Substituted organofluoroborates as imaging agents
US20140273524A1 (en) * 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
GB201307334D0 (en) * 2013-04-23 2013-05-29 Novaucd Process
US20150014663A1 (en) * 2013-07-11 2015-01-15 Korea Institute Of Science And Technology Organic light emitting display apparatus and the method for manufacturing the same
KR20150009123A (ko) 2013-07-15 2015-01-26 삼성전자주식회사 레이저를 이용하여 반도체를 가공하는 장치
JP6018984B2 (ja) * 2013-07-31 2016-11-02 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子および画像表示装置
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR101718941B1 (ko) * 2013-09-30 2017-03-22 주식회사 엘지화학 광반응기를 갖는 고리형 올레핀 화합물 및 광반응성 중합체
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070086873A (ko) * 2004-11-29 2007-08-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 펜타보란(9)의 저장 및 급송 장치와 그 방법
JP2008222488A (ja) * 2007-03-12 2008-09-25 National Institute For Materials Science 立方晶窒化ホウ素の製造方法
KR20110090838A (ko) * 2010-02-04 2011-08-10 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막을 제조하는 방법
KR20140014020A (ko) * 2012-07-26 2014-02-05 노벨러스 시스템즈, 인코포레이티드 삼성분 텅스텐 붕소화 질화물 필름 및 형성 방법

Also Published As

Publication number Publication date
JP2018516233A (ja) 2018-06-21
KR102178735B1 (ko) 2020-11-13
US11605535B2 (en) 2023-03-14
WO2016160800A1 (en) 2016-10-06
TW201638097A (zh) 2016-11-01
CN107660209A (zh) 2018-02-02
TWI623543B (zh) 2018-05-11
KR102434246B1 (ko) 2022-08-18
US20200365401A1 (en) 2020-11-19
US20160293410A1 (en) 2016-10-06
EP3663301A1 (en) 2020-06-10
EP3663301B1 (en) 2023-08-30
US10763103B2 (en) 2020-09-01
CN116411261A (zh) 2023-07-11
EP3277696A1 (en) 2018-02-07
EP3277696B1 (en) 2020-03-11
KR20170133442A (ko) 2017-12-05
JP6781165B2 (ja) 2020-11-04

Similar Documents

Publication Publication Date Title
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR102067473B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
KR102251774B1 (ko) 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
KR102332415B1 (ko) 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
EP2669249A1 (en) Organoaminodisilane precursors and methods for depositing films comprising same
KR102153564B1 (ko) 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
KR20230046303A (ko) 조성물 및 게르마늄 시드층을 위한 이의 사용 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant