JP2022539699A - 選択的カーボン堆積 - Google Patents

選択的カーボン堆積 Download PDF

Info

Publication number
JP2022539699A
JP2022539699A JP2021576542A JP2021576542A JP2022539699A JP 2022539699 A JP2022539699 A JP 2022539699A JP 2021576542 A JP2021576542 A JP 2021576542A JP 2021576542 A JP2021576542 A JP 2021576542A JP 2022539699 A JP2022539699 A JP 2022539699A
Authority
JP
Japan
Prior art keywords
carbon
substrate
processing chamber
plasma
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021576542A
Other languages
English (en)
Inventor
グプタ・オウニッシュ
ラボア・エイドリアン
ジェイ. ヴァンシュラヴェンディク・バート
タン・サマンサ・シャンファ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022539699A publication Critical patent/JP2022539699A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【解決手段】処理チャンバ内の基板上にカーボンを堆積させる方法は、処理チャンバ内の基板支持体上に基板を配置することを含む。基板は、基板の少なくとも1つの下地層上に形成された第1の厚さを有するカーボン膜を含む。本方法は、第1のエッチング工程を実施して基板をエッチングして基板上にフィーチャを形成し、カーボン膜の一部を除去し、カーボン膜の第1の厚さを減少させることと、カーボン膜の残っている部分上にカーボンを選択的に堆積させることと、少なくとも1つの第2のエッチング工程を実施して基板をエッチングして基板上へのフィーチャの形成を完了することと、を含む。【選択図】図9

Description

関連出願の相互参照
本出願は、2019年6月24日に出願された米国仮特許出願第62/865,566号の利益を主張する。上記で参照された出願の開示の全体が参照により本明細書に組み込まれる。
本開示は、原子層堆積基板処理チャンバ内での選択的カーボン堆積に関する。
本明細書で提供される「背景技術」の記載は、本開示の文脈を概略的に提示することを目的としている。本明細書の「背景技術」に記載されている範囲における、本明細書にて名前を挙げた発明者の業績、並びに、出願時点で先行技術と見なされないかも知れない本明細書の態様は、明示的にも暗黙的にも本開示に対する先行技術として認められていない。
半導体ウェハーなどの基板を処置するために、基板処理システムを使用する場合がある。基板処置の例には、エッチング、堆積、フォトレジスト除去などが含まれる。処理中、基板は静電チャックなどの基板支持体上に配置され、1つ以上のプロセスガスが処理チャンバ内に導入されてよい。
1つ以上の処理ガスは、ガス送達システムにより処理チャンバに送達され得る。いくつかのシステムでは、ガス送達システムは、1つ以上の導管により、処理チャンバ内に位置するシャワーヘッドに接続されたマニホルドを含む。いくつかの例では、プロセスは原子層堆積(ALD)を使用して基板上に薄膜を堆積する。様々なエッチング及び堆積の交互のサイクルが同じ基板上で実施される場合がある。
他の特徴では、少なくとも1つの下地層は、ケイ素、二酸化ケイ素、及び窒化ケイ素のうちの少なくとも1つを含む。基板は、少なくとも1つの下地層上に形成された交互の酸化物-窒化物(ONON)層を含み、カーボン膜はONON層上に形成されている。フィーチャを形成することは、ONON層中にONONピラーを形成することを含む。カーボン膜はアモルファスハードマスク(AHM)膜である。第1の厚さは1μm以下である。
他の特徴では、カーボンを選択的に堆積することは、原子層堆積(ALD)プロセスを使用してカーボンを堆積することを含む。ALDプロセスを実施することは、ドーズ工程第1の期間において、処理チャンバ内に少なくとも1つのカーボン含有前駆体ガスを供給することと、第2の期間におけるパージ工程において処理チャンバをパージさせることと、第3の期間におけるプラズマ工程において処理チャンバ内にプラズマを生成させることと、を含む。ALDプロセスを実施することは、ドーズ工程、パージ工程、及びプラズマ工程を繰り返し交互に行うことを含む。プラズマを生成させることは、少なくとも1つのカーボン含有前駆体ガスを供給せずに、処理チャンバ内にプラズマプロセスガスを供給しながらプラズマを生成させることを含む。
他の特徴では、基板上にカーボンシード層を堆積させ、カーボンシード層上にカーボン膜を堆積させる。カーボンシード層は、フッ化カーボン(CFx)を含み、ここで、xは整数である。カーボンシード層を堆積することは、CVD又はPECVDプロセスを使用してカーボンシード層を堆積することを含む。カーボンシード層を堆積することは、処理チャンバ内にカーボン含有前駆体ガスを供給することを含む。カーボン含有前駆体ガスは、四臭化炭素(CBr4)、トリブロモメタン(CHBr3)、及びトリブロモメタン(CH2Br2)のうちの少なくとも1つを含む。
処理チャンバ内の基板上にカーボンを堆積するように構成されたシステムは、処理チャンバ内にプロセスガスを供給するように構成されたガス送達システムと、処理チャンバ内でプラズマを生成するように構成された無線周波数(RF)プラズマ生成システムと、コントローラと、を含む。基板は、基板の少なくとも1つの下地層上に形成された第1の厚さを有するカーボン膜を含む。コントローラは、基板が処理チャンバ内の基板支持体上に配置された状態で、RFプラズマ生成システムを制御して、第1のエッチング工程を実施させて基板をエッチングさせて基板上にフィーチャを形成させ、カーボン膜の一部を除去させ、カーボン膜の第1の厚さを減少させ、ガス送達システム制御して、カーボン膜の残っている部分上にカーボンを選択的に堆積させ、RFプラズマ生成システムを制御して、少なくとも1つの第2のエッチング工程を実施して基板をエッチングして基板上へのフィーチャの形成を完了させる、ように構成されている。
他の機能では、コントローラは、ガス送達システム及びRFプラズマ生成システムを制御して、原子層堆積(ALD)プロセスを実施させて、カーボンを堆積させるように構成されている。ALDプロセスを実施するために、コントローラは、ガス送達システムを制御して、ドーズ工程第1の期間において、処理チャンバ内に少なくとも1つのカーボン含有前駆体ガスを供給させ、第2の期間におけるパージ工程において処理チャンバをパージさせ、RFプラズマ生成システムを制御して、第3の期間におけるプラズマ工程において処理チャンバ内にプラズマを生成させる、ように構成されている。
他の特徴では、コントローラは、ガス送達システム及びRFプラズマ生成システムを制御して、基板上にカーボンシード層を堆積させ、カーボンシード層上にカーボン膜を堆積させる、ように構成されている。カーボンシード層を堆積することは、処理チャンバ内にカーボン含有前駆体ガスを供給して、CVD又はPECVDプロセスを使用してカーボンシード層を堆積させることを含む。カーボン含有前駆体ガスは、四臭化炭素(CBr4)、トリブロモメタン(CHBr3)、及びトリブロモメタン(CH2Br2)のうちの少なくとも1つを含む。
処理チャンバ内の基板上にカーボンを堆積させる方法は、処理チャンバ内の基板支持体上に基板を配置することを含む。基板は、基板の少なくとも1つの下地層上に形成された第1の厚さを有するカーボン膜を含む。本方法は、第1のエッチング工程を実施して基板をエッチングして基板上にフィーチャを形成し、カーボン膜の一部を除去し、カーボン膜の第1の厚さを減少させることと、カーボン膜の残っている部分上にカーボンを選択的に堆積させることと、少なくとも1つの第2のエッチング工程を実施して基板をエッチングして基板上へのフィーチャの形成を完了することと、を更に含む。
本開示の適用可能な更なる領域が、「発明を実施するための形態」、「特許請求の範囲」、及び図面から明らかとなるであろう。「発明を実施するための形態」及び具体例は、例示のみを目的としており、開示の範囲を限定することを意図していない。
本開示は、詳細な説明及び添付の図面からより完全に理解されるであろう。
図1は、本開示による基板処理システムの一例の機能ブロック図である。
図2Aは、カーボンマスク堆積プロセスの一例を示す。 図2Bは、カーボンマスク堆積プロセスの一例を示す。 図2Cは、カーボンマスク堆積プロセスの一例を示す。 図2Dは、カーボンマスク堆積プロセスの一例を示す。
図3Aは、本開示による選択的カーボン堆積プロセスの一例を示す。 図3Bは、本開示による選択的カーボン堆積プロセスの一例を示す。 図3Cは、本開示による選択的カーボン堆積プロセスの一例を示す。 図3Dは、本開示による選択的カーボン堆積プロセスの一例を示す。 図3Eは、本開示による選択的カーボン堆積プロセスの一例を示す。 図3Fは、本開示による選択的カーボン堆積プロセスの一例を示す。
図4Aは、本開示による選択的カーボン堆積プロセスの別の一例を示す。 図4Bは、本開示による選択的カーボン堆積プロセスの別の一例を示す。 図4Cは、本開示による選択的カーボン堆積プロセスの別の一例を示す。 図4Dは、本開示による選択的カーボン堆積プロセスの別の一例を示す。 図4Eは、本開示による選択的カーボン堆積プロセスの別の一例を示す。
図5Aは、本開示によるコンフォーマルカーボンALDプロセスの一例を示す。 図5Bは、本開示によるコンフォーマルカーボンALDプロセスの一例を示す。 図5Cは、本開示によるコンフォーマルカーボンALDプロセスの一例を示す。
図6Aは、本開示によるカーボン保護層を堆積するためのプロセスの一例を示す。 図6Bは、本開示によるカーボン保護層を堆積するためのプロセスの一例を示す。 図6Cは、本開示によるカーボン保護層を堆積するためのプロセスの一例を示す。
図7Aは、本開示による、基板上に形成されるフィーチャのピッチを低減させるためのプロセスの一例を示す。 図7Bは、本開示による、基板上に形成されるフィーチャのピッチを低減させるためのプロセスの一例を示す。
図8Aは、本開示による、コンフォーマルカーボン堆積を使用するダブルパターニングプロセスの一例を示す。 図8Bは、本開示による、コンフォーマルカーボン堆積を使用するダブルパターニングプロセスの一例を示す。 図8Cは、本開示による、コンフォーマルカーボン堆積を使用するダブルパターニングプロセスの一例を示す。 図8Dは、本開示による、コンフォーマルカーボン堆積を使用するダブルパターニングプロセスの一例を示す。
図9は、本開示による、選択的カーボン堆積プロセスを実施する例示的な方法の工程を示す。
図面において、参照番号は、類似の及び/又は同一の要素を識別するために再利用される場合がある。
膜(例えば、アモルファスカーボン膜)を下地層又は基板の上に堆積させるために、堆積プロセスが使用される場合がある。いくつかの例では、その後のパターンエッチング工程中に基板のフィーチャを保護するためのマスクとして、膜が堆積されてよい。例えば、いくつかのパターニングプロセス(例えば、メモリホール又は他の酸化物窒化物(ONON)のパターニング)では、異方性エッチング工程中にフィーチャを保護するために、アモルファスカーボンハードマスク(AHM)膜が基板上に堆積されてよい。
AHM膜は、選択的エッチング工程中に基板上に形成されたフィーチャ(例えば、ONONピラー又はスタック)の上部を保護する。しかしながら、エッチング工程はAHM膜からも材料を除去する。それに応じて、ディープエッチングの場合(すなわち、ONONスタックがより高い場合)、より長いエッチング期間に耐えるように、堆積されるAHM膜の厚さを増加させなければならない。より厚いAHM膜に関連する重量の増加は、基板及び/又はONONフィーチャの湾曲を引き起こす場合がある。他の例では、パターンのピッチを規定するためにフォトレジスト膜が使用されてよい。しかしながら、フォトレジストフィルムを使用する場合、ピッチを更に低減させることは難しい場合がある。
本開示によるシステム及び方法は、(例えば、原子層堆積又はALDを使用して)以前に堆積されたカーボン膜上にカーボンを選択的に堆積する。例えば、カーボン膜は、化学蒸着(CVD)を用いて、基板上に、又はケイ素(Si)、二酸化ケイ素(SiO2)、窒化ケイ素(SiN)などを含む下地層上に堆積されてよく、及び/又はいくつかの例では、前の工程においてカーボン含有膜をエッチングした結果として偶発的に堆積されてよい。第1のエッチング期間に続いて、カーボンは、選択的カーボン成長工程で(例えば、ALDを使用して)カーボン膜上に再堆積されてよい。例えば、選択的カーボン成長工程は、以前に堆積されたカーボン膜の残っている部分上にのみカーボンを堆積させ、他(例えば、Si、SiO2、SiNなど)のフィーチャには堆積させなくてよい。他の表面上に堆積されたいかなる僅かな量のカーボンも、等方性エッチングにより除去されてよい。必要に応じて、カーボンの追加堆積を実施してよい。例えば、カーボン堆積工程及びエッチング工程が交互に実施されてよい。このように、カーボン膜により提供されるエッチング保護の量は、堆積されたAHM膜の初期厚さを増加させることなく、追加エッチング期間にわたって延長され得る。
ここで図1を参照すると、本開示の原理に従って選択的カーボン堆積を実施するように構成された基板処理システム100の一例が示される。基板処理システム100は、処理チャンバ108内に配置された基板支持体(例えば、ペデスタル)104を含む。基板112は、処理のために基板支持体104上に配置される。例えば、堆積及びエッチング工程を含む処理が、基板112上で実施されてよい。
ガス送達システム120が、プロセスガスを処理チャンバ108内に流すように構成されている。例えば、ガス送達システム120は、バルブ124-1、124-2、…、及び124-N(総称してバルブ124)に接続されたガス源122-1、122-2、…、及び122-N(総称してガス源122)、並びにマスフローコントローラ126-1、126-2、…、及び126-N(総称してMFC 126)、を含む。MFC126は、ガス源122から、ガスが混合されるマニホルド128へのガスの流れを制御する。マニホルド128の出力は、任意選択の圧力調整器132を介して、マルチインジェクタシャワーヘッド140などのガス分配装置に供給される。
いくつかの例では、抵抗性ヒータ160を使用して基板支持体104の温度が制御されてよい。基板支持体104は、冷却剤チャネル164を含んでよい。冷却流体は、流体貯蔵部168及びポンプ170から冷却剤チャネル164に供給される。圧力センサ172、174は、圧力を測定するために、それぞれマニホルド128又はシャワーヘッド140に配置されてよい。反応物質を処理チャンバ108から排出するために、及び/又は処理チャンバ108内の圧力を制御するために、バルブ178及びポンプ180を使用してよい。
コントローラ182は、ガス送達システム120からのガスの送達を制御する。いくつかの例では、コントローラ182は、マルチインジェクタシャワーヘッド140により提供されるドーズを制御するドーズコントローラ184を含んでよい。コントローラ182は、バルブ178及びポンプ180を使用して、処理チャンバ内の圧力及び/又は反応物質の排出を制御する。コントローラ182は、(例えば、基板支持体内のセンサ(図示せず)及び/又は冷却剤温度を測定するセンサ(図示せず)からの)温度フィードバックに基づいて、基板支持体104及び基板112の温度を制御する。本開示によるコントローラ182は、以下により詳細に説明するように、ガス送達システム120を制御して選択的カーボン堆積を実施するように構成されている。
いくつかの例では、基板処理システム100は、(例えば、コントローラ182に応答して)同じ処理チャンバ108内の基板112にエッチングを実施するように構成されてよい。それに応じて、基板処理システム100は、(例えば、電圧源、電流源などとして)RF電力を生成し下部電極(例えば、図示するような基板支持体104のベースプレート)及び上部電極(例えば、シャワーヘッド140)のうちの1つに供給するように構成されたRF生成システム188を含んでよい。下部電極と上部電極のうちのもう一方は、DC接地、AC接地、又はフローティングであってよい。例としてのみ、RF生成システム188はRF発生器192を含んでよく、RF発生器はRF電圧を生成し、RF電圧はマッチング及び分配ネットワーク196により供給されて、処理チャンバ108内にプラズマを生成させて基板112をエッチングするように構成されている。他の例では、プラズマは、誘導的に又はリモートで生成されてよい。RF生成システム188は、例示的な目的で、容量結合プラズマ(CCP)システムに対応するように示されているが、本開示の原理はまた、例としてのみ、トランス結合プラズマ(TCP)システム、CCPカソードシステム、リモートマイクロ波プラズマ生成及び送達システムなど、他の好適なシステムにも実装されてよい。
ここで図2A、図2B、図2C、及び図2Dを参照すると、カーボンマスク堆積プロセスの一例が示される。図2Aは、処理用に提供される例示的な基板200を示す。例えば、基板200は、1つ以上の下地層204を含んでよい。下地層204は、Si、SiO2、SiNなどを含んでよい。図2Bは、下地層204上に堆積された交互のONON層208を示す。図2Cは、ONON層208上に堆積されたAHM層212(例えば、カーボンAHM膜)を示す。図2Dは、ONON層208をエッチングすることにより形成されたONONフィーチャ216(例えば、ONONピラー又はスタック)を示す。AHM層212は、選択的エッチング工程中に形成されたONONフィーチャ216の上部を保護する。図示するように、初期のAHM層212は、ONONフィーチャ216のエッチングが完了するまでエッチングに耐えるのに十分な(例えば、2μmを超える)厚さである。
ここで図3A、図3B、図3C、図3D、図3E、及び3Fを参照すると、本開示による選択的カーボン堆積プロセスの一例が示される。図3Aは、処理用に提供される例示的な基板300を示す。例えば、基板300は、1つ以上の下地層304を含んでよい。下地層304は、Si、SiO2、SiNなどを含んでよい。図3Bは、下地層304上に堆積された交互のONON層308を示す。
図3Cは、(例えば、CVD又はプラズマ強化CVD(PECVD)プロセスを使用して)ONON層308上に堆積されたAHM層312(例えば、カーボンAHM膜)を示す。この例では、AHM層312の厚さが、図2Cに示すAHM層212の厚さよりも著しく薄い(320で示すように)。例えば、AHM層312の厚さは、AHM層212の厚さの50%以下(例えば、1μm以下)であってよい。図3Dは、ONON層308をエッチングすることにより形成されたONONフィーチャ316を示す。AHM層312は、選択的エッチング工程中に形成されたONONフィーチャ316の上部を保護する。
図3Eは、(例えば、以下により詳細に説明されるようなALDプロセスを使用して)AHM層312上に再堆積された追加のカーボン材料を示す。換言すれば、図3Dにおいて実施された初期エッチングに続いて、且つONONフィーチャ316のエッチングを完了する前に、AHM層312上にカーボンが選択的に再堆積されて、AHM層312の厚さが増加する。例えば、カーボンは、ONONフィーチャ316又はONON層308上にカーボンが堆積されることなくAHM層312の残っている部分上にカーボンが堆積されるように、選択的カーボン成長工程において選択的に再堆積される。ONONフィーチャ316又はONON層308上に堆積されたいかなるカーボンも、その後の異方性エッチング工程で除去されてよい。
このようにして、AHM層312の厚さは、エッチング中に失われた材料を補償するために増加される。図3Fは、追加エッチング後のONONフィーチャ316を示す。図3E及び図3Fに記載されるように、AHM層312上へのカーボンの再堆積とONONフィーチャ316のエッチングとが必要に応じて交互に繰り返されて、ONONフィーチャ316の所望の深さへのエッチングが完了されてよい。
一例では、第1の期間(例えば、5~20秒間)のドーズ工程において、1種以上のカーボン前駆体ガス(例えば、アセチレン、すなわちC22ガスなどの炭化水素種(Cxy)を含む前駆体)のドーズを処理チャンバ108内に供給することにより、ALDプロセスを実施して、AHM層312のカーボンを選択的に堆積させてよい。(例えば、アルゴン、すなわちArガスを使用する)パージ工程が、その後の第2の期間で(例えば、1~10秒間)実施されてよい。パージ工程に続く第3の期間(例えば、0.1~1.0秒)において、RFプラズマ工程が実施される。RFプラズマ工程中は、プラズマプロセスガス(例えば、Arガス)が供給されてよい一方で、前駆体ガスは供給されない。換言すれば、プラズマは、パージ工程の後に、Arガスを流しているが追加の前駆体ガスを供給していない間に、処理チャンバ108内で生成され得る。それに応じて、RFプラズマ工程中に比較的少量のカーボン(例えば、1~2オングストローム)が堆積される。処理チャンバ108から副生成物をパージするために、第4の期間において第2のパージ工程が(例えば、1~10秒)実施されてよい。
図3C及び図3Eに示すように、カーボンを選択的に堆積させるために、ドーズ、パージ、及びRFプラズマ工程が複数回(例えば、200~300サイクルにわたり)繰り返されてよい。いくつかの例では、この選択的カーボン堆積プロセスは、AHM層312上に30~50nmのカーボンの堆積をもたらす一方で、Si、SiO2、又はSiN層上には、0~3.0nmのカーボンのみが堆積される。
図4A、図4B、図4C、図4D、及び図4Eは、本開示による選択的カーボン堆積プロセスの別の一例を示す。この例では、カーボン核形成又はシード層が堆積され、カーボンシード層上に追加のカーボン材料が(例えば、ALDを使用して)選択的に堆積される。図4Aは、1つ以上の下地層404(例えば、Si、SiO2、SiNなど)、下地層404上に堆積された交互のONON層408、及びONON層408上に堆積されたAHM層412(例えば、カーボンAHM膜)、を含む例示的な基板400を示す。例えば、カーボンシード層414がONON層408上に堆積され、AHM層412はカーボンシード層414上に堆積される。いくつかの例では、カーボンシード層414は、ONON層408上にコンフォーマルに堆積されたCFx層に対応し得る。AHM層412のカーボンは、CFx層上に選択的に堆積する。
いくつかの例では、カーボンシード層414は、CVD又はPECVDプロセスを使用して堆積されてよい。カーボンシード層414は、0.5~2オングストロームの厚さを有してよい。一例では、臭化炭素前駆体ガス(例えば、四臭化炭素、すなわちCBr4)を処理チャンバ108内に流して、カーボンシード層414を堆積させる。他の例示的な前駆体ガスは、トリブロモメタン(CHBr3)及びトリブロモメタン(CH2Br2)を含むが、これらに限定されない。
いくつかの例では、C22前駆体ガスを処理チャンバ108内に流し、RFプラズマ工程においてプラズマを生成することにより、(例えば、ALDを使用して)カーボンシード層414上にカーボンが選択的に堆積されて、AHM層412が形成される。例えば、Arガスなどのプラズマプロセスガスが、RFプラズマ工程中に供給されて、カーボンシード層414上へのカーボンの選択的堆積を生じさせてよい。選択的カーボン堆積を実施する前及び/又は実施した後に、任意選択のパージ工程が、図3A~図3Fに記載したものと類似の形で実施されてよい。
図4Bは、ONON層408をエッチングすることにより形成されたONONフィーチャ416を示す。AHM層412は、選択的エッチング工程中に形成されたONONフィーチャ416の上部を保護する。図4Cは、エッチングにより、AHM層412がカーボンシード層414に至るまで除去された例を示す。図4Dは、AHM層412を再形成するために、カーボンシード層414上に堆積された追加のカーボン材料を示す。換言すれば、図4Bにおいて実施された初期エッチングに続いて、且つONONフィーチャ416のエッチングを完了する前に、カーボンシード層414上にカーボンが選択的に再堆積されて、AHM層312の厚さが増加する。例えば、カーボンは、ONONフィーチャ416又はONON層408上にカーボンが堆積されることなくカーボンシード層414及び/又はAHM層412の残っている部分上にカーボンが堆積されるように、選択的カーボン成長工程において(例えば、ALDを使用して)選択的に再堆積される。ONONフィーチャ416又はONON層408上に堆積されたいかなるカーボンも、その後の異方性エッチング工程で除去されてよい。
図4Eは、追加エッチング後のONONフィーチャ416を示す。図4D及び図4Fに記載されるように、カーボンシード層414及び/又はAHM層412上へのカーボンの再堆積とONONフィーチャ416のエッチングとが必要に応じて交互に繰り返されて、ONONフィーチャ416の所望の深さへのエッチングが完了されてよい。
図5A、図5B、及び図5Cは、本開示によるコンフォーマルカーボンALDプロセスの一例を示す。図5Aは、1つ以上の下地層504、及び下地層上に形成されたパターンフィーチャ(例えば、スタック又はピラー)508、を含む例示的な基板500を示す。下地層504は、Si、SiO2、SiNなどを含んでよい。例としてのみ、パターンフィーチャ508は、ケイ素、窒化ケイ素、酸化ケイ素、ONON層などを含むフィーチャに対応してよい。
図5Bは、パターンフィーチャ508上に堆積されたカーボンシード層512を示す。例えば、カーボンシード層512は、PECVDプロセスを使用して堆積された層に対応してよい。一例では、PECVDプロセスは、1つ以上の前駆体ガス(例えば、CBr4)を処理チャンバ108に流し、加えて、ヘリウム(He)、分子水素(H2)などのプラズマプロセスガスを流しながらプラズマを生成させることを含む。
図5Cは、カーボンシード層512上に形成されたコンフォーマルカーボンALD層516を示す。例えば、コンフォーマルカーボンALD層516は、カーボンシード層512上にカーボンを選択的に堆積させることにより形成される。例えば、カーボンは、C22ガスなどの炭化水素前駆体を処理チャンバ108内に流し、RFプラズマ工程においてプラズマを生成することにより、カーボンシード層512上に選択的に堆積される。例としてのみ、Arガスなどのプラズマプロセスガスが、RFプラズマ工程中に供給されて、カーボンシード層512上へのカーボンの選択的堆積を生じさせてよい。
図6A、図6B、及び図6Cは、本開示による、カーボン保護層を堆積するためのプロセスの一例を示す。図6Aは、1つ以上の下地層604、下地層604上に形成されたONON層608、及び以前にONON層608中にエッチングされたONONフィーチャ612、を含む例示的な基板600を示す。下地層504は、Si、SiO2、SiNなどを含んでよい。図示するように、カーボンマスク層の残っている部分(例えば、カーボンAHM、金属ドープダイヤモンドライクカーボン(MDLC)層など)616が、ONONフィーチャ612上に形成されてよい。カーボンマスク層616は、エッチング中にONONフィーチャ612の上面を保護する。
図6Bは、カーボンマスク層616、ONON層608の上面、及びONONフィーチャ612の側壁624の上に選択的に堆積された、コンフォーマルカーボン保護層620を示す。例えば、カーボン保護層620は、ALDプロセスを使用して堆積された層に対応してよい。一例では、ALDプロセスは、1つ以上の前駆体ガス(例えば、CBr4)を処理チャンバ108に流し、加えて、ヘリウム(He)、分子水素(H2)などのプラズマプロセスガスを流しながらプラズマを生成させて、カーボン保護層620をコンフォーマルに堆積させることを含む。他の例では、カーボン保護層620は、別個の工程では堆積されない。むしろ、カーボン保護層620は、エッチング工程中に再堆積されるカーボンマスク層616からの材料により形成されてよい。
図6Cは、追加エッチング後のONONフィーチャ612を示す。ONONフィーチャ612の側壁624を保護するカーボン保護層620の一部分がエッチングされている。それに応じて、図6Cに示すようなカーボン保護層620は、図6Bに比べて薄い。カーボン保護層620は、追加エッチング工程のために再堆積されてよい。このようにして、ONONフィーチャ612のエッチングが完了するまで、カーボン保護層620の堆積とエッチング工程とが交互に繰り返されてよい。
図7A及び図7Bは、本開示による、基板700上に形成されるフィーチャのピッチを低減させるための例示的なプロセスを示す。図7Aは、AHM704などの1つ以上の下地層、エッチング停止層(ESL)708など、及び下地層上に形成されたパターンフィーチャ(例えば、マンドレル又はスペーサ)712、を含む基板700の例を示す。マンドレル712は、以降のエッチング工程で除去され得る任意の好適な犠牲材料(例えば、Si、SiO2など)を含んでよい。マンドレル712は、ピッチ716に応じて間隔を置いて配置されている。
図7Bは、マンドレル712上に選択的に堆積されたコンフォーマルカーボン層720を示す。例えば、コンフォーマルカーボン層720は、他の実施例で上述したようなALDプロセスを使用して堆積された層に対応してよい。コンフォーマルカーボン層720により、マンドレル712間の間隔が低減され、新しい低減されたピッチ724が形成される。
図8A、図8B、図8C、及び図8Dは、本開示による、コンフォーマルカーボン堆積を使用するダブルパターニングプロセスの一例を示す。図8Aは、1つ以上の下地層804、バッファ層(例えば、SiN又はSiN2層)808、及び下地層上に形成されたパターンフィーチャ(例えば、マンドレル又はスペーサ)812、を含む基板800の例を示す。マンドレル812は、後続のエッチング工程で除去され得る任意の適切な犠牲材料(例えば、Si、SiO2など)を含んでよい。
図8Bは、マンドレル812上に選択的に堆積されたコンフォーマル(例えば、アモルファス)カーボン層816を示す。例えば、コンフォーマルカーボン層816は、他の実施例で上述したようなALDプロセスを使用して堆積された層に対応してよい。次いで、図8Cに示すように、コンフォーマルカーボン層816は、バッファ層808から及びマンドレル812の上面から選択的に(すなわち、SiO2、SiN2などに対して)エッチングされることができ、一方で、カーボン層816の側壁(例えば、側壁スペーサ)820が基板800上に残っている。いくつかの例では、カーボン層816のエッチングは、1つ以上のアッシング工程を含む。
図8Dに示すように、追加エッチング工程が実施されて、側壁スペーサ820の間からマンドレル812が除去される。側壁スペーサ820は、追加の処理工程のために基板800上に残っている。
上述した例に加えて、他の半導体処理工程に対してコンフォーマルカーボン堆積が使用されてよい。例えば、PECVD又は他のALDプロセスを使用して、基板上にカーボンをコンフォーマルに堆積させて基板内のボイドを充填(すなわち、ギャップ充填)してよい。
ここで図9を参照すると、本開示による選択的カーボン堆積プロセスを実施する例示的な方法900が、904において始まる。908において、処理チャンバ内に基板が配置される。例えば、基板は、1つ以上の下地層と、下地層上に堆積された交互のONON層とを含んでよい。912において、任意選択のカーボン核生成又はシード層がONON層上に堆積される。916において、AHM層(例えば、カーボンAHM膜)が、ONON層(及び/又はカーボンシード層)上に堆積される。920において、ONON層をエッチングすることにより、ONONフィーチャ(例えば、スタック又はピラー)が形成される。
924において、追加のカーボン材料がAHM層上に選択的に堆積されて、エッチング工程中に除去された材料を置換する(例えば、ALDプロセスを使用して)。一例では、第1の期間(例えば、5~20秒間)のドーズ工程において、1種以上のカーボン前駆体ガス(例えば、アセチレン、又はC22、ガス)のドーズを処理チャンバ内に供給することにより、AHM層の選択的カーボン堆積が実施される。(例えば、アルゴン、すなわちArガスを使用する)パージ工程が、その後の第2の期間で(例えば、1~10秒間)実施されてよい。パージ工程に続く第3の期間(例えば、0.1~1.0秒)において、RFプラズマ工程が実施される。処理チャンバから副生成物をパージするために、第4の期間において第2のパージ工程が(例えば、1~10秒)実施されてよい。ドーズ、パージ、及びRFプラズマの工程を複数回繰り返して、所望の量のカーボンを選択的に堆積させてよい。
928において、追加エッチングが実施されて、ONONフィーチャが完成する。924及び928において実施されるAHM層上へのカーボンの再堆積とONONフィーチャのエッチングとを、必要に応じて交互に繰り返して、ONONフィーチャの所望の深さへのエッチングが完了されてよい。方法900は932において終了する。
前述の説明は本質的に単なる例示に過ぎず、本開示、その適用又は使用を限定することは決して意図されていない。本開示の広範な教示は、様々な形で実現され得る。したがって、本開示は特定の例を含むが、図面、明細書、及び以下の特許請求の範囲を検討すると、他の修正形態が明らかになるであろうから、本開示の真の範囲はそのように限定されるべきではない。方法における1つ以上の工程は、本開示の原理を変更することなく、異なる順序で(又は同時に)実行されてよいことを理解すべきである。更に、実施形態の各々は、特定の特徴を有するものとして上述されているが、本開示の任意の実施形態に関して記載されているこれらの特徴のうちのいずれか1つ以上を、他の実施形態のいずれかに実装することができ、及び/又は、他の実施形態のいずれかの特徴と組み合わせることができ、その組み合わせは、たとえ明示的に説明されていなくてよい。換言すれば、記載した実施形態は相互排他的ではなく、1つ以上の実施形態の順序を互いに並べ換えることは、本開示の範囲内にとどまる。
要素間の空間的及び機能的関係(例えば、モジュール間、回路要素間、半導体層間など)は、「接続された」、「係合された」、「結合された」、「隣接する」、「隣の」、「上の」、「上方の」、「下方の」、「配置された」を含む様々な用語を使用して説明される。「直接」であると明示的に記載されていない限り、上述した開示に、第1の要素と第2の要素との間の関係が記載されている場合、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係であり得るが、1つ以上の介在要素が(空間的又は機能的のいずれかで)第1の要素と第2の要素との間に存在する間接的な関係でもあり得る。本明細書で使用する場合、A、B、及びCのうちの少なくとも1つ、という語句は、非排他的論理和ORを使用した論理(A OR B OR C)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、及びCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実現形態では、コントローラは、上述した実施例の一部であってよいシステムの一部である。このようなシステムは、処理ツール(単数又は複数)、チャンバ(単数又は複数)、処理用プラットフォーム(単数又は複数)、及び/又は特定の処理構成要素(ウェハーペデスタル、ガスフローシステムなど)を含む、半導体処理装置を備えることができる。これらシステムは、半導体ウェハー又は基板の処理前、処理中、及び処理後の作業を制御するための電子機器に組み込まれてよい。電子機器は、システム(単数又は複数)の様々な構成要素又は副部品を制御し得る「コントローラ」と呼ばれる場合がある。コントローラは、処理要件及び/又はシステムのタイプに応じて、処理ガスの送達、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送達設定、位置及び作業設定、特定のシステムと接続しているか又はインターフェースしているツール及び他の搬送ツール並びに/又はロードロックに対するウェハーの搬出入、を含む、本明細書に開示されるプロセスのいずれをも制御するようにプログラムされてよい。
大まかに言って、コントローラは、様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有し、命令を受信し、命令を発行し、作業を制御し、クリーニング作業を有効にし、エンドポイント測定を有効にするような電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、及び/又は1つ以上のマイクロプロセッサ、又はプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラ、を含んでよい。プログラム命令は、様々な個別設定(又はプログラムファイル)の形態でコントローラに通信される命令であって、特定のプロセスを半導体ウェハー上で若しくは半導体ウェハー用に、又はシステムに対して実施するための作業パラメータを定義してよい。いくつかの実施形態では、作業パラメータは、1つ以上の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、及び/又はウェハーダイの作製時に、1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実現形態では、コントローラは、システムに組み込まれた、システムに結合された、若しくはシステムにネットワーク接続された、又はこれらの組み合わせである、コンピュータの一部であるか、又はそのコンピュータに結合されていてよい。例えば、コントローラは「クラウド」内にあるか、又はファブホストコンピュータシステムの全て若しくは一部であってよく、それによりウェハー処理のリモートアクセスが可能になり得る。コンピュータは、システムへのリモートアクセスを可能にして、製造作業の現在の進行状況を監視し、過去の製造作業の履歴を調査し、複数の製造作業から傾向又は性能の指標を調査して、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定するか、又は新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワーク又はインターネットを含んでよいネットワークを経由して、プロセスレシピをシステムに提供することができる。リモートコンピュータは、パラメータ及び/又は設定の入力若しくはプログラミングを可能にするユーザインターフェースを含んでよく、パラメータ及び/又は設定は次いで、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、1つ以上の作業中に実施される各処理工程のためのパラメータを指定するデータ形式の命令を受信する。パラメータは、実施されるプロセスのタイプ、及びコントローラがインターフェースするか、又は制御するように構成されているツールのタイプに固有のものであってよいことを理解されたい。したがって、上述したように、コントローラは、1つ以上の個別のコントローラを備え、これらが一緒にネットワーク化され、本明細書に記載されるプロセス及び制御などの共通の目的に向けて動作することなどによって分散されてよい。そのような目的のための分散コントローラの例は、遠隔に置かれた(例えば、プラットフォームレベルで、又はリモートコンピュータの一部として)1つ以上の集積回路と通信状態にあるチャンバ上の1つ以上の集積回路であってよく、これらが組み合わされてチャンバでのプロセスを制御する。
限定するわけではないが、例示的なシステムは、プラズマエッチングチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属めっきチャンバ又はモジュール、クリーニングチャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理蒸着(PVD)チャンバ又はモジュール、化学蒸着(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、トラックチャンバ又はモジュール、及び半導体ウェハーの作製及び/又は製造に関連するか若しくは使用されてよい任意の他の半導体処理システム、を含んでよい。
上述したように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路又はモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接ツール、隣り合うツール、工場全体に置かれたツール、メインコンピュータ、別のコントローラ、又は半導体製造工場内のツール場所及び/又はロードポートとの間でウェハー容器を搬出入する材料搬送に使用されるツール、のうちの1つ以上と通信し得る。

Claims (20)

  1. 処理チャンバ内の基板上にカーボンを堆積させる方法であって、前記方法は、
    前記基板を前記処理チャンバ内の基板支持体上に配置することであって、前記基板は、前記基板の少なくとも1つの下地層上に形成された第1の厚さを有するカーボン膜を含む、ことと、
    第1のエッチング工程を実施して前記基板をエッチングして前記基板上にフィーチャを形成することであって、前記第1のエッチング工程を実施することにより、前記カーボン膜の一部が除去され、前記カーボン膜の前記第1の厚さが減少する、ことと、
    前記カーボン膜の残っている部分上にカーボンを選択的に堆積させることと、
    少なくとも1つの第2のエッチング工程を実施して前記基板をエッチングして前記基板上への前記フィーチャの形成を完了することと、を含む、方法。
  2. 請求項1に記載の方法であって、前記少なくとも1つの下地層は、ケイ素、二酸化ケイ素、及び窒化ケイ素のうちの少なくとも1つを含む、方法。
  3. 請求項1に記載の方法であって、前記基板は、前記少なくとも1つの下地層上に形成された交互の酸化物-窒化物(ONON)層を含み、前記カーボン膜は前記ONON層上に形成されている、方法。
  4. 請求項3に記載の方法であって、前記フィーチャを形成することは、前記ONON層中にONONピラーを形成することを含む、方法。
  5. 請求項1に記載の方法であって、前記カーボン膜はアモルファスハードマスク(AHM)膜である、方法。
  6. 請求項1に記載の方法であって、前記第1の厚さは1μm以下である、方法。
  7. 請求項1に記載の方法であって、前記カーボンを選択的に堆積させることは、原子層堆積(ALD)プロセスを使用して前記カーボンを堆積することを含む、方法。
  8. 請求項7に記載の方法であって、前記ALDプロセスを実施することは、ドーズ工程第1の期間において前記処理チャンバ内に少なくとも1つのカーボン含有前駆体ガスを供給することと、
    第2の期間におけるパージ工程において前記処理チャンバをパージすることと、
    第3の期間におけるプラズマ工程において前記処理チャンバ内にプラズマを生成させることと、を含む方法。
  9. 請求項8に記載の方法であって、前記ALDプロセスを実施することは、前記ドーズ工程、前記パージ工程、及び前記プラズマ工程を繰り返し交互に行うことを含む、方法。
  10. 請求項8に記載の方法であって、前記プラズマを生成させることは、前記少なくとも1つのカーボン含有前駆体ガスを供給せずに、前記処理チャンバ内にプラズマプロセスガスを供給しながら前記プラズマを生成させることを含む、方法。
  11. 請求項1に記載の方法であって、前記基板上にカーボンシード層を堆積させ、前記カーボンシード層上に前記カーボン膜を堆積させることを更に含む、方法。
  12. 請求項11に記載の方法であって、前記カーボンシード層はフッ化カーボン(CFx)を含み、xは整数である、方法。
  13. 請求項11に記載の方法であって、前記カーボンシード層を堆積することは、CVD又はPECVDプロセスを使用して前記カーボンシード層を堆積すること含む、方法。
  14. 請求項11に記載の方法であって、前記カーボンシード層を堆積することは、前記処理チャンバ内にカーボン含有前駆体ガスを供給することを含む、方法。
  15. 請求項14に記載の方法であって、前記カーボン含有前駆体ガスは、四臭化炭素(CBr4)、トリブロモメタン(CHBr3)、及びトリブロモメタン(CH2Br2)のうちの少なくとも1つを含む、方法。
  16. 処理チャンバ内の基板上にカーボンを堆積させるように構成されたシステムであって、前記システムは、
    前記処理チャンバ内にプロセスガスを供給するように構成されたガス送達システムと、
    前記処理チャンバ内でプラズマを生成するように構成された無線周波数(RF)プラズマ生成システムと、
    コントローラであって、前記コントローラは、前記基板が前記処理チャンバ内の基板支持体上に配置され、前記基板が前記基板の少なくとも1つの下地層上に形成された第1の厚さを有するカーボン膜を含む場合に、
    前記RFプラズマ生成システムを制御して、第1のエッチング工程を実施させて、前記基板をエッチングさせて、前記基板上にフィーチャを形成させ、前記第1のエッチング工程を実施させることにより、前記カーボン膜の一部を除去させ、前記カーボン膜の前記第1の厚さを減少させ、
    前記ガス送達システムを制御して、前記カーボン膜の残っている部分上にカーボンを選択的に堆積させ、
    前記RFプラズマ生成システムを制御して、少なくとも1つの第2のエッチング工程を実施して前記基板をエッチングして前記基板上への前記フィーチャの形成を完了させる、ように構成された、コントローラと、を備える、システム。
  17. 請求項16に記載のシステムであって、前記コントローラは、前記ガス送達システム及び前記RFプラズマ生成システムを制御して、原子層堆積(ALD)プロセスを実施させて前記カーボンを堆積させるように構成され、前記ALDプロセスを実施するために、前記コントローラは、
    前記ガス送達システムを制御して、ドーズ工程第1の期間において、前記処理チャンバ内に少なくとも1つのカーボン含有前駆体ガスを供給させ、
    第2の期間におけるパージ工程において前記処理チャンバをパージさせ、
    前記RFプラズマ生成システムを制御して、第3の期間におけるプラズマ工程において前記処理チャンバ内にプラズマを生成させる、ように構成されている、システム。
  18. 請求項16に記載のシステムであって、前記コントローラは、前記ガス送達システム及び前記RFプラズマ生成システムを制御して、前記基板上にカーボンシード層を堆積させ、前記カーボンシード層上に前記カーボン膜を堆積させるように構成されている、システム。
  19. 請求項18に記載のシステムであって、前記カーボンシード層を堆積することは、カーボン含有前駆体ガスを前記処理チャンバに供給して、CVD又はPECVDプロセスを使用して前記カーボンシード層を堆積することを含む、システム。
  20. 請求項19に記載のシステムであって、前記カーボン含有前駆体ガスは、四臭化炭素(CBr4)、トリブロモメタン(CHBr3)、及びトリブロモメタン(CH2Br2)のうちの少なくとも1つを含む、システム。
JP2021576542A 2019-06-24 2020-06-22 選択的カーボン堆積 Pending JP2022539699A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962865566P 2019-06-24 2019-06-24
US62/865,566 2019-06-24
PCT/US2020/038872 WO2020263718A1 (en) 2019-06-24 2020-06-22 Selective carbon deposition

Publications (1)

Publication Number Publication Date
JP2022539699A true JP2022539699A (ja) 2022-09-13

Family

ID=74060344

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021576542A Pending JP2022539699A (ja) 2019-06-24 2020-06-22 選択的カーボン堆積

Country Status (5)

Country Link
US (1) US20220235464A1 (ja)
JP (1) JP2022539699A (ja)
KR (1) KR20220030249A (ja)
CN (1) CN114270476A (ja)
WO (1) WO2020263718A1 (ja)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP3410574B2 (ja) * 1994-03-31 2003-05-26 株式会社メガチップス 単結晶炭素薄膜、軸配向多結晶炭素薄膜、高音用スピーカの振動板、半導体レーザ装置のヒートシンク、及び工具形成方法
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
JP2007224383A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
CN102738074B (zh) * 2012-07-05 2014-07-02 中微半导体设备(上海)有限公司 半导体结构的形成方法
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9524976B2 (en) * 2013-09-15 2016-12-20 Sandisk Technologies Llc Method of integrating select gate source and memory hole for three-dimensional non-volatile memory device
US9570460B2 (en) * 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9464348B2 (en) * 2014-08-26 2016-10-11 HGST Netherlands B.V. Method for making a patterned perpendicular magnetic recording disk using glancing angle deposition of hard mask material
CN107546108A (zh) * 2014-10-30 2018-01-05 应用材料公司 在低温下生长薄外延膜的方法
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9607834B2 (en) * 2015-04-02 2017-03-28 Tokyo Electron Limited Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
KR101704723B1 (ko) * 2015-04-06 2017-02-09 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10490411B2 (en) * 2017-05-19 2019-11-26 Applied Materials, Inc. Method for enabling self-aligned lithography on metal contacts and selective deposition using free-standing vertical carbon structures
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications

Also Published As

Publication number Publication date
US20220235464A1 (en) 2022-07-28
KR20220030249A (ko) 2022-03-10
CN114270476A (zh) 2022-04-01
WO2020263718A1 (en) 2020-12-30

Similar Documents

Publication Publication Date Title
CN105845551B (zh) 衬底处理系统中用作硬掩模的无定形碳和硅膜的金属掺杂
US9928994B2 (en) Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
CN107039265B (zh) 硬掩膜的自限性平坦化
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
JP2020529736A (ja) 水平表面上におけるSiNの選択的堆積
KR102500931B1 (ko) 하드마스크들을 위한 금속 유전체 막의 증착
CN110998805B (zh) Tcp蚀刻室中的集成原子层钝化和原位蚀刻-alp方法
US20180247828A1 (en) Systems for performing in-situ deposition of sidewall image transfer spacers
JP7419342B2 (ja) トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償
JP2022500850A (ja) 準安定活性ラジカル種を使用する原子層処置プロセス
CN113710829B (zh) 高蚀刻选择性的低应力可灰化碳硬掩模
TW202113121A (zh) 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
JP2022539699A (ja) 選択的カーボン堆積
TW202105490A (zh) 蝕刻停止層
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer