JP7419342B2 - トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償 - Google Patents

トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償 Download PDF

Info

Publication number
JP7419342B2
JP7419342B2 JP2021505780A JP2021505780A JP7419342B2 JP 7419342 B2 JP7419342 B2 JP 7419342B2 JP 2021505780 A JP2021505780 A JP 2021505780A JP 2021505780 A JP2021505780 A JP 2021505780A JP 7419342 B2 JP7419342 B2 JP 7419342B2
Authority
JP
Japan
Prior art keywords
power
processing chamber
etching
adjustment factor
accumulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021505780A
Other languages
English (en)
Other versions
JP2021532601A (ja
Inventor
アガワル・プルキット
ラボア・エイドリアン
クマール・ラヴィ
クマール・プルショッタム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021532601A publication Critical patent/JP2021532601A/ja
Application granted granted Critical
Publication of JP7419342B2 publication Critical patent/JP7419342B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Electromagnetism (AREA)
  • Automation & Control Theory (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

関連出願の相互参照
本出願は、2018年8月2日に出願された米国特許出願16/053,022号の優先権を主張し、上記出願の全開示を参照により本願に組み込む。
本開示は、原子層堆積基板処理チャンバでの二重パターン形成プロセスに関する。
本明細書に記載する背景技術の説明は、本開示の背景を全般的に紹介することを目的としている。この背景技術の段落に記載されている範囲で本出願に明記されている発明者らの作業のほか、出願時に先行技術とみなしてはならない説明文の態様は、明示的にも黙示的にも本開示に対する先行技術としては認められない。
半導体ウエハなどの基板を処理するために基板処理システムを用いてよい。基板処理の例として、エッチング、堆積、フォトレジスト除去などがある。処理過程では、基板は静電チャックなどの基板支持体上に配置され、処理チャンバ内には1種類以上の処理ガスが注入されてよい。
1種類以上の処理ガスは、ガス送達システムを介して処理チャンバに送達されてよい。いくつかのシステムでは、ガス送達システムは、1つ以上の導管を介して処理チャンバ内に位置しているシャワーヘッドに接続しているマニホールドを備えている。いくつかの例では、本プロセスでは、原子層堆積(ALD)を用いて基板に薄膜を堆積させる。
コントローラは、蓄積値とそれぞれの調整係数とを関連付けるデータを保存するメモリを含む。蓄積値は、基板上にエッチングおよび堆積を実施するように構成されている処理チャンバ内の面にある材料の蓄積に対応し、それぞれの調整係数は、パターン形成プロセスのエッチング工程を実施する間に処理チャンバに供給される高周波(RF)電力の制御パラメータの調整に対応する。蓄積計算モジュールは、材料の蓄積量を示す第1の蓄積値を計算するように構成されている。RF電力制御モジュールは、第1の蓄積値を受信し、エッチング工程で供給される設定点電力とエッチング工程の継続時間の少なくとも一方を受信し、メモリから保存されたデータを回収し、第1の蓄積値、設定点電力とエッチング工程の継続時間の少なくとも一方、および保存されたデータに基づいて制御パラメータを調整し、調整した制御パラメータに従ってエッチング工程で処理チャンバに供給されるRF電力を制御するように構成されている。
他の特徴として、制御パラメータは、RF電力の大きさであり、RF電力制御モジュールは、保存されたデータを用いて第1の蓄積値に対応する第1の調整係数を算出し、第1の調整係数に従ってRF電力の大きさを調整するように構成されている。保存されたデータは、蓄積値とそれぞれの調整係数とを関連付ける多項式である。第1の調整係数は、蓄積を補償するために必要なRF電力の大きさの設定点電力に対する比率である。RF電力制御モジュールは、設定点電力に第1の調整係数を乗じて制御パラメータを調整するように構成されている。
他の特徴として、蓄積計算モジュールは、処理チャンバ内で実施されるエッチング工程数、処理チャンバ内で実施されるエッチング工程と堆積工程のサイクル数、処理チャンバ内で実施されるエッチング工程と堆積工程との合計継続時間、処理チャンバ内で処理される基板数のうちの少なくとも1つに基づいて、第1の蓄積値を計算するように構成されている。
他の特徴として、制御パラメータは、エッチング工程の継続時間であり、RF電力制御モジュールは、保存されたデータを用いて第1の蓄積値に対応する第1の調整係数を算出し、第1の調整係数に従ってエッチング工程の継続時間を調整するように構成されている。第1の調整係数は、蓄積を補償するために、エッチング工程の継続時間を増加させる量に対応する。RF電力制御モジュールは、エッチング工程の継続時間に第1の調整係数を乗じて制御パラメータを調整するように構成されている。エッチング工程はトリム工程である。システムはコントローラを備え、コントローラはさらに、トリム工程に続いて処理チャンバ内でスペーサ層堆積工程を実施するように構成されている。
方法は、蓄積値とそれぞれの調整係数とを関連付けるデータを保存することを含む。蓄積値は、基板上にエッチングおよび堆積を実施するように構成されている処理チャンバ内の面にある材料の蓄積に対応し、それぞれの調整係数は、パターン形成プロセスのエッチング工程を実施する間に処理チャンバに供給される高周波(RF)電力の制御パラメータの調整に対応するものである。本方法はさらに、材料の蓄積量を示す第1の蓄積値を計算し、エッチング工程で供給される設定点電力とエッチング工程の継続時間の少なくとも一方を受信し、第1の蓄積値、設定点電力とエッチング工程の継続時間の少なくとも一方、および保存されたデータに基づいて制御パラメータを調整することと、調整した制御パラメータに従ってエッチング工程で処理チャンバに供給されるRF電力を制御することを含む。
他の特徴として、制御パラメータは、RF電力の大きさであり、本方法はさらに、保存されたデータを用いて第1の蓄積値に対応する第1の調整係数を算出することと、第1の調整係数に従ってRF電力の大きさを調整することとを含む。保存されたデータは、蓄積値とそれぞれの調整係数とを関連付ける多項式である。第1の調整係数は、蓄積を補償するために必要なRF電力の大きさの設定点電力に対する比率である。本方法はさらに、設定点電力に第1の調整係数を乗じて制御パラメータを調整することを含む。
他の特徴として、本方法はさらに、処理チャンバ内で実施されるエッチング工程数、処理チャンバ内で実施されるエッチング工程と堆積工程のサイクル数、処理チャンバ内で実施されるエッチング工程と堆積工程との合計継続時間、処理チャンバ内で処理される基板数のうちの少なくとも1つに基づいて、第1の蓄積値を計算することを含む。
他の特徴として、制御パラメータは、エッチング工程の継続時間であり、本方法はさらに、保存されたデータを用いて第1の蓄積値に対応する第1の調整係数を算出することと、第1の調整係数に従ってエッチング工程の継続時間を調整することとを含む。第1の調整係数は、蓄積を補償するために、エッチング工程の継続時間を増加させる量に対応する。エッチング工程はトリム工程であり、本方法はさらに、トリム工程に続いて処理チャンバ内でスペーサ層堆積工程を実施することを含む。
本開示のさらに他の適用可能領域は、詳細な説明、特許請求の範囲および図面から明らかになるであろう。詳細な説明および具体的な例は、単なる例示を目的としており、本開示の範囲を限定する意図はない。
本開示は、詳細な説明および添付の図面から完全に理解されるであろう。
本開示による基板処理システムの一例の機能ブロック図である。
本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。 本開示による二重パターン形成原子層堆積プロセスの一例を示す図である。
本開示による自己整合二重パターン形成プロセスのトリム工程の一例を示す図である。 本開示による自己整合二重パターン形成プロセスのトリム工程の一例を示す図である。 本開示による自己整合二重パターン形成プロセスのトリム工程の一例を示す図である。 本開示による自己整合二重パターン形成プロセスのトリム工程の一例を示す図である。
本開示による、処理チャンバ内に蓄積する材料が増すにつれてエッチング量が減少する一例を示す図である。
本開示による、エッチング量に対する高周波(RF)電力の一例を示す図である。
本開示による、処理チャンバ内の蓄積が増すにつれてのエッチング量および有効電力の変化の例を示す表である。
本開示による、様々な蓄積値で計算した電力調整係数のプロットの一例を示す図である。
本開示による、トリム工程でのウエハ間(WtW)のばらつきを最少にするために処理チャンバ内の蓄積を補償する方法の一例を示す図である。
本開示による、処理チャンバ内の蓄積を補償するように構成されているコントローラの一例を示す図である。
図面では、符号は同様および/または同一の要素を識別するために再度使用されてよい。
原子層堆積(ALD)などの膜堆積プロセスでは、堆積される膜の様々な特性は、空間(すなわち水平面のxy座標)の分布にわたって変化する。例えば、基板処理ツールは、膜厚の不均一性(NU)に関してそれぞれの仕様があってよく、この不均一性は、半導体基板の表面上の所定位置で決めた測定の設定の全範囲、半分の範囲、および/または標準偏差として測定されてよい。いくつかの例では、NUは、例えばNUの直接の原因に対処し、かつ/または相殺するNUを導入して補償し、既存のNUを取り消すことによって低減され得る。他の例では、プロセス内の他の(例えば前または後の)工程で既知の不均一性を補償するために、材料を意図的に堆積させ、かつ/または不均一に除去してよい。
二重パターン形成(DPT)ALDプロセス(例えば、自己整合二重パターン形成プロセス、すなわちSADPプロセス)は、リソグラフィ工程、トリム工程、および犠牲スペーサ層堆積工程などだがこれに限定されない工程を含んでいてよい。各工程には、全体的な限界寸法(CD)のNUおよび不均衡に影響を及ぼす関連のNUがあってよい。例えば、トリム工程は、CDを小さくするためにリソグラフィ工程とスペーサ層堆積工程との間で実施されてよい。ただし、トリムのNUにより、二重パターン形成が不均一になるおそれがあり、これによってCDの不均衡が増し、歩留まりが低下する。トリムのNUの特徴は、径方向のNUおよび方位角のNUであり得る。
特定の基板に対するCDのNUおよび不均衡は、ウエハ内部(WiW)のばらつきとして特徴付けられ得る。逆に、異なる基板間におけるCDのNUおよび不均衡は、ウエハ間(WtW)のばらつきとして特徴付けられ得る。DPTプロセスでは、CDのNUおよび不均衡にみられるWtWのばらつきを最少にすることは困難なことがある。例えば、トリム工程および後続のスペーサ堆積工程は、処理の生産高を高めるために同じ処理チャンバで実施されてよい。堆積工程では、材料が処理チャンバ内の面(例えば内壁)に蓄積されることがある。さらに、エッチングの副産物(例えば、トリム工程の副産物)が処理チャンバの面に蓄積することがある。このように処理チャンバ内に材料が蓄積することで処理チャンバの全体的なインピーダンスが変化し、これが今度はエッチング速度に影響を及ぼす。したがって、材料の蓄積およびそれによって生じるチャンバのインピーダンスの変化により、トリム工程のWtWのばらつきが増す。
本開示の原理によるシステムおよび方法は、処理チャンバの内面に材料が蓄積することによって起こる(例えばトリム工程での)WtWのエッチングのばらつきを低減するように構成されている。例えば、エッチング速度はさらに、処理チャンバ内にプラズマを発生させる電極に供給される高周波(RF)電力に影響される。したがって、1つの例では、エッチング速度は蓄積が生じることによって低下するため、低下したエッチング速度を補償するためにRF電力の制御パラメータが調整され得る。1つの例では、制御パラメータは、トリム工程で供給されるRF電力の量(すなわち大きさ)である。換言すると、所望のエッチング速度を維持し、処理チャンバのインピーダンスの変化によって起きたばらつきを低減するためにRF電力が調整され得る。別の例では、制御パラメータは、エッチングの継続時間(例えばトリム工程の継続時間)であり、この継続時間は、低下したエッチング速度を補償するために増加されてよい。
次に図1を参照すると、本開示による基板支持体(例えばALDペデスタル)104を含む基板処理システム100の一例が示されている。基板支持体104は、処理チャンバ108の中に配置される。処理過程では基板支持体104上に基板112が配置される。
ガス送達システム120は、ガス源122-1、122-2...および122-N(まとめてガス源122)を含み、ガス供給源は、バルブ124-1、124-2...および124-N(まとめてバルブ124)ならびにマスフローコントローラ126-1、126-2...および126-N(まとめてMFC126)に接続されている。MFC126は、ガス源122からマニホールド128へのガスの流れを制御し、ガスはマニホールドで混合される。マニホールド128の出力は、任意で設置する圧力調節器132を介してマニホールド136に供給される。マニホールド136からの出力は、マルチインジェクタシャワーヘッド140などのガス分配装置に入力される。マニホールド128および136を示しているが、単一のマニホールドを使用してもよい。本開示の原理によるシャワーヘッド140は、以下にさらに詳細に説明するとおり、基板112の処理過程で傾斜するように構成されている。
いくつかの例では、抵抗性ヒータ160を使用して基板支持体104の温度を制御してよい。基板支持体104は、冷却チャネル164を有していてよい。冷却チャネル164へ流体貯蔵部168およびポンプ170から冷却液が供給される。圧力を測定するために、マニホールド128またはマニホールド136にはそれぞれ圧力センサ172、174が配置される。処理チャンバ108から反応物を排出し、かつ/または処理チャンバ108内の圧力を制御するためにバルブ178およびポンプ180を使用してよい。
コントローラ182は、マルチインジェクタシャワーヘッド140から供給される用量を制御する用量コントローラ184を備えている。コントローラ182は、ガス送達システム120からのガス送達も制御する。コントローラ182は、バルブ178およびポンプ180を用いて、処理チャンバの圧力および/または反応物の排出を制御する。コントローラ182は、(例えば、基板支持体内のセンサ(図示せず)および/または冷却剤の温度を測定するセンサ(図示せず)からの)温度フィードバックに基づいて、基板支持体104および基板112の温度を制御する。
いくつかの例では、基板処理システム100は、同じ処理チャンバ108内で基板112にエッチングを施すように構成されてよい。例えば、基板処理システム100は、以下に詳細に説明するとおり、本開示によるトリム工程とスペーサ堆積工程の両方を実施するように構成されてよい。したがって、基板処理システム100は、(例えば電圧源、電流源などとして)RF電力を発生させて下方電極(例えば図示したような基板支持体104のベースプレート)と上方電極(例えばシャワーヘッド140)のいずれか一方に供給するように構成されているRF発生システム188を含んでいてよい。単なる例として、RF発生システム188の出力は、本明細書ではRF電圧として説明される。下方電極と上方電極のうちのもう一方の電極は、DC接地、AC接地または浮動状態であってよい。単なる例として、RF発生システム188は、処理チャンバ108内でプラズマを発生させて基板112にエッチングするために、マッチングおよび分配ネットワーク196によって供給されるRF電圧を発生させるように構成されているRF発生器192を備えていてよい。他の例では、プラズマは、誘導または遠隔により発生してもよい。例示目的で示したように、RF発生システム188は容量結合プラズマ(CCP)システムに相当するが、本開示の原理は、その他の適切なシステム、例えば単なる例として、変圧器結合プラズマ(TCP)システム、CCPカソードシステム、遠隔マイクロ波プラズマ発生および送達システムなどにも実装されてよい。
本開示によるコントローラ182はさらに、処理チャンバ108内の面に材料が蓄積することで起こるエッチング速度の変化を補償するために、RF電力および/またはエッチング工程(例えばトリム工程)の継続時間を調整するように構成されている。
次に、図2A~図2Kを参照すると、SADPプロセスの一例が示されている。図2Aは基板200を示し、基板の上には例えばハードマスク層204が形成される。単なる例として、基板200は、シリコン(Si)基板を含み、ハードマスク層204は、シリコン窒化物(Si34)で作製されるが、他の材料を使用してもよい。複数のコア層(例えばマンドレル層)208、212、および216は、ハードマスク層204の上に堆積される(例えば化学蒸着、すなわちCVDを用いて)。単なる例として、コア層208、212および216は、アモルファスシリコン(a-Si)を含んでいてよい。いくつかの例では、コア層208、212および216の高さは、およそ50~150nm(例えば100nm)であってよい。フォトリソグラフィを用いてコア層216にパターン形成層(例えばパターン形成されたフォトレジスト層またはマスク)220を形成し、パターン形成する。
ハードマスク層204、コア層208、212および216を含む基板200、ならびにマスク220は、処理チャンバ(例えばエッチングツールの誘導結合プラズマチャンバ)内に配置される。図2Bに示したように、コア層216は、(例えば異方性エッチングまたはその他のプロセスを用いて)エッチングされて複数のマンドレル224を形成する。マスク220は、コア層216のエッチング過程でマンドレル224に対応するコア層216の部分を保護する。マスク220がフォトレジストマスクである場合、マスク220は、酸素含有プラズマを用いて除去できる。以下に説明するように、マスク220がスペーサ層228と同様の材料でできている場合、マスク220は、マンドレル224上に残ってよく、スペーサ層228のエッチング過程でエッチングされてよい。
図2Cでは、スペーサ層228は、基板200の上方(すなわちコア層212およびマンドレル224の上)に堆積される。単なる例として、スペーサ層228は、酸化物タイプの堆積(四塩化ケイ素(SiCl4)、シラン(SiH4)、などの前駆体を使用)、窒化物タイプの堆積(分子状窒素、アンモニア(NH3)などの前駆体を使用)、および/または炭素系の堆積(メタン(CH4)、フルオロメタン(CH3F)などの前駆体を使用)などのALDを使用して共形に堆積されてよい。
1つの例では、スペーサ層228は、O2がある状態でSiCl4前駆体を使用して堆積される。スペーサ層228の堆積を実施するための他の例示的なプロセスのパラメータとして、10℃未満の最低温度から最高120℃までの温度変化、200~1800Wのプラズマ電力、0からおよそ1000ボルトのバイアス電圧、および2mトル~2000mトルのチャンバ圧力がある。
いくつかの例では、スペーサ層228を堆積する前にマンドレル224にトリム工程を実施してよい。例えばトリム工程では、マンドレル224は、マンドレル224の幅およびスペーサ層228の寸法を調整するようにエッチングされてよい。
図2Dでは、スペーサ層228は、コア層212およびマンドレル224の上面からスペーサ層228の一部を除去してスペーサ層228の側壁部分232を残すようにするために、(例えば異方性エッチングプロセスを用いて)エッチングされる。いくつかの例では、図2Dに描いたエッチングに続いて画期的な工程を実施してよい(例えばフッ化物含有プラズマ処理)。さらに、スペーサ層228の材料に応じて、フッ化物含有プラズマ処理の前に酸素含有プラズマ処理を実施してよい。図2Eでは、マンドレル224は(例えば異方性エッチングを用いて)除去される。したがって、側壁部分232は、基板200上に形成されたまま残る。
図2Fに示したように、コア層212は、複数のマンドレル236を形成するために(例えば異方性エッチングまたは他のプロセスを用いて)エッチングされる。側壁部分232は、コア層212のエッチング過程で、マスクとして作用してコア層212のうちマンドレル236に該当する部分を保護する。側壁部分232は、以下に説明するように、追加のプラズマエッチング工程やスペーサ層240のエッチング過程などで除去され得る。
図2Gでは、スペーサ層240は基板200の上方(すなわちコア層208およびマンドレル236の上)に堆積される。単なる例として、スペーサ層240は、スペーサ層228とほぼ同じ方法で、ALDを用いて共形に堆積されてよい。いくつかの例では、スペーサ層240を堆積する前にマンドレル236にトリム工程を実施してよい。
図2Hでは、スペーサ層240は、コア層208およびマンドレル236の上面からスペーサ層240の一部を除去してスペーサ層240の側壁部分244を残すようにするために、(例えば、異方性エッチングプロセスを用いて)エッチングされる。いくつかの例では、図2Hに描いたエッチングに続いて画期的な工程を実施してよい(例えばフッ化物含有プラズマ処理)。さらに、スペーサ層240の材料に応じて、フッ化物含有プラズマ処理の前に酸素含有プラズマ処理を実施してよい。図2Iでは、マンドレル236は(例えば異方性エッチングを用いて)除去される。したがって、側壁部分244は、基板200上に形成されたまま残る。
図2Jに示したように、コア層208は、複数のマンドレル248を形成するために(例えば異方性エッチングまたは他のプロセスを用いて)エッチングされる。側壁部分244は、コア層208のエッチング過程で、マスクとして作用してコア層208のうちマンドレル248に該当する部分を保護する。側壁部分244は、図2Kに示したように、例えば追加のプラズマエッチング工程で除去され得る。
図2Kに示したように、SADPプロセスにより、マンドレル248は、基板200上(例えばハードマスク層204上)に間隔をあけたパターンに形成される。マンドレル248間の間隔は、図2Iに示した側壁部分244間の間隔に準じて決定され、この側壁部分間の間隔は、図2Eに示した側壁部分232間の間隔に準じて決定される。マンドレル236およびマンドレル236の幅は、側壁部分44間の間隔および側壁部分232間の間隔をそれぞれ決定し、よってマンドレル248間の間隔を決定する。したがって、トリム工程は、マンドレル248間の間隔を均一にするためにマンドレル236およびマンドレル236に実施されてよい。例えば、マンドレル236およびマンドレル236のそれぞれの幅は、図2Kに示したようにa=b=cとなるように均一の間隔を達成するようにトリムされてよい。
次に、図3A、図3B、図3C、および図3Dを参照すると、SADPプロセスのトリム工程の一例が描かれている。簡略化のため、単一のコア層300およびマンドレル304のみを示している。図3Aでは、マンドレル304は、トリム工程の前に示されている(例えば、図2Bに示したように、エッチング工程に続いてコア層300上にマンドレル304を形成する)。マンドレル304の幅は、限界寸法CD1に相当する。マンドレル304は、マンドレル304の幅を調整するために図3Bに示したようにトリムされる(例えばエッチングされる)。したがって、マンドレル304の限界寸法はCD2に縮小される。図3Cでは、コア層300およびマンドレル304の上にスペーサ層308が堆積される(例えば前述したようにALDを用いて共形に堆積される)。
図3Dは、1つ以上のエッチング工程を実施してスペーサ層308およびマンドレル304の部分を除去した後にコア層300上の残っているスペーサ層308の側壁部分312を示している。側壁部分312間の間のスペース(例えばS1、S2など)は、それぞれマンドレル304の幅(例えばCD2)に相当する。したがって、側壁部分312のピッチをS1+S2+2Lと定義してよく、式中Lは、ライン幅(すなわち側壁部分312のうちの1つの幅に相当する。
様々な形の不均一性は、(例えばALD工程で)処理過程で堆積され、(例えばエッチング工程で)除去される材料の量に影響を及ぼす。例えば、図3Cに示したようなスペーサ層308の堆積および図3Bに示したようなトリム工程でのマンドレル304のエッチングにより、処理チャンバの面(例えば内壁)に材料を堆積させる。このような堆積材料が蓄積すると、後続のエッチング工程での処理チャンバのインピーダンスおよびエッチング速度が変化し、トリム工程のWtWのばらつきが生じる。図4は、処理チャンバ内に蓄積する材料が増すにつれてエッチング量400(例えば、所定の継続時間にわたるトリム工程で、単位はオングストローム)が減少する一例を示している。図示したように、蓄積は、処理チャンバが最後に洗浄されたときと比較して、数回のトリム/堆積サイクルで測定される。図5は、エッチング量に対するRF電力500(例えばトリム工程で供給されるRF電力)のグラフを示している。図示したように、エッチング量は、RF電力500が増すにつれて増加している。この例では、増加は全体的に線形である(例えば、図示したように、電力y=5x-35であり、式中xはエッチング量に相当する)。
次に図6を参照すると、表600は、蓄積が増すにつれての(例えば所定期間にわたるそれぞれのトリム工程での)エッチング量および有効電力の変化の例を示している。図示したように、蓄積が増すと(例えば900回のトリム/堆積サイクルから3900回のトリム/堆積サイクル)、所定期間にわたるトリム工程でのエッチング量は、158.66オングストロームから151.91オングストロームに減少し、有効電力は、758.28ワットから724.57ワットに低下する。したがって、蓄積が増して処理チャンバのインピーダンスが変化するにつれて有効電力は低下する。換言すると、有効電力は、所望の(例えば設定点)電力よりも低い。設定点電力に対する有効電力の比率は、理想の比率である1.0000から0.9555に低下する。
本開示によるコントローラ182は、蓄積に基づいて設定点電力を上昇させる(例えば、RF電力を所定のデフォルト設定点から調整後のRF電力に上昇させる)ように構成されている。例えば設定点電力は、電力調整係数に従って調整される。蓄積が少ない(例えば900未満)の場合、電力調整係数は1.0000である。換言すると、蓄積が少ない場合、設定点電力の調整は必要ではないことがある。それとは対照に、蓄積が増すにつれて、電力調整係数は1.0000から1.0465に上がる。例えば、所望の設定点電力が758.28ワットであれば、蓄積が3900での設定点電力は、1.0465×758.28=793.5400ワットに調整される。蓄積が3900の場合の設定点電力に対する有効電力の比率で、設定点電力が793.5400の場合の有効電力は、793.5400×0.9555、すなわち758.23ワットに相当する。したがって、設定点電力は、有効電力が所望の所定設定点電力で維持されるように、蓄積が増すにつれて電力調整係数に従って上昇する。
図7は、様々な蓄積値で計算した電力調整係数(例えばグラフの点704で示したもの)のプロット700の一例を示している。いくつかの例では、電力調整係数は、曲線708と一致していてよく、この曲線は式で表される。1つの例では、曲線708は3次多項式で表される。コントローラ182は、蓄積、所望の設定点電力(例えばユーザ、プロセスのレシピなどからの入力として受信したもの)、および式に基づいて調整した設定点電力を計算するように構成されている。他の例では、コントローラ182は、複数の蓄積値とそれぞれの電力調整係数とを関連付けるデータ(例えばルックアップテーブル)を保存してよい。
次に図8を参照すると、トリム工程でのウエハ間(WtW)のばらつきを最少にするために処理チャンバ内の蓄積を補償する方法800の一例は、804から始まる。808では、方法800は、処理チャンバ内での材料の蓄積の増加と(およびそれに伴う処理チャンバのインピーダンスの変化)ある特定のトリム工程でのエッチング量の変化との相関関係を明らかにする。例えば方法800は、エッチング量の低下(例えば単位はオングストローム)と蓄積の増加との相関関係を示す第1のデータを収集して保存する(例えばトリム/堆積サイクルで測定される場合、1サイクルに相当するのは、処理チャンバが最後に洗浄されたとき以降のトリム工程1つとそれに続くスペーサ層堆積工程1つである)。他の例では、蓄積は、別の方法で測定されてよい(例えば、処理チャンバが最後に洗浄されてから処理チャンバ内で実施されたエッチングの合計継続時間および堆積、処理チャンバが最後に洗浄されてから処理された基板の合計数、処理チャンバが最後に洗浄されてから経過した累計時間など)。単なる例として、収集された第1のデータは、図6に示した蓄積およびエッチング量に相当する。
812では、方法800は、エッチング量とRF電力との相関関係を明らかにする。例えば、方法800は、トリム工程で供給されるRF電力の変化と、それに伴うエッチング量の変化との相関関係を示す第2のデータを収集して保存する。単なる例として、収集された第2のデータは、図5に示したようなエッチング量に対するRF電力500のグラフに相当してよい。一般に、RF電力が上昇するとエッチング量は増加し、RF電力が低下するとエッチング量は減少する。
816では、方法800は、収集した第1のデータおよび第2のデータを用いて、様々な蓄積値で標的のエッチング量を達成するのに必要なRF電力を計算する。例えば、方法800は、図6に関して前述したある特定の蓄積値に対して、設定点電力に対する有効電力の比率、および電力調整係数(例えば、蓄積を補償するのに必要なRF電力の設定点電力に対する比率)を計算する。820では、方法800は、電力調整係数を用いて、様々な蓄積値と対応する電力調整係数との相関関係を計算して保存する。例えば、蓄積値と電力調整係数との相関関係は、図7に示したプロット700、曲線708を表している多項式などの式などに相当するものであってよい。単なる例として、コントローラ182は式を保存してよい。
824では、方法800は、保存されている蓄積値と電力調整係数との相関関係に従ってトリム工程を実施する。例えば、トリム工程の前に、方法800(例えばコントローラ182)は、蓄積を明らかにし、その蓄積および保存された式に従って電力調整係数を算出し、電力調整係数を用いて所望の設定点電力を調整し、電力調整係数によって調整した設定点電力を用いてトリム工程を実施する。方法800は828で終了する。
次に図9を参照すると、本開示に従って処理チャンバ内の蓄積を補償するように構成されているコントローラ900の一例が示されている。コントローラ900は、本開示に従ってトリム工程で供給されるRF電力の量および継続時間を制御するRF電力制御モジュール904を含む。例えばRF電力制御モジュール904は、RF発生システム188に信号を選択的に提供して、RF電力のオンおよびオフを選択的に行い、RF発生システム188によって発生した電力の量を調整する。
RF電力制御モジュール904は、所望の設定点電力(例えば、コントローラ900が実行しているプロセスのレシピに基づくもの、ユーザインターフェース908からのものなど)および蓄積値(例えば、前述したように処理チャンバ内の蓄積を明らかにするように構成されている蓄積計算モジュール912からのもの)を受信し、メモリ916から保存されたデータを回収する。例えば、保存されたデータは、様々な蓄積値と対応する電力調整係数との相関関係を示す式に対応している。RF電力制御モジュール904は、調整後のRF電力を、明らかになった蓄積、設定点電力、および回収データに基づいて計算し、それに応じてRF発生システム188を制御する。
別の例では、コントローラ900は、処理チャンバ内の蓄積によって起きたエッチング速度の低下を補償するためにRF電力量を調整する代わりに、かつ/またはそれに加えて、トリム工程の継続時間を調整するように構成されてよい。特に、トリム工程の継続時間は、エッチング速度の低下を補償するために増加されてよい。例えば、RF電力制御モジュール904は、所望の設定点電力、トリム工程の継続時間、および蓄積値を受信し、様々な蓄積値と対応するトリム工程の継続時間の調整係数との相関関係を示すメモリ916から保存されたデータを回収する。プロセスのレシピがトリム工程の継続時間Dを示している例では、RF電力制御モジュール904は、調整後の継続時間Dadj=(継続時間調整係数)×Dに従って継続時間Dを調整するように構成されている。例えば、継続時間調整係数は、前述した電力調整係数の計算とほぼ同じ方法で、様々な蓄積値と対応する継続時間調整係数とを関連付ける式に従って蓄積値に基づいて計算されてよい。
以上の説明は、単に例示的なものであり、本開示、その応用または使用を何ら限定する意図はない。本開示の幅広い教示は、多様な形態で実施できる。したがって、本開示は特定の実施例を含んでいるが、図面、明細書、および以下の特許請求の範囲を検討することでその他の修正が明らかになるため、本開示の実際の範囲がそのように限定されるべきではない。1つの方法の中の1つ以上の工程は、本開示の原理を変更することなく異なる順序で(または同時に)実行されてよいことを理解すべきである。さらに、各々の実施形態を特定の特徴を有するものとして前述したが、本開示のいずれかの実施形態に関して記載したそのような特徴のいずれか1つ以上を、任意の他の実施形態で実施でき、かつ/または任意の他の実施形態の特徴と組み合わせることができ、その組み合わせが明示的に記載されていなくてもよい。換言すると、記載した実施形態は、相互に排除し合うものではなく、1つ以上の実施形態を互いに入れ替えたものも依然として本開示の範囲内である。
要素間(例えば、モジュール間、回路要素間、半導体層間など)の空間的および機能的関係は、「接続され(connected)」、「係合され(engaged)」、「結合され(coupled)」、「隣接する(adjacent)」、「~の隣(next to)」、「~の上に(on top of)」、「~の上方に(above)」、「~の下に(below)」、および「配置され(disposed)」などの様々な用語を用いて記載されている。「直接」と明示的に記載されていなければ、第1の要素と第2の要素との関係が上記の開示に記載されているとき、その関係は、第1の要素と第2の要素との間に他の介入要素がない直接の関係であり得るが、第1の要素と第2の要素との間に(空間的または機能的に)1つ以上の介入要素がある間接の関係でもあり得る。本明細書で使用したように、A、B、およびCのうちの少なくとも1つという句は、非排他的論理ORを使用して、論理の(AまたはBまたはC)という意味に解釈されるべきであり、「Aの少なくとも1つと、Bの少なくとも1つと、Cの少なくとも1つ」という意味に解釈してはならない。
いくつかの実施態様では、コントローラはシステムの一部であり、システムは、上記の実施例の一部としてよい。このようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、処理用の1つまたは複数のプラットフォーム、および/または特定の処理構成要素(ウエハペデスタル、ガス流システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板を処理する前、その間、およびその後にシステムの動作を制御する電子機器と一体化していてよい。電子機器は、「コントローラ」と呼ばれてよく、1つまたは複数のシステムの様々な構成要素またはサブパーツを制御してよい。コントローラは、システムの処理要件および/または種類に応じて、処理ガスの供給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生ツールの設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、電位および動作の設定、ツールおよびその他の移送ツールの中へまたはそこからのウエハ移送および/または特定のシステムに接続されているか、特定のシステムのインターフェースとなっているロードロックなど、本明細書に開示したいずれかの処理を制御するようにプログラムされてよい。
概して、コントローラは、命令を受け、命令を発し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどを行う、様々な集積回路、論理回路、メモリ、および/またはソフトウェアを有する電子機器であると定義されてよい。集積回路は、プログラム命令を保存するファームウェア形態のチップ、デジタルシグナルプロセッサ(DSP)、特定用途向け集積回路(ASIC)と定義されるチップ、および/または1つ以上のマイクロプロセッサ、またはプログラム命令(例えばソフトウェア)を実行するマイクロコントローラを備えていてよい。プログラム命令は、半導体ウエハ上で、もしくは半導体ウエハ用に、またはシステムに対して、特定の処理を実行する動作パラメータを定義する様々な個別の設定(またはプログラムファイル)の形態でコントローラへと伝達される命令としてよい。動作パラメータは、いくつかの実施形態では、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハのダイを製造する過程で1つ以上の処理工程を達成するために処理エンジニアによって定義されたレシピの一部としてよい。
コントローラは、いくつかの実施態様では、システムと一体化し、システムと接続している、あるいはシステムとネットワーク接続されている、またはこれらを組み合わせた状態であるコンピュータの一部であってもよいし、このコンピュータに接続していてもよい。例えば、コントローラは、「クラウド」にあってもよいし、あるいはウエハ処理の遠隔アクセスを可能にできるファブホストコンピュータシステムの全体または一部であってもよい。コンピュータは、製造動作の現在の進捗を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向または性能メトリックを調査し、現在の処理のパラメータを変更し、処理ステップを設定して現在の処理に従い、または新しい処理を始めるために、システムへの遠隔アクセスを可能にしてよい。いくつかの例では、リモートコンピュータ(例えばサーバ)は、ネットワークを介してシステムに処理レシピを提供でき、このネットワークは、ローカルネットワークまたはインターネットを含んでいてよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを含んでいてよく、それらのパラメータおよび設定はその後、リモートコンピュータからシステムへ伝達される。いくつかの例では、コントローラは、1つ以上の動作中に実行される各々の処理工程に対するパラメータを指定するデータ形態の命令を受け取る。パラメータは、実行される処理の種類、およびコントローラがインターフェースするか制御するように構成されているツールの種類に対して固有のものとしてよいと理解すべきである。そのため、前述したように、一緒にネットワーク化され、本明細書に記載した処理および制御などの共通の目的に向かって機能する1つ以上の別個のコントローラを備えることなどによってコントローラを分散してよい。このような目的のために分散したコントローラの例が、(例えばプラットホームレベルで、またはリモートコンピュータの一部として)遠隔地に位置する1つ以上の集積回路と通信するチャンバ上にあって、組み合わさってこのチャンバ上の処理を制御する1つ以上の集積回路であろう。
非限定的に、例としてのシステムには、プラズマエッチングチャンバまたはモジュール、析出チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製造および/または生産に関連するか使用されてよい任意のその他の半導体処理システムがあってよい。
上記のように、ツールによって実行される1つまたは複数の処理工程に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または、ウエハの容器を、半導体製造工場内のツール位置および/または搭載ポートへ運び、そこから運び出す材料輸送に使用されるツールのうちの1つ以上のツールと通信することがあってよい。

Claims (20)

  1. コントローラであって、
    蓄積値とそれぞれの調整係数とを関連付けるデータを保存するメモリと、前記蓄積値は基板上にエッチングおよび堆積を実施するように構成されている処理チャンバ内の面にある材料の蓄積に対応し、前記それぞれの調整係数はパターン形成プロセスのエッチング工程を実施する間に前記処理チャンバに供給される高周波(RF)電力の制御パラメータの調整に対応し
    前記材料の蓄積量を示す第1の蓄積値を計算するように構成されている蓄積計算モジュールと、
    RF電力制御モジュールであって、
    前記第1の蓄積値を受信し、
    前記エッチング工程で供給される設定点電力と前記エッチング工程の継続時間の少なくとも一方を受信し、
    メモリから前記保存されたデータを回収し、
    前記第1の蓄積値、前記設定点電力と前記エッチング工程の継続時間の少なくとも一方、および前記保存されたデータに基づいて前記制御パラメータを調整し、
    前記調整した制御パラメータに従って前記エッチング工程で前記処理チャンバに供給される前記RF電力を制御する
    ように構成されているRF電力制御モジュールと
    を備える、コントローラ。
  2. 請求項1に記載のコントローラであって、前記制御パラメータは、前記RF電力の大きさであり、前記RF電力制御モジュールは、前記保存されたデータを用いて前記第1の蓄積値に対応する第1の調整係数を算出し、前記第1の調整係数に従って前記RF電力の大きさを調整するように構成されている、コントローラ。
  3. 請求項2に記載のコントローラであって、前記保存されたデータは、前記蓄積値と前記それぞれの調整係数とを関連付ける多項式である、コントローラ。
  4. 請求項2に記載のコントローラであって、前記第1の調整係数は、(i)前記蓄積を補償するために必要なRF電力の大きさの(ii)前記設定点電力に対する比率である、コントローラ。
  5. 請求項2に記載のコントローラであって、前記RF電力制御モジュールは、前記設定点電力に前記第1の調整係数を乗じて前記制御パラメータを調整するように構成されている、コントローラ。
  6. 請求項1に記載のコントローラであって、前記蓄積計算モジュールは、前記処理チャンバ内で実施されるエッチング工程数、前記処理チャンバ内で実施されるエッチング工程と堆積工程のサイクル数、前記処理チャンバ内で実施されるエッチング工程と堆積工程との合計継続時間、前記処理チャンバ内で処理される基板数のうちの少なくとも1つに基づいて、前記第1の蓄積値を計算するように構成されている、コントローラ。
  7. 請求項1に記載のコントローラであって、前記制御パラメータは、前記エッチング工程の継続時間であり、前記RF電力制御モジュールは、前記保存されたデータを用いて前記第1の蓄積値に対応する第1の調整係数を算出し、前記第1の調整係数に従って前記エッチング工程の継続時間を調整するように構成されている、コントローラ。
  8. 請求項7に記載のコントローラであって、前記第1の調整係数は、前記蓄積を補償するために、前記エッチング工程の継続時間を増加させる量に対応する、コントローラ。
  9. 請求項7に記載のコントローラであって、前記RF電力制御モジュールは、前記エッチング工程の継続時間に前記第1の調整係数を乗じて前記制御パラメータを調整するように構成されている、コントローラ。
  10. 請求項7に記載のコントローラであって、前記エッチング工程はトリム工程である、コントローラ。
  11. 請求項10に記載のコントローラを備えているシステムであって、前記コントローラはさらに、前記トリム工程に続いて前記処理チャンバ内でスペーサ層堆積工程を実施するように構成されている、システム。
  12. 蓄積値とそれぞれの調整係数とを関連付けるデータを保存し、前記蓄積値は、基板上にエッチングおよび堆積を実施するように構成されている処理チャンバ内の面にある材料の蓄積に対応し、前記それぞれの調整係数は、パターン形成プロセスのエッチング工程を実施する間に前記処理チャンバに供給される高周波(RF)電力の制御パラメータの調整に対応し、
    前記材料の蓄積量を示す第1の蓄積値を計算し、
    前記エッチング工程で供給される設定点電力と前記エッチング工程の継続時間の少なくとも一方を受信し、
    前記第1の蓄積値、前記設定点電力と前記エッチング工程の継続時間の少なくとも一方、および前記保存されたデータに基づいて前記制御パラメータを調整し、
    前記調整した制御パラメータに従って前記エッチング工程で前記処理チャンバに供給される前記RF電力を制御すること
    を備える、方法。
  13. 請求項12に記載の方法であって、前記制御パラメータは、前記RF電力の大きさであり、前記方法はさらに、
    前記保存されたデータを用いて前記第1の蓄積値に対応する第1の調整係数を算出することと、
    前記第1の調整係数に従って前記RF電力の大きさを調整することと
    を含む、方法。
  14. 請求項13に記載の方法であって、前記保存されたデータは、前記蓄積値と前記それぞれの調整係数とを関連付ける多項式である、方法。
  15. 請求項13に記載の方法であって、前記第1の調整係数は、(i)前記蓄積を補償するために必要なRF電力の大きさの(ii)前記設定点電力に対する比率である、方法。
  16. 請求項13に記載の方法であって、前記設定点電力に前記第1の調整係数を乗じて前記制御パラメータを調整することをさらに含む、方法。
  17. 請求項12に記載の方法であって、前記処理チャンバ内で実施されるエッチング工程数、前記処理チャンバ内で実施されるエッチング工程と堆積工程のサイクル数、前記処理チャンバ内で実施されるエッチング工程と堆積工程との合計継続時間、前記処理チャンバ内で処理される基板数のうちの少なくとも1つに基づいて、前記第1の蓄積値を計算することをさらに含む、方法。
  18. 請求項12に記載の方法であって、前記制御パラメータは、前記エッチング工程の継続時間であり、前記方法は、
    前記保存されたデータを用いて前記第1の蓄積値に対応する第1の調整係数を算出し、
    前記第1の調整係数に従って前記エッチング工程の継続時間を調整すること
    をさらに備える、方法。
  19. 請求項18に記載の方法であって、前記第1の調整係数は、前記蓄積を補償するために、前記エッチング工程の継続時間を増加させる量に対応する、方法。
  20. 請求項18に記載の方法であって、前記エッチング工程はトリム工程であり、前記方法は、前記トリム工程に続いて前記処理チャンバ内でスペーサ層堆積工程を実施することをさらに備える、方法。
JP2021505780A 2018-08-02 2019-07-31 トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償 Active JP7419342B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/053,022 US10847352B2 (en) 2018-08-02 2018-08-02 Compensating chamber and process effects to improve critical dimension variation for trim process
US16/053,022 2018-08-02
PCT/US2019/044343 WO2020028492A1 (en) 2018-08-02 2019-07-31 Compensating chamber and process effects to improve critical dimension variation for trim process

Publications (2)

Publication Number Publication Date
JP2021532601A JP2021532601A (ja) 2021-11-25
JP7419342B2 true JP7419342B2 (ja) 2024-01-22

Family

ID=69227639

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021505780A Active JP7419342B2 (ja) 2018-08-02 2019-07-31 トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償

Country Status (6)

Country Link
US (1) US10847352B2 (ja)
JP (1) JP7419342B2 (ja)
KR (1) KR20210029827A (ja)
CN (1) CN112534561A (ja)
TW (1) TWI828733B (ja)
WO (1) WO2020028492A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7357528B2 (ja) * 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11929263B2 (en) * 2020-10-30 2024-03-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for manufacturing semiconductor
KR102534290B1 (ko) * 2020-12-14 2023-05-19 (주)티티에스 히터 및 샤워헤드의 원자층 박막 코팅을 위한 원자층 박막 증착 코팅 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2011228707A (ja) 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
JP2013105923A (ja) 2011-11-15 2013-05-30 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2016072625A (ja) 2014-09-30 2016-05-09 ラム リサーチ コーポレーションLam Research Corporation プラズマ援用原子層堆積におけるrf補償のための方法及び装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166783A (en) 1978-04-17 1979-09-04 Varian Associates, Inc. Deposition rate regulation by computer control of sputtering systems
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
KR102323248B1 (ko) 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2011228707A (ja) 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
JP2013105923A (ja) 2011-11-15 2013-05-30 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2016072625A (ja) 2014-09-30 2016-05-09 ラム リサーチ コーポレーションLam Research Corporation プラズマ援用原子層堆積におけるrf補償のための方法及び装置

Also Published As

Publication number Publication date
TW202027191A (zh) 2020-07-16
WO2020028492A1 (en) 2020-02-06
US10847352B2 (en) 2020-11-24
JP2021532601A (ja) 2021-11-25
US20200043709A1 (en) 2020-02-06
KR20210029827A (ko) 2021-03-16
CN112534561A (zh) 2021-03-19
TWI828733B (zh) 2024-01-11

Similar Documents

Publication Publication Date Title
US11127567B2 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10879044B2 (en) Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
JP7419342B2 (ja) トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償
US20180247828A1 (en) Systems for performing in-situ deposition of sidewall image transfer spacers
US10096475B1 (en) System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
JP2024056884A (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
TWI804641B (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
KR20210132731A (ko) 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
US20230002901A1 (en) Pressure batch compensation to stabilize cd variation for trim and deposition processes
US20220235464A1 (en) Selective carbon deposition
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
TW202309339A (zh) Pecvd沉積系統中對厚度趨勢之基於噴淋頭溫度的沉積時間補償

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240110

R150 Certificate of patent or registration of utility model

Ref document number: 7419342

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150