TWI828733B - 補償腔室及製程效應以改善修整製程的臨界尺寸變異 - Google Patents

補償腔室及製程效應以改善修整製程的臨界尺寸變異 Download PDF

Info

Publication number
TWI828733B
TWI828733B TW108127343A TW108127343A TWI828733B TW I828733 B TWI828733 B TW I828733B TW 108127343 A TW108127343 A TW 108127343A TW 108127343 A TW108127343 A TW 108127343A TW I828733 B TWI828733 B TW I828733B
Authority
TW
Taiwan
Prior art keywords
power
processing chamber
accumulation
etching
duration
Prior art date
Application number
TW108127343A
Other languages
English (en)
Other versions
TW202027191A (zh
Inventor
普爾基特 艾嘉沃
艾里恩 拉芙依
拉维 庫馬爾
普魯夏坦 庫瑪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202027191A publication Critical patent/TW202027191A/zh
Application granted granted Critical
Publication of TWI828733B publication Critical patent/TWI828733B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Electromagnetism (AREA)
  • Automation & Control Theory (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種控制器包含記憶體,其儲存將累積值與相應調整係數相聯繫之資料。該累積值對應於在一處理腔室內之表面上材料的累積,且該相應調整係數對應於針對提供至該處理腔室的RF功率之一控制參數的調整。一累積計算模組,設置以計算一第一累積值,該第一累積值指出該材料之累積量。一RF功率控制模組係設置以:接收該第一累積值;接收一定值功率及該蝕刻步驟之一持續時間的至少其中一者;從該記憶體提取該儲存之資料;基於該第一累積值、該定值功率及該蝕刻步驟之該持續時間的至少其中一者、以及該儲存之資料來調整該控制參數;以及根據如所調整之該控制參數來控制提供至該處理腔室之該RF功率。

Description

補償腔室及製程效應以改善修整製程的臨界尺寸變異
本申請案主張以下優先權:於2018年8月2日提交之美國專利申請案第16/053,022號。上述引用之申請案的全部揭示內容通過引用於此納入。
本揭露相關於在原子層沉積基板處理腔室中的雙重圖案化製程。
此處所提供之先前技術章節係為了一般性呈現揭露內容的目的。本案列名發明人的工作成果,在此先前技術段落中所述範圍、以及不適格為申請時先前技術之描述的實施態樣,不明示或暗示承認為對抗本揭露內容的先前技術。
基板處理系統可用以處理諸如半導體晶圓的基板。基板處理的範例包含:蝕刻、沉積、光阻移除等等。在處理期間,將基板配置在諸如靜電夾頭的基板支撐物上,且可將一或更多製程氣體引入處理腔室中。
可藉由氣體輸送系統將一或更多製程氣體輸送至處理腔室。在某些系統中,氣體輸送系統包含由一或更多導管連接至位於處理腔室中之噴淋頭的歧管。在某些例子中,此製程使用原子層沉積(ALD)在基板上沉積薄膜。
一種控制器包含記憶體,其儲存將累積值與相應的調整係數相聯繫之資料。該等累積值對應於在一處理腔室內之表面上材料的累積,該處理腔室係設置以在一基板上執行蝕刻及沉積,且該相應的調整係數對應於針對在執行一圖案化製程之一蝕刻步驟之時提供至該處理腔室的射頻(RF)功率之一控制參數的調整。一累積計算模組,設置以計算一第一累積值,該第一累積值指出該材料之一累積量。一RF功率控制模組,設置以:接收該第一累積值;接收一定值功率及該蝕刻步驟之一持續時間的至少其中一者,該定值功率係在該蝕刻步驟期間提供;從該記憶體提取該儲存之資料;基於該第一累積值、該定值功率及該蝕刻步驟之該持續時間的至少其中一者、以及該儲存之資料來調整該控制參數;以及根據如所調整之該控制參數來控制在該蝕刻步驟期間提供至該處理腔室之該RF功率。
在其他特徵中,該控制參數係該RF功率的一量值,且該RF功率控制模組係設置以使用該儲存之資料確定對應於該第一累積值的一第一調整係數以及根據該第一調整係數調整該RF功率之該量值。該儲存之資料係將該等累積值與該等相應的調整係數相聯繫的一多項式。第一調整係數係一比率,該比率為補償該累積所需之一RF功率的一量值對該定值功率的比率。該RF功率控制模組係設置以將該定值功率乘上該第一調整係數以調整該控制參數。
在其他特徵中,該累積計算模組係設置以,基於在該處理腔室內執行之蝕刻步驟的次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的循環 次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的總持續時間、以及在該處理腔室內受處理之基板的數量的至少其中一者,計算該第一累積值。
在其他特徵中,該控制參數係該蝕刻步驟的該持續時間,且該RF功率控制模組係設置以使用該儲存之資料來確定對應於該第一累積值的一第一調整係數,以及根據該第一調整係數調整該蝕刻步驟之該持續時間。該第一調整係數對應於增加該蝕刻步驟之該持續時間的一量以補償該累積。該RF功率控制模組係設置以將該蝕刻步驟之該持續時間乘上該第一調整係數以調整該控制參數。該蝕刻步驟係一修整步驟。一種包括該控制器的系統,且該控制器更設置以在該修整步驟之後在該處理腔室內執行一隔片層沉積步驟。
一種方法,包含:儲存將累積值與相應的調整係數相聯繫之資料。該等累積值對應於在一處理腔室內之表面上材料的累積,該處理腔室係設置以在一基板上執行蝕刻及沉積,且該等相應的調整係數對應於針對在執行一圖案化製程之一蝕刻步驟之時提供至該處理腔室的射頻(RF)功率之一控制參數的調整。該方法更包含:計算一第一累積值,該第一累積值指出該材料之一累積量;接收一定值功率及該蝕刻步驟之一持續時間的至少其中一者,該定值功率係在該蝕刻步驟期間提供;基於該第一累積值、該定值功率及該蝕刻步驟之該持續時間的至少其中一者、以及該儲存之資料來調整該控制參數;以及根據如所調整之該控制參數來控制在該蝕刻步驟期間提供至該處理腔室之該RF功率。
在其他特徵中,該控制參數係該RF功率的一量值,且該方法更包含:使用該儲存之資料來確定對應於該第一累積值的一第一調整係數;以及根據該第一調整係數調整該RF功率之該量值。該儲存之資料係將該等累積值與 該等相應的調整係數相聯繫的一多項式。該第一調整係數係一比率,該比率為補償該累積所需之一RF功率的一量值對該定值功率的比率。該方法更包含將該定值功率乘上該第一調整係數以調整該控制參數。
在其他特徵中,該方法更包含:基於在該處理腔室內執行之蝕刻步驟的次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的循環次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的總持續時間、以及在該處理腔室內受處理之基板的數量的至少其中一者,計算該第一累積值。
在其他特徵中,該控制參數係該蝕刻步驟的該持續時間,且該方法更包含:使用該儲存之資料來確定對應於該第一累積值的一第一調整係數;以及根據該第一調整係數調整該蝕刻步驟的該持續時間。該第一調整係數對應於增加該蝕刻步驟之該持續時間的一量以補償該累積。該蝕刻步驟係一修整步驟,且該方法更包含在該修整步驟之後在該處理腔室內執行一隔片層沉積步驟。
本揭露的更進一步應用領域從細節描述、所請專利範圍以及圖式將變得顯而易見。細節描述與特定示例僅意欲說明性之目的,並不意圖限制本揭露之範疇。
a:間距
b:間距
c:間距
S1:間隔
S2:間隔
L:線寬
100:基板處理系統
104:基板支撐物
108:處理腔室
112:基板
120:氣體輸送系統
122:氣源
122-1:氣源
122-2:氣源
122-N:氣源
124:閥
124-1:閥
124-2:閥
124-N:閥
126:MFC
126-1:質量流量控制器
126-2:質量流量控制器
126-N:質量流量控制器
128:歧管
132:壓力調節器
136:歧管
140:噴淋頭
160:電阻加熱器
164:冷卻劑通道
168:流體儲存室
170:泵
172:壓力感測器
174:壓力感測器
178:閥
180:泵
182:控制器
184:劑量控制器
188:RF生成系統
192:RF生成器
196:匹配及分配網路
200:基板
204:硬遮罩層
208:核心層
212:核心層
216:核心層
220:遮罩
224:心軸
228:隔片層
232:側壁部分
236:心軸
240:隔片層
244:側壁部分
248:心軸
300:核心層
304:心軸
308:隔片層
312:側壁部分
400:範例蝕刻量下降
500:RF功率
600:表格
700:範例圖
704:圖點
708:曲線
900:控制器
904:RF功率控制模組
908:使用者介面
912:累積計算模組
916:記憶體
從詳細描述與隨附圖示將變得更全面地理解本揭露,其中:圖1為根據本揭露,基板處理系統之範例的功能性框圖;圖2A到2K說明根據本揭露雙重圖案化原子層沉積製程的範例; 圖3A到3D說明根據本揭露自對準雙重圖案化製程之修整步驟的範例;圖4顯示根據本揭露隨處理腔室中材料累積之上升的範例蝕刻量下降;圖5顯示根據本揭露相對於蝕刻量的範例射頻(RF)功率;圖6為顯示根據本揭露隨處理腔室中累積之上升的範例蝕刻量及有效功率之變化的表格;圖7顯示根據本揭露在各累積值計算之功率調整係數的範例圖;圖8為根據本揭露,一種補償處理腔室中之累積以將修整步驟中晶圓對晶圓(WtW)變異性最小化的範例方法;以及圖9為根據本揭露,設置以補償處理腔室中之累積的範例控制器。
在圖示中,可重複使用索引號碼以識別相似以及/或者相同的部件。
在諸如原子層沉積(ALD)的膜沉積製程中,沉積之膜的各性質在整個空間(意即水平面上的x-y座標)分布上變化。舉例而言,基板處理工具可針對膜厚不均勻性(NU)具有各別的規格,該等規格可以在半導體基板之表面上的預定位置進行之量測組的全範圍、半範圍、以及/或者標準差加以量測。在某些例子中,NU可藉由例如處理NU的直接原因以及/或者引入補償並抵銷現存NU的一反作用NU加以縮減。在其他範例中,可將材料刻意不均勻地沉積 以及/或者移除以補償在製程中之其他(例如先前的或後續的)步驟已知的不均勻性。
雙重圖案化(DPT)ALD製程(例如自對準雙重圖案化(或SADP)製程)可包含下列步驟,其包含但不限於:微影步驟、修整步驟、以及犧牲隔片層沉積步驟。各步驟可具有影響整體臨界尺寸(CD)NU及不平衡性的相關NU。舉例而言,修整步驟可在微影步驟及隔片層沉積步驟之間執行以降低CD。然而修整NU可導致不均勻的雙重圖案化,這增加CD不平衡性並導致差的良率。修整NU可以徑向NU及方位角NU表徵。
特定基板的CD NU及不平衡性可以晶圓內(WiW)變異性來表徵。反之,不同基板間的CD NU及不平衡性可以晶圓對晶圓(WtW)變異性來表徵。在DPT製程中,將CD NU及不平衡性方面的WtW變異性最小化可能係困難的。舉例而言,修整步驟及後續隔片沉積步驟可在相同處理腔室中執行以增加處理產量。沉積步驟可導致材料累積在處理腔室內之表面上(例如內壁)。再者,蝕刻副產物(例如修整步驟的副產物)可累積在處理腔室的表面上。在處理腔室內之此材料累積改變處理腔室的整體阻抗,進而會影響蝕刻速率。因此,材料的累積以及對腔室阻抗之改變合量增加了修整步驟之WtW變異性。
根據本揭露之原理的系統及方法係設置以降低(例如在修整步驟中的)WtW蝕刻變異性,該WtW蝕刻變異性係由處理腔室之內表面上的材料累積所導致。舉例而言,蝕刻速率更受提供至電極以在處理腔室內生成電漿的射頻(RF)功率所影響。因此,在一個範例中,隨著由於該累積而造成之蝕刻速率下降,可調整RF功率的控制參數以補償蝕刻速率的下降。在一個範例中,控制參數是在修整步驟期間提供之RF功率的量(意即量值)。換言之,可調整 RF功率以維持所欲之蝕刻速率並降低由處理腔室之阻抗改變導致的變異性。在另一範例中,控制參數係蝕刻的持續時間(例如修整步驟的持續時間),且可增加該持續時間以補償蝕刻速率的降低。
現在參考圖1,顯示根據本揭露內容,包含基板支撐物(例如ALD底座)104之基板處理系統100的範例。基板支撐物104係配置在處理腔室108內。在處理期間將基板112配置在基板支撐物104上。
氣體輸送系統120包含氣源122-1、122-2、......以及122-N(統稱為氣源122),其連接至閥124-1、124-2、......以及124-N(統稱為閥124)以及質量流量控制器126-1、126-2、......以及126-N(統稱為MFC 126)。MFC 126控制從該氣源122到歧管128的氣流,氣體在歧管128混合。經由選用性壓力調節器132將歧管128的輸出供應至歧管136。歧管136的輸出係輸入至諸如多注射器噴淋頭140的配氣裝置。儘管顯示歧管128及136,可使用單一歧管。如下面更詳細描述的,根據本揭露內容之原理的噴淋頭140係設置以在基板112的處理期間傾斜。
在某些例子中,基板支撐物104的溫度可使用電阻加熱器160加以控制。基板支撐物104可包含冷卻劑通道164。將冷卻流體從流體儲存室168及泵170供應至冷卻劑通道164。壓力感測器172、174可分別配置在歧管128或歧管136中以量測壓力。可使用閥178及泵180以將反應物從處理腔室108抽空以及/或者控制在處理腔室108內的壓力。
控制器182包含控制由多注射器噴淋頭140所提供之用劑的劑量控制器184。控制器182亦控制來自氣體輸送系統120的氣體輸送。控制器182使用閥178及泵180控制處理腔室中的壓力以及/或者反應物的抽空。控制器 182基於溫度回饋(例如基板支撐物中的感測器(未顯示)以及/或者量測冷卻劑溫度的感測器(未顯示))控制基板支撐物104以及基板112的溫度。
在某些例子中,基板處理系統100可設置以在相同處理腔室108內在基板112上進行蝕刻。例如,如下方更詳細描述的,根據本揭露內容,基板處理系統100可設置以執行修整步驟以及隔片沉積步驟兩者。因此,基板處理系統100可包含RF生成系統188,RF生成系統188係設置以生成並提供RF功率(例如:電壓源、電流源等等)至下電極(例如如所示地,基板支撐物104的底板)及上電極(例如噴淋頭140)的其中一者。僅供舉例之目的,RF生成系統188的輸出將在此處描述為RF電壓。下電極及上電極的另外一者可以DC接地、AC接地、或是浮接。僅為舉例,RF生成系統188可包含RF生成器192,其設置以生成由匹配及分配網路196饋送的RF電壓以在處理腔室108內生成電漿以蝕刻基板112。在其他範例中,電漿可感應地或遠端地生成。如為了範例目的所示地,儘管RF生成系統188對應於電容耦合電漿(CCP)系統,然而本揭露內容的原理亦可實施在其他合適的系統中,諸如,僅為舉例:變壓器耦合電漿(TCP)系統、CCP陰極系統、遠端微波電漿生成及運輸系統等等。
根據本揭露內容之控制器182更設置以調整RF功率以及/或者蝕刻步驟(例如修整步驟)的持續時間以補償由處理腔室108之內的表面上材料累積所導致之蝕刻速率的改變。
現在參考圖2A-2K,描述了範例SADP製程。圖2A顯示包含例如硬遮罩層204形成於其上的基板200。僅為舉例,基板200包含矽(Si)基板,且硬遮罩層204係由氮化矽(Si3N4)所製成,但可使用其他材料。將複數核心層(例如心軸層)208、212、以及216沉積在硬遮罩層204上(例如使用化學氣 相沉積(或CVD))。僅為舉例,核心層208、212、及216可包含非晶矽(a-Si)。在某些例子中,核心層208、212、及216可具有大約50-150nm(例如100nm)的高度。將圖案化層(例如圖案化光阻層或遮罩)形成在核心層216上並使用光微影加以圖案化。
包含硬遮罩層204、核心層208、212、及216、以及遮罩220的基板200,係設置在處理腔室(例如蝕刻工具的感應耦合電漿腔室)內。如圖2B中所示,將核心層216蝕刻(例如使用非等向性蝕刻或其他製程)以形成複數心軸224。在核心層216蝕刻的期間,遮罩220保護對應到心軸224之核心層216的部分。若是遮罩220係光阻遮罩,遮罩220可以含氧電漿移除。若是遮罩220係相似於如下所述之隔片層228的材料,遮罩220可維持在心軸224上且可在隔片層228蝕刻期間受蝕刻。
在圖2C中,隔片層228沉積在基板200上方(意即在核心層212及心軸224上)。僅供舉例,隔片層228可使用諸如氧化物型沉積(使用包含四氯化矽(SiCl4)、矽烷(SiH4)等等的前驅物)、氮化物型沉積(使用包含氮分子、氨(NH3)等等的前驅物)、以及/或者基於碳的沉積(使用包含甲烷(CH4)、氟甲烷(CH3F)等等的前驅物)的ALD而加以保形地沉積。
在一個例子中,隔片層228係在O2的存在下使用SiCl4前驅物加以沉積。用於執行隔片層228之沉積的其他範例製程參數包含:低於10℃的最小溫度到高達120℃之間的溫度變異、在200及1800瓦之間的電漿功率、從0到大約1000伏的偏壓、以及在2毫托到2000毫托之間腔室壓力。
在某些例子中,修整步驟可在沉積隔片層228之前在心軸224上進行。舉例而言,在修整步驟中,可將心軸224蝕刻以調整心軸224寬度以及隔片層228的尺寸。
在圖2D中,將隔片層228蝕刻(例如使用非等向性蝕刻製程)以將隔片層228的部分從核心層212及心軸224的上表面移除,而同時允許隔片層228的側壁部分232留存。在某些範例中,可在圖2D中所述之蝕刻之後執行擊穿步驟(例如含氟化物電漿處理)。再者,取決於隔片層228的材料,可在含氟化物電漿處理之前進行含氧電漿處理。圖2E中,將心軸224移除(例如使用非等向性蝕刻)。因此,側壁部分232仍形成在基板200上。
如圖2F中所示,將核心層212蝕刻(例如使用非等向性蝕刻或其他製程)以形成複數心軸236。側壁部分232作為遮罩以在核心層212蝕刻期間保護對應到心軸236的核心層212部分。可在如下所述之隔片層240的蝕刻期間將側壁部分232以額外電漿蝕刻步驟等等移除。
在圖2G中,將隔片層240沉積在基板200上方(意即在核心層208及心軸236上)。僅為舉例,隔片層240可以相似於隔片層228的方式使用ALD保形地沉積。在某些例子中,可在沉積隔片層240之前在心軸236上執行修整步驟。
在圖2H中,將隔片層240蝕刻(例如使用非等向性蝕刻製程)以將隔片層240的部分從核心層208及心軸236上表面移除,同時允許隔片層240的側壁部分244留存。在某些例子中,可在圖2H中所述之蝕刻之後執行擊穿步驟(例如含氟化物電漿處理)。再者,取決於隔片層240的材料,可在含 氟化物電漿處理之前進行含氧電漿處理。圖2I中,將心軸236移除(例如使用非等向性蝕刻)。因此,側壁部分244仍形成在基板200上。
如圖2J中所示,將核心層208蝕刻(例如使用非等向性蝕刻或其他製程)以形成複數心軸248。側壁部分244作為遮罩以在核心層208蝕刻期間保護對應到心軸248的核心層208部分。例如,可在如圖2K中所示之額外電漿蝕刻步驟中將側壁部分244移除。
如2K中所示,SADP製程使得心軸248以間隔的圖案在基板200上形成(例如在硬遮罩層204上)。心軸248之間的間距係根據如圖2I中所示之側壁部分244之間的間距所決定,側壁部分244之間的間距則是根據如圖2E中所示之側壁部分232之間的間距所決定。心軸236及心軸236的寬度決定了側壁部分244及側壁部分232之間的各別間距,也因此決定了心軸248之間的間距。因此,可在心軸236及心軸236上執行修整步驟以確保心軸248之間的均勻間距。舉例而言,可將心軸236及心軸236的各別寬度修整以達到均勻間距,使得如圖2K中所示a=b=c。
現在參考圖3A、3B、3C、以及3D,描述了SADP製程的範例修整步驟。為了簡明起見,僅顯示單一核心層300及心軸304。在圖3A中,心軸304在修整步驟之前顯示(例如在用以在核心層300上形成心軸304的蝕刻步驟之後,諸如圖2B中所示)。心軸304的寬度對應到臨界尺寸CD1。如圖3B中所示將心軸304修整(例如蝕刻)以調整心軸304的寬度。因此,將心軸304的臨界尺寸降低到CD2。在圖3C中,沉積隔片層308(例如如上所述使用ALD保形地沉積)在核心層300及心軸304上方。
圖3D顯示隔片層308的側壁部分312,其在執行一或更多蝕刻步驟以將隔片層308的部分及心軸304移除之後留存在核心層300上。在側壁部分312之間的間隔(例如S1、S2等等)對應到心軸304的各別寬度(例如CD2)。因此,側壁部分312的間距可界定為S1+S2+2L,其中L對應於線寬(亦即側壁部分312之其中一者的寬度)。
各種不均勻性影響在處理期間材料沉積(例如在ALD步驟期間)及移除(例如在蝕刻步驟期間)的量。舉例而言,如圖3C中所示之隔片層308的沉積以及如圖3B中所示之修整步驟期間心軸304的蝕刻造成材料沉積在處理腔室的表面上(例如在內壁上)。此沉積材料的累積改變處理腔室的阻抗及後續蝕刻製程步驟期間的蝕刻率,造成修整步驟的WtW變異性。圖4顯示隨著處理腔室中材料累積增加,範例蝕刻量400的下降(例如針對預定持續時間的修整步驟,以埃表示)。如所示,該累積是以相對於上一次清理處理腔室之修整/沉積循環的次數來量測。圖5顯示RF功率500相對於蝕刻量的圖(例如在修整步驟期間提供的RF功率)。如所示,隨RF功率500增加,蝕刻量增加。在此例中,此增加一般來說是線性的(例如,如所示,功率y=5x-35,其中x對應於蝕刻量)。
現在參考圖6,表格600顯示隨著累積增加,蝕刻量以及有效功率的範例改變(例如,對於一段預定時間的各別修整步驟而言)。如所示,隨著累積增加(例如從900個修整/沉積循環到3900個修整/沉積循環),一段預定時間之修整步驟的蝕刻量從158.66埃下降到151.91埃,而有效功率從758.28瓦下降到724.57瓦。因此,由於該累積增加並且造成處理腔室阻抗改變,有效 功率下降。換言之,有效功率低於期望(例如定值)功率。有效功率對定值功率的比率從理想比率1.0000下降到0.9555。
根據本揭露內容的控制器182係設置以基於該累積量提升定值功率(例如將RF功率從預訂的預設定值提升到調整的RF功率)。舉例而言,定值功率根據功率調整係數加以調整。當累積量低的時候(例如低於900),功率調整係數為1.0000。換言之,當累積量低的時候,調整定值功率的步驟可能不必要。相反地,隨著累積量增加,功率調整係數從1.0000增加到1.0465。舉例而言,若是期望定值功率為758.28瓦,在3900的累積量,根據1.0465 * 758.28=793.5400瓦來調整定值功率。針對3900的累積量在有效功率對定值功率的比率,用於793.5400之定值功率的有效功率對應於793.5400 * 0.9555,或者是758.23瓦。因此,隨著累積增加,定值功率根據功率調整係數而增加,使得有效功率維持在期望的預訂定值功率。
圖7顯示在各累積值計算之功率調整係數(例如,如圖點704所指示)的範例圖700。在某些例子中,功率調整係數可符合曲線708,曲線708以一公式表示。在一個例子中,曲線708以三次多項式表示。控制器182係設置以基於該累積量、期望的定值功率(例如如來自使用者、製程配方等等作為輸入而接收的)、以及該公式來計算調整的定值功率。在其他例子中,控制器182可儲存一資料(例如:一查表),其將複數累積值與相對功率調整係數相聯繫。
現在參考圖8,一種補償處理腔室中之累積以將修整步驟中晶圓對晶圓(WtW)變異性最小化的範例方法800起始於804。在808,方法800確定在處理腔室內材料累積的增加(以及處理腔室之阻抗的對應改變)與給定修整步驟之蝕刻量的改變之間的相關性。舉例而言,方法800蒐集並儲存第一資 料,其指出蝕刻量下降(例如以埃表示)及累積量增加(例如自從上一次清潔處理腔室以來以修整/沉積循環次數量測,其中一個循環對應於的一個修整步驟接續一個隔片層沉積步驟)之間的相關性。在其他範例中,可以另一方式量測累積量(例如自從上次清潔處理腔室以來,在處理腔室內所執行之蝕刻及沉積的總持續時間;自從上次清潔處理腔室以來,所處理之基板的總數量;自從上次清潔處理腔室以來已經經過的時間量等等)。僅為舉例,所蒐集之第一資料對應於在圖6中顯示的累積量及蝕刻量。
在812,方法800確定蝕刻量及RF功率之間的相關性。舉例而言,方法800蒐集並儲存第二資料,其指示在修整步驟期間提供之RF功率變化與蝕刻量的對應改變之間的相關性。僅為舉例,所蒐集之的第二資料可對應於如圖5中所示之RF功率500相對於蝕刻量的圖。一般來說,增加的RF功率使蝕刻量增加,而降低的RF功率使蝕刻量降低。
在816,方法800使用所蒐集之第一資料及第二資料以計算所需之RF功率以在不同的累積值達到目標蝕刻量。舉例而言,如上關於圖6所述,方法800計算用於給定累積值的有效功率、有效功率對定值功率的比率、以及功率調整係數(例如相對定值功率補償該累積所需之RF功率的比率)。在820,方法800使用功率調整係數以計算並儲存各累積值與對應的功率調整係數之間的相關性。舉例而言,累積值與功率調整係數之間的相關性可對應於如圖7中所示之圖700、諸如代表曲線708的多項式等等的公式。僅為舉例,控制器182可儲存該公式。
在824,方法800根據所儲存之累積值與功率調整係數之間的相關性來執行修整步驟。舉例而言,在修整步驟之前,方法800(例如控制器182) 確定累積量、根據累積及所儲存之公式來確定功率調整係數、使用功率調整係數來調整期望定值功率、以及使用由功率調整係數所調整之定值功率來執行修整步驟。方法800結束於828。
現在參考圖9,顯示了根據本揭露內容設置以補償處理腔室中之累積的範例控制器900。控制器900包含RF功率控制模組904,其根據本揭露控制在修整步驟期間所提供之RF功率的量及持續時間。舉例而言,RF功率控制模組904選擇性提供訊號至RF生成系統188以將RF功率選擇性開啟及關閉以及調整由RF生成系統188所產生之功率的量。
RF功率控制模組904接收期望的定值功率(例如,基於由控制器900所執行之製程配方、來自使用者介面908等等)以及累積值(例如來自如上所述設置以確定處理腔室內累積的累積計算模組912),並且從記憶體916提取所儲存的資料。舉例而言,所儲存的資料對應於指出各累積值與對應功率調整係數之間的相關性的公式。RF功率控制模組904基於確定的累積量、定值功率、以及提取之資料來計算調整的RF功率且從而控制RF生成系統188。
在另一範例中,替代以及/或者除了調整RF功率的量以補償由處理腔室內之累積量所造成之蝕刻速率下降以外,控制器900可設置以調整該修整步驟的持續時間。更特別是,可增加修整步驟的持續時間以補償蝕刻速率的下降。舉例而言,RF功率控制模組904接受期望的定值功率、修整步驟的持續時間、以及累積值,並從記憶體916提取儲存之資料,該資料指出各累積值及對應修整步驟持續時間調整係數之間的相關性。在製程配方指出修整步驟持續時間D的一範例中,RF功率控制模組904係設置以根據調整之持續時間Dadj=(持續時間調整係數)*持續時間D來調整持續時間D。舉例而言,持續時間 調整係數可基於根據將各累積值與對應持續時間調整係數相聯繫的公式的累積值以相似於如上所述之功率調整係數的計算方式加以計算。
前述本質僅是用以說明性描述,而非意欲限制此處揭露內容、其應用或用途。此揭露之廣泛教示可以多種形式實行。因此,儘管此揭露包含特定例子,然而由於經由研讀附圖、說明書以及以下專利申請範圍,其他調整將變得顯而易見,因此本揭露之真實範疇不應僅限於此。應知悉在不改變此揭露的原理之下,一個方法中的一或更多步驟可以不同順序(或同時)執行。再者,儘管每個實施例在上方所描述為具有特定特徵,然而相關於任何本揭露之實施例所描述的這些特徵中的任何一或多者可在任何其他實施例的特徵中實施以及/或者與其結合實施,就算該結合沒有明確描述。換句話說,所述之實施例並非互斥的,且一或更多實施例與另一者置換仍在本揭露的範疇內。
使用各種用語描述之部件之間(例如,在模組、電路元件、半導體層等等之間)的空間及功能關係,包含「連接」、「契合」、「耦合」、「毗連」、「相鄰」、「在頂部」、「上方」、「下方」、以及「設置」。除非明確的描述為「直接」,當在上述揭露中描述第一與第二部件之間的關係時,該關係可以是在該第一與第二部件之間沒有其他中介部件存在的直接關係,也可以是在該第一與第二部件之間(空間上或功能上)存在一或更多中介部件的間接關係。如此處所使用,用語至少為A、B及C其中之一應被解釋為使用非排他性的「或者」表示邏輯(A或B或C),並且不應解釋為表示「至少A其中之一、至少B其中之一以及至少C其中之一」。
在某些實例中,控制器是系統的一部分,其可能是上述例子中的一的部分。該系統可包括半導體處理設備,包含處理工具、腔室、平台以及/ 或者特定處理元件(晶圓底座、氣流系統等等)。這些系統可能整合電子產品以控制他們在半導體晶圓或基板之處理前、中、及後的作業。該電子產品可稱為「控制器」,可控制各種系統的元件或子部件。該控制器可能被設計用以控制任何此處所揭露的製程,包含製程氣體輸送、溫度設定(例如加熱以及/或者冷卻)、壓力設定、真空設定、功率設定、射頻(RF)生成器設定、RF匹配電路設定、頻率設定、流量設定、流體輸送設定、位置與操作設定、晶圓輸送進出工具與其他輸送工具以及/或者連接到特定系統或與之介面的負載鎖,端看處理需求以及/或者系統類型。
廣泛地說,控制器可被定義為具有各種積體電路、邏輯、記憶體以及/或者軟體,可接受指令、發送指令、控制操作、啟用清潔操作、啟用端點量測等等的電子產品。該積體電路可能包含韌體形式儲存程式指令的晶片、數位訊號處理器(DSPs)、定義為特殊用途積體電路(ASICs)的晶片、以及/或者執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可能係以各種單獨設定(或程式文件)的形式傳達至控制器的指令,定義在半導體晶圓或系統上執行的特定製程之操作參數。在一些實施例中,該操作參數可能是在由製程工程師定義於製造晶圓的一或多層、材料層、金屬層、氧化層、矽晶層、二氧化矽層、表面、電路以及/或者晶粒的過程中,用以完成一或更多製程步驟的配方的一部分。
在某些實施方式中,該控制器可能為一與系統整合、與系統耦合要不然就是與系統聯網或者結合以上方式的電腦的一部分或是與之耦合。舉例而言,該控制器可能在「雲端」或是工廠主機電腦系統的一部分或全部,可允許遠端存取晶圓製程。該電腦可能可以遠端連接至系統以監控現行製造作業進 程、查看過去製造作業之歷史紀錄、查看多個製造作業的趨勢或性能矩陣、修改現行製程參數、設定製程步驟以接續現行製程,或是開始新製程。在某些例子中,遠端電腦(例如伺服器)可透過可包含區域網路或網際網路的聯網提供製程配方至系統。該遠端電腦可能包含可以進入或設計參數以及/或者設定的使用者介面,這些設定會接著從遠端電腦連接至系統。在某些例子中,控制器收到資料形式的指令,該資料指定在一或更多操作過程中待執行之每個製程步驟的參數。應知悉,參數可以特定針對待執行製程的類型以及控制器設置以與之介面或將其控制的工具類型。因此如上所述,控制器可能是分散的,一如經由組合一或更多個別控制器透過聯網合作並朝一個共同目的工作,正如此處描述的製程與控制。一個用於此目的的分散式控制器例子可以是在一個腔室上一或更多積體電路連接一或更多位於遠端的積體電路(例如在平台水平或是遠端電腦的一部分)兩者結合以控制該腔室的製程。
不受限地,範例系統可能包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組,以及任何其他可能相關聯或用於生產以及/或者製造半導體晶圓的半導體製程系統。
如上所述,控制器會聯絡一或更多其他工具電路或模組、其他工具部件、群組工具、其他工具介面、毗連工具、相鄰工具、遍布工廠的工具、主電腦、另一控制器,或將晶圓容器傳送出或傳送至半導體製造工廠中工具位置以及/或者裝載端口的材料輸送工具,視工具執行的製程步驟而定。

Claims (20)

  1. 一種控制器,包含: 記憶體,儲存將累積值與相應的調整係數相聯繫之資料,其中該等累積值對應於在一處理腔室內之表面上材料的累積,該處理腔室係設置以在一基板上執行蝕刻及沉積,且其中該等相應的調整係數對應於針對在執行一圖案化製程之一蝕刻步驟之時提供至該處理腔室的射頻(RF)功率之一控制參數的調整; 一累積計算模組,設置以計算一第一累積值,該第一累積值指出該材料之一累積量;以及 一RF功率控制模組,設置以: 接收該第一累積值, 接收一定值功率及該蝕刻步驟之一持續時間的至少其中一者,該定值功率係在該蝕刻步驟期間提供, 從該記憶體提取該儲存之資料, 基於該第一累積值、該定值功率及該蝕刻步驟之該持續時間的至少其中一者、以及該儲存之資料來調整該控制參數,以及 根據如所調整之該控制參數來控制在該蝕刻步驟期間提供至該處理腔室之該RF功率。
  2. 如請求項1之控制器,其中該控制參數係該RF功率的一量值,且其中該RF功率控制模組係設置以使用該儲存之資料確定對應於該第一累積值的一第一調整係數以及根據該第一調整係數調整該RF功率之該量值。
  3. 如請求項2之控制器,其中該儲存之資料係將該等累積值與該等相應的調整係數相聯繫的一多項式。
  4. 如請求項2之控制器,其中該第一調整係數係一比率,該比率為(i)補償該累積所需之一RF功率的一量值對(ii)該定值功率的比率。
  5. 如請求項2之控制器,其中該RF功率控制模組係設置以將該定值功率乘上該第一調整係數以調整該控制參數。
  6. 如請求項1之控制器,其中該累積計算模組係設置以,基於在該處理腔室內執行之蝕刻步驟的次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的循環次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的總持續時間、以及在該處理腔室內受處理之基板的數量的至少其中一者,計算該第一累積值。
  7. 如請求項1之控制器,其中該控制參數係該蝕刻步驟的該持續時間,且其中該RF功率控制模組係設置以使用該儲存之資料來確定對應於該第一累積值的一第一調整係數,以及根據該第一調整係數調整該蝕刻步驟之該持續時間。
  8. 如請求項7之控制器,其中該第一調整係數對應於增加該蝕刻步驟之該持續時間的一量以補償該累積。
  9. 如請求項7之控制器,其中該RF功率控制模組係設置以將該蝕刻步驟之該持續時間乘上該第一調整係數以調整該控制參數。
  10. 如請求項7之控制器,其中該蝕刻步驟係一修整步驟。
  11. 一種包括如請求項10之控制器的系統,其中該控制器更設置以在該修整步驟之後在該處理腔室內執行一隔片層沉積步驟。
  12. 一種用於補償腔室及製程效應的方法,包含: 儲存將累積值與相應的調整係數相聯繫之資料,其中該等累積值對應於在一處理腔室內之表面上材料的累積,該處理腔室係設置以在一基板上執行蝕刻及沉積,且其中該等相應的調整係數對應於針對在執行一圖案化製程之一蝕刻步驟之時提供至該處理腔室的射頻(RF)功率之一控制參數的調整; 計算一第一累積值,該第一累積值指出該材料之一累積量; 接收一定值功率及該蝕刻步驟之一持續時間的至少其中一者,該定值功率係在該蝕刻步驟期間提供; 基於該第一累積值、該定值功率及該蝕刻步驟之該持續時間的至少其中一者、以及該儲存之資料來調整該控制參數;以及 根據如所調整之該控制參數來控制在該蝕刻步驟期間提供至該處理腔室之該RF功率。
  13. 如請求項12之用於補償腔室及製程效應的方法,其中該控制參數係該RF功率的一量值,該方法更包含: 使用該儲存之資料來確定對應於該第一累積值的一第一調整係數;以及 根據該第一調整係數調整該RF功率之該量值。
  14. 如請求項13之用於補償腔室及製程效應的方法,其中該儲存之資料係將該等累積值與該等相應的調整係數相聯繫的一多項式。
  15. 如請求項13之用於補償腔室及製程效應的方法,其中該第一調整係數係一比率,該比率為(i)補償該累積所需之一RF功率的一量值對(ii)該定值功率的比率。
  16. 如請求項13之用於補償腔室及製程效應的方法,更包含將該定值功率乘上該第一調整係數以調整該控制參數。
  17. 如請求項12之用於補償腔室及製程效應的方法,更包含:基於在該處理腔室內執行之蝕刻步驟的次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的循環次數、在該處理腔室內所執行之蝕刻步驟及沉積步驟的總持續時間、以及在該處理腔室內受處理之基板的數量的至少其中一者,計算該第一累積值。
  18. 如請求項12之用於補償腔室及製程效應的方法,其中該控制參數係該蝕刻步驟的該持續時間,該方法更包含: 使用該儲存之資料來確定對應於該第一累積值的一第一調整係數;以及 根據該第一調整係數調整該蝕刻步驟的該持續時間。
  19. 如請求項18之用於補償腔室及製程效應的方法,其中該第一調整係數對應於增加該蝕刻步驟之該持續時間的一量以補償該累積。
  20. 如請求項18之用於補償腔室及製程效應的方法,其中該蝕刻步驟係一修整步驟,該方法更包含在該修整步驟之後在該處理腔室內執行一隔片層沉積步驟。
TW108127343A 2018-08-02 2019-08-01 補償腔室及製程效應以改善修整製程的臨界尺寸變異 TWI828733B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/053,022 US10847352B2 (en) 2018-08-02 2018-08-02 Compensating chamber and process effects to improve critical dimension variation for trim process
US16/053,022 2018-08-02

Publications (2)

Publication Number Publication Date
TW202027191A TW202027191A (zh) 2020-07-16
TWI828733B true TWI828733B (zh) 2024-01-11

Family

ID=69227639

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108127343A TWI828733B (zh) 2018-08-02 2019-08-01 補償腔室及製程效應以改善修整製程的臨界尺寸變異

Country Status (6)

Country Link
US (1) US10847352B2 (zh)
JP (1) JP7419342B2 (zh)
KR (1) KR20210029827A (zh)
CN (1) CN112534561A (zh)
TW (1) TWI828733B (zh)
WO (1) WO2020028492A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7357528B2 (ja) * 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11929263B2 (en) * 2020-10-30 2024-03-12 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for manufacturing semiconductor
KR102534290B1 (ko) * 2020-12-14 2023-05-19 (주)티티에스 히터 및 샤워헤드의 원자층 박막 코팅을 위한 원자층 박막 증착 코팅 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166783A (en) * 1978-04-17 1979-09-04 Varian Associates, Inc. Deposition rate regulation by computer control of sputtering systems
US20010014540A1 (en) * 1994-12-15 2001-08-16 Applied Materials, Inc. Adjusting DC bias voltage in plasma chamber
US20020155714A1 (en) * 2001-04-20 2002-10-24 Kawasaki Microelectronics, Inc. Mihama-Ku, Japan Method of conditioning an etching chamber and method of processing semiconductor substrate using the etching chamber
US20160284534A1 (en) * 2015-03-25 2016-09-29 Asm Ip Holding B.V. Method of forming thin film

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000173982A (ja) * 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
EP2378543B1 (en) 2010-04-14 2015-05-20 ASM Genitech Korea Ltd. Method of forming semiconductor patterns
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166783A (en) * 1978-04-17 1979-09-04 Varian Associates, Inc. Deposition rate regulation by computer control of sputtering systems
US20010014540A1 (en) * 1994-12-15 2001-08-16 Applied Materials, Inc. Adjusting DC bias voltage in plasma chamber
US20020155714A1 (en) * 2001-04-20 2002-10-24 Kawasaki Microelectronics, Inc. Mihama-Ku, Japan Method of conditioning an etching chamber and method of processing semiconductor substrate using the etching chamber
US20160284534A1 (en) * 2015-03-25 2016-09-29 Asm Ip Holding B.V. Method of forming thin film

Also Published As

Publication number Publication date
JP7419342B2 (ja) 2024-01-22
CN112534561A (zh) 2021-03-19
US10847352B2 (en) 2020-11-24
TW202027191A (zh) 2020-07-16
KR20210029827A (ko) 2021-03-16
JP2021532601A (ja) 2021-11-25
US20200043709A1 (en) 2020-02-06
WO2020028492A1 (en) 2020-02-06

Similar Documents

Publication Publication Date Title
KR102506820B1 (ko) 주파수 튜닝 보조된 듀얼-레벨 펄싱 (dual-level pulsing) 을 위한 RF 매칭 네트워크의 보조 회로
US11127567B2 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9982340B2 (en) Shower head apparatus and method for controlling plasma or gas distribution
TWI828733B (zh) 補償腔室及製程效應以改善修整製程的臨界尺寸變異
WO2019055296A1 (en) THICKNESS COMPENSATION BY MODULATING THE NUMBER OF DEPOSITION CYCLES AS A FUNCTION OF ACCUMULATION IN A CHAMBER ENABLING WAFER TRENCH FILM THICKNESS MATCHING
US9972502B2 (en) Systems and methods for performing in-situ deposition of sidewall image transfer spacers
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
TWI804641B (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
WO2020112608A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20230002901A1 (en) Pressure batch compensation to stabilize cd variation for trim and deposition processes
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US12037686B2 (en) Selective carbon deposition
JP2024527821A (ja) Pecvd堆積システムでの厚さ傾向に対するシャワーヘッド温度ベースの堆積時間補償
TWI840327B (zh) 射頻匹配電路控制系統及阻抗匹配的方法
WO2023003768A1 (en) Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system