JP2011228707A - 半導体素子の微細パターン形成方法 - Google Patents

半導体素子の微細パターン形成方法 Download PDF

Info

Publication number
JP2011228707A
JP2011228707A JP2011090067A JP2011090067A JP2011228707A JP 2011228707 A JP2011228707 A JP 2011228707A JP 2011090067 A JP2011090067 A JP 2011090067A JP 2011090067 A JP2011090067 A JP 2011090067A JP 2011228707 A JP2011228707 A JP 2011228707A
Authority
JP
Japan
Prior art keywords
photoresist
pattern
plasma
trimming
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011090067A
Other languages
English (en)
Other versions
JP5756325B2 (ja
Inventor
Bennett Julian
ジューリアン・ベネット
Hyeong Sang Park
炯相 朴
Naoki Inoue
尚樹 井上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genitech Co Ltd
Original Assignee
Genitech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genitech Co Ltd filed Critical Genitech Co Ltd
Publication of JP2011228707A publication Critical patent/JP2011228707A/ja
Application granted granted Critical
Publication of JP5756325B2 publication Critical patent/JP5756325B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】本発明は半導体素子の微細パターン形成方法を開示する。
【解決手段】本発明の実施形態に係る半導体パターン形成方法によれば、フォトレジストのトリミングの少なくとも一部を原子層蒸着方法によってスペーサ酸化膜蒸着と共に実施することにより、段階が容易となり、トリミングの精密度を高めることができ、トリミング中に発生し得るフォトレジストフーチング(footing)を減らすことができる。
【選択図】図3D

Description

本発明は半導体素子のパターン形成方法に関し、より詳細には、半導体素子の微細パターン形成方法に関する。
半導体素子の大きさが減少するに伴い、半導体素子のパターンも微細化している。
したがって、露光限界値以下の大きさを有する微細パターン形成方法が提案されている。微細パターン形成方法のうち、ダブルパターニング方法が提案された。
図面を参照しながら、従来技術に係るダブルパターニング方法について説明する。まず、図1Aを参照すれば、被エッチング層1上にフォトレジスト膜を塗布し、露光および現像してフォトレジストパターン2を形成する。このとき、フォトレジストパターン2の線幅(X)と間隔(3X)の比は約1:3であってもよい。次に、図1Bに示すように、フォトレジスト2上に酸化膜3を積層する。酸化膜の代わりに窒素酸化物(oxynitride)あるいは窒化物(nitride)を蒸着してもよい。酸化膜3の厚さはフォトレジストパターンの幅と同じであってもよい。次に、酸化膜3をRIE(Reactive Ion Etching)方法によってエッチバック(Etch Back)し、図1Cに示すように、フォトレジストパターン2上に蒸着された酸化膜3の上部と下部膜を除去してフォトレジスト2の側壁にスペーサ3aを形成する。その後、図1Dに示すように、選択的エッチング工程によってスペーサ3aの間のフォトレジスト2を除去してスペーサ3aのパターンのみを残した後、スペーサ3aをハードマスクとして被エッチング層1をパターニングし、線幅(X)を有するパターン1aを形成する。しかし、線幅が30nm以下に縮小されることに伴い、単一露光パターニング法は次第にその限界に達しており、これに対する代案として酸化膜を蒸着する前にフォトレジストトリミング段階(trimming step)を追加するようになった。
次に、図2A〜図2Eを参照しながら、従来技術に係るダブルパターニング方法について説明する。図2Aに示すように、被エッチング層11上にフォトレジスト膜を塗布し、露光および現像してフォトレジストパターン22を形成する。このとき、フォトレジストパターン22の線幅(X1)と間隔の比は1:A(1≦A<3)であってもよい。その後、フォトレジストパターン22をトリミング(trimming)して線幅(X1)を減らすことにより、図2Bに示すように、フォトレジストパターン22の間の間隔の約1/3である第2線幅(X2)を有するトリミングフォトレジストパターン22aを形成する。トリミングは酸素プラズマ処理をしたり、酸化あるいは還元あるいは非活性気体雰囲気下でアニーリング(annealing)をして実行する。その後、図2Cに示すように、トリミングフォトレジストパターン22a上に酸化膜33を積層する。酸化膜の代わりに窒素酸化物(oxynitride)あるいは窒化物(nitride)を蒸着してもよい。トリミングと酸化膜積層段階は、個別反応器で別途に順に進められてもよく、あるいは基板の交換なく同じ反応器内で順に進められてもよい。酸化膜33の厚さはトリミングフォトレジストパターンの幅と同じであってもよい。次に、酸化膜33をRIE(Reactive Ion Etching)方法によってエッチバック(Etch Back)し、図2Dに示すように、トリミングフォトレジスト22aの側壁にスペーサ33aを形成する。その後、図2Eに示すように、スペーサ33aの間のトリミングフォトレジスト22aを除去してスペーサ33aのパターンのみを残した後、スペーサ33aをハードマスクとして被エッチング層11をパターニングし、フォトレジスト11の線幅(X1)以下の線幅(X2)を有するパターン11aを形成することができる。
このような方法によれば、一度の露光工程により、露光器で形成することができるフォトレジストをトリミングし、得られたトリミングフォトレジストの縮小した線幅と同じ線幅を有するパターンをフォトレジストの数の2倍だけ、パターンとパターンの間の合となるピッチ(picth)は本来の長さの半分程度に形成することができる。
しかし、パターンの大きさが約30nm以下に小さくなると同時に、フォトレジストをトリミングなどによって縮小する工程において、その縮小の均一性を制御することが困難となっている。
さらに、フォトレジストが縮小して線幅が減るとき、フォトレジストのフーチング(footing)によってフォトレジストが崩壊したり傾いたりするという問題がある。
本発明は、フォトレジストパターンの精密性を高め、30nm以下の線幅を有するパターンを形成することができる半導体素子のパターン形成方法を提供することを目的とする。
本発明の実施形態に係る半導体素子のパターン形成方法によれば、基板の交換なく同じ反応器内でフォトレジストのトリミングとスペーサ酸化膜蒸着を同時に実施してもよい。ここで、スペーサ酸化膜の蒸着はプラズマ強化原子層蒸着方法によって実行され、この過程においてトリミングが共に進められる。
本発明の他の実施形態に係る半導体素子のパターン形成方法によれば、フォトレジストのトリミングのうちの第1トリミングは、スペーサ酸化膜蒸着前にスペーサ酸化膜を蒸着する原子層蒸着装置内でインサイチュ(in−situ)で実施した後、フォトレジストのトリミングのうちの第2トリミングは、プラズマ強化原子層蒸着方法によってスペーサ酸化膜を蒸着するときに共に実施してもよい。ここで、第1トリミングは連続的な酸素プラズマまたはパルス形式の酸化プラズマによって実行され、第2トリミングはプラズマ強化原子層蒸着方法によってスペーサ酸化膜を蒸着するときに共に進められる。
本発明の他の実施形態に係る半導体素子の形成方法によれば、フォトレジストフーチング(footing)を除去することにより、より完全なパターン形成が可能となる。フォトレジストのトリミングのうちの第1トリミングは、スペーサ酸化膜の蒸着前にスペーサ酸化膜を蒸着する原子層蒸着装置内でインサイチュ(in−situ)で、前駆体(precursor)の供給なく、直接プラズマ環境(direct plasma environment)下で実施した後、フォトレジストのトリミングのうちの第2トリミングは、プラズマ強化原子層蒸着方法によって蒸着されるスペーサ酸化膜の蒸着と共に実施してもよい。ここで、第1トリミングは、前駆体(precursor)の供給なく、直接プラズマ環境(direct plasma environment)下で実施するが、その上に基板が装着されて接地されている基板支持電極と蒸着装置のシャワーヘッドなどのような対向電極の間で形成される直接プラズマ環境下では、活性化したイオンが基板に向かって垂直方向に加速化され、その上に基板が装着されている基板支持電極に移動するため、フォトレジストのトリミングの速度は、フォトレジストの水平方向からなる速度よりもフォトレジストの垂直方向からなる速度が速くなり、フォトレジストの底部付近に発生するフォトレジストのフーチングを減らすことができるようになり、後続する酸化膜蒸着やエッチング時により完全なハードマスクおよびパターン形成が可能となる。
本発明の実施形態に係る半導体パターン形成方法によれば、フォトレジストのトリミングの少なくとも一部を原子層蒸着方法によってスペーサ酸化膜蒸着と共に実施することにより、酸化膜はフォトレジストパターンを支持する役割をするようになる。したがって、フォトレジストの幅は10nm水準に縮小されたとしても、フォトレジストの崩壊あるいは傾きを防ぐことができ、その形態を維持することができるようになる。また、既存に個別に進められていたトリミングと酸化膜蒸着段階を統合/単純化することにより、より効率的かつより生産性のある工程が可能となる。
本発明の実施形態に係るパターン形成方法によれば、原子層蒸着方法によってパターン上に酸化膜を蒸着するときに同時にトリミングを進めることにより、フォトレジストのエッチング速度を制御できるようになる。既存の技術ではエッチング速度を制御することが困難であり、パターン間の距離であるCD(Critical Dimension)制御が簡単ではなかった。エッチング速度が速ければCD制御が難しく、エッチング速度が遅ければ生産性が低下するという問題があった。しかし、本発明に係るプラズマ原子層蒸着方法によって酸化膜を蒸着すれば、パターン上の酸化膜の層カバーレージ(step coverage)が0%から100%まで次第に変わるようになりながら、下部パターンはプラズマから遮られるようになり、エッチング速度は次第に減少するようになる(deceleration effect)。すなわち、温度、プラズマパワー、酸素流量のような酸化膜蒸着条件の調整のみによっても下部パターンのエッチング速度を共に調節することができ、CD幅の精密微細制御が可能となり、微細工程における生産率も高まるようになる。
本発明の実施形態によれば、基板が位置した反応器内で酸化膜が蒸着する前にプラズマを利用したトリミング工程を進めることにより、トリミング工程中に発生し得るフォトレジスト下端部の残留フーチング(footing)を減らすことができるようになり、後続する酸化膜工程においてより向上した層カバーレージ(step coverage)特性が実現され、より向上したパターン形成が可能となる。
従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 従来技術に係るダブルパターニング方法の1つを示す図である。 本発明の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の他の一実施形態に係る半導体素子のパターン形成方法を示す図である。 本発明の一実施形態に係る半導体素子のパターン形成方法による気体供給周期を概念的に示す図である。
以下、添付の図面を参照しながら、本発明の実施形態について本発明が属する技術分野において通常の知識を有する者が容易に実施できるように詳しく説明する。しかし、本発明は多様に相違した形態に実現することができ、ここで説明する実施形態に限定されるものではない。
図面において、多様な層および領域を明確に表現するために厚さを拡大して示した。明細書全体に渡り、類似する部分については同じ図面符号を付与した。層、膜、領域、板などの部分が他の部分の「上」にあるとするとき、これは他の部分の「直上」にある場合だけではなく、その中間にさらに他の部分がある場合も含む。これとは反対に、ある部分が他の部分の「直上」にあるとするときには、中間に他の部分がないことを意味する。
<第1実施形態>
次に、図3A〜図3Dを参照しながら、本発明の第1実施形態に係る半導体素子のパターン形成方法について説明する。
まず、図3Aを参照すれば、被エッチング層110上に第1フォトレジスト膜を塗布し、露光および現像して第1フォトレジストパターン120を形成する。第1フォトレジストパターン120の線幅(XX)と間隔の比は1:A(1≦A<3)であってもよい。
次に、図3Bに示すように、プラズマ強化原子層蒸着方法によってスペーサ酸化膜130を蒸着し、同時に第1フォトレジストパターン120の線幅(XX)と高さ(Y)と比較し、減少した線幅(XX2)および高さ(Y2)を有する第2フォトレジストパターン120aを形成する。このとき、プラズマ強化原子層蒸着方法の気体供給周期は、スペーサ酸化膜130の原料気体供給パルスと酸素プラズマ供給パルスを順にそして交互に供給する。ここで、酸素プラズマは、第1フォトレジストパターン120を一定のエッチング率でエッチングし、基板上に蒸着されている原料気体と反応してスペーサ酸化膜130を形成する役割をする。ここで、第2フォトレジストパターン120aの線幅(XX2)と間隔の比は1:3であってもよく、スペーサ酸化膜130の厚さは第2フォトレジストパターン120aの幅(XX2)と同じであってもよい。酸素プラズマは、処理される基板に直接隣接して発生する直接プラズマ(direct plasma)であってもよく、または遠隔プラズマ(remote plasma)であってもよい。
次に、スペーサ酸化膜130をRIE方法によってエッチバック(Etch Back)し、図3Cに示すように、第2フォトレジスト120aの側壁にスペーサ130aを形成する。
その後、図3Dに示すように、スペーサ130aの間の第2フォトレジスト120aを除去してスペーサ130aパターンのみを残した後、スペーサ130aをハードマスクとして被エッチング層110をパターニングする。
<第2実施形態>
以下、図4A〜図4Eを参照しながら、本発明の第2実施形態に係る半導体素子のパターン形成方法について説明する。
図4Aに示すように、被エッチング層110上に第1フォトレジスト膜を塗布し、露光および現像して第1フォトレジストパターン120を形成する。第1フォトレジストパターン120の線幅(XX)と間隔の比は1:A(1≦A<3)であってもよい。
第1フォトレジストパターン120を第1トリミングし、減少した線幅(XX1)を有する第3フォトレジストパターン120aaを形成する。ここで、第3フォトレジストパターン120aaの線幅(XX1)と間隔の比は1:B(A<B<3)であってもよい。ここで、第1トリミングは、スペーサ酸化膜を蒸着する原子層蒸着装置内でインサイチュ(in−situ)で酸素プラズマ(直接プラズマまたは遠隔プラズマ)または熱的アニーリングによって実行される。このような第1トリミングは、スペーサ酸化膜を蒸着する原子層蒸着装置内でインサイチュ(in−situ)でなされるため、スペーサ酸化膜が蒸着されるチャンバと同じチャンバ内で基板の移動なく行われる。
その後、図4Cに示すように、プラズマ強化原子層蒸着方法によってスペーサ酸化膜130を蒸着し、同時に第3フォトレジストパターン120aaを第2トリミングし、第3フォトレジストパターン120aaの線幅(XX1)と高さ(Y1)と比較し、減少した線幅(XX2)および高さ(Y2)を有する第4フォトレジストパターン120bを形成する。このとき、プラズマ強化原子層蒸着方法の気体供給周期は、スペーサ酸化膜130の原料気体供給パルスと酸素プラズマ供給パルスを順にそして交互に供給する。ここで、酸素プラズマは、第3フォトレジストパターン120aaを一定のエッチング率でエッチングし、基板上に蒸着されている原料気体と反応してスペーサ酸化膜130を形成する役割をする。ここで、第4フォトレジストパターン120bの線幅(XX2)と間隔の比は1:3であってもよく、スペーサ酸化膜130の厚さは第4フォトレジストパターン120bの幅(XX2)と同じであってもよい。酸素プラズマは、処理される基板に直接隣接して発生する直接プラズマ(direct plasma)であってもよく、または遠隔プラズマ(remote plasma)であってもよい。
次に、スペーサ酸化膜130をエッチバックし、図4Dに示すように、第4フォトレジスト120bの側壁にスペーサ130aを形成する。
その後、図4Eに示すように、スペーサ130aの間の第4フォトレジスト120bを除去してスペーサ130aパターンのみを残した後、スペーサ130aをハードマスクとして被エッチング層110をパターニングする。
本実施形態に係る半導体パターン形成方法において、フォトレジストの第2トリミング過程は、全体蒸着工程中の初期気体供給サイクルの間にのみ行われてもよい。スペーサ酸化膜を蒸着するための複数の気体供給サイクルの間、フォトレジストは蒸着された酸化膜によって酸素プラズマから保護され、追加のトリミング過程は発生せず、単にスペーサ酸化膜の蒸着のみが行われてもよい。スペーサ酸化膜蒸着条件と第1トリミング段階は、スペーサの線幅とラインの線幅そして膜の厚さが同じになるように選択可能である。
<実施例>
本発明の一実施例について説明する。
本実験例では、スペーサ酸化膜としてシリコン酸化膜(SiO film)を蒸着した。具体的に、ソース気体には、シリコンを含む金属有機物前駆体またはハロシラン(halosilane)前駆体を用いてもよい。本実施例では、SiH[N(Cをシリコンソースとして用いた。
基板を加熱するために、蒸着装置の基板支持台の温度は約200℃まで上昇可能であり、好ましくは約50℃であった。蒸着工程の間、処理圧力は1Torrから10Torrの範囲を維持し、好ましくは約3Torrであった。プラズマ生成のためのRFプラズマパワーは約10W〜1000Wであってもよく、好ましくは約200Wであった。
気体供給状態は次のとおりであった。反応器内に前駆体を供給するためのソースアルゴン(Ar)流量は200sccm、前駆体保管機の温度は60℃、酸素反応物流量は50sccm、酸素を反応器に供給するための反応物アルゴン流量は200sccm、そしてチャンバや気体供給管をパージするためのメインアルゴン流量は200sccmであった。
スペーサ酸化膜を蒸着し、フォトレジストをトリミングするための気体供給サイクルあたりの工程時間は次のとおりであった。ソース供給は1.0秒、パージ段階は1.0秒、プラズマ発生段階は0.3秒、そしてパージ段階は1.0秒であった。このような気体供給サイクルにおいて、酸素は全体サイクルの間に連続的に供給され、プラズマが発生するときにのみ活性化されてもよい。プラズマが発生しないとき、酸素気体は反応器をパージ(purge)する反応性パージ期待の役割をする。または、酸素はプラズマ発生段階と同期化して断続的に供給されてもよい。上述した気体供給サイクルは、好ましい厚さのスペーサ酸化膜が積層されるまで繰り返されるが、一サイクルあたりに蒸着される膜の厚さは約0.12nmであった。
上述したプラズマ強化原子層蒸着方法によってスペーサ酸化膜を蒸着し、フォトレジストをトリミングする段階以前に実行される第1トリミング段階は、シリコン前駆体を供給する段階を除いて同じ蒸着器内でインサイチュで類似したプラズマ強化原子層蒸着方法および条件によって実行可能である。原子層蒸着方法の1つの気体供給サイクルあたりのフォトレジスト層の厚さ減少量は約0.25nmであった。このように、シリコン前駆体を供給せず、酸素プラズマパルスを含む数回の気体供給サイクルを実行した後に、シリコン前駆体が供給され、プラズマ強化原子層蒸着方法によってスペーサ酸化膜を蒸着する間に、追加してフォトレジストの線幅が減少することができる。例えば、初期のフォトレジストを形成するためのリソグラフィ工程後に、フォトレジストの線幅が約40nmであってその間隔も40nmであるとすれば、スペーサ酸化膜蒸着工程以前にインサイチュで実行される第1トリミング段階においてフォトレジストの線幅が減少して25nmとなり、続くスペーサ酸化膜蒸着段階の間に追加してフォトレジストの線幅が減少してフォトレジストの線幅が20nmとなることもある。また、例えば、初期のフォトレジストを形成するためのリソグラフィ工程によって形成されるフォトレジストの臨界線幅が30nmであって間隔が30nmであれば、スペーサ酸化膜蒸着工程以前にインサイチュで実行される第1トリミング段階においてフォトレジストの線幅が減少して20nmとなり、続くスペーサ酸化膜蒸着段階の間に追加してフォトレジストの線幅が減少してフォトレジストの線幅が15nmとなることもある。
<第3実施形態>
本実施形態に係る半導体素子パターン形成方法は、上述した第2実施形態とほぼ類似している。具体的に、図4Aに示すように、被エッチング層110上に第1フォトレジストパターン120を形成する。図4Bに示すように、第1フォトレジストパターン120を第1トリミングし、減少した線幅(XX1)を有する第3フォトレジストパターン120aaを形成し、図4Cに示すように、プラズマ強化原子層蒸着方法によってスペーサ酸化膜130を蒸着し、同時に第3フォトレジストパターン120aaを第2トリミングし、第3フォトレジストパターン120aaの線幅(XX1)と高さ(Y1)と比較し、減少した線幅(XX2)および高さ(Y2)を有する第4フォトレジストパターン120bを形成する。次に、スペーサ酸化膜130をエッチバックし、図4Dに示すように、第4フォトレジスト120bの側壁にスペーサ130aを形成する。その後、図4Eに示すように、スペーサ130aの間の第4フォトレジスト120bを除去してスペーサ130aパターンのみを残した後、スペーサ130aをハードマスクとして被エッチング層110をパターニングする。
ここで、実施形態に係る半導体素子パターン形成方法は、第1トリミング段階で発生し得るフォトレジストフーチング(footing)減少段階をさらに含む。フォトレジストフーチング(footing)減少段階は、フォトレジストパターンを直接プラズマ(direct plasma)下に露出させる。これについて、図5を参照しながら詳しく説明する。
図5を参照すれば、図5Aに示すように、フォトレジストの下部側面にフーチングが発生する。フォトレジストパターンを直接プラズマ(direct plasma)下に露出すれば、図5Bに示すように、酸素ラジカルまたはアルゴンラジカルのような活性化したイオンは水平方向(基板表面またはプラズマ電極と水平をなす方向)よりも垂直方向(基板表面またはプラズマ電極と垂直をなす方向)に急速に加速化する。したがって、図5Cに示すように、フォトレジストの下部側面に発生するフォトレジストのフーチングを減らすことができる。このようなフォトレジストフーチング(footing)減少段階は、第1トリミング工程と一つの工程によって行われてもよい。また、フォトレジストフーチング(footing)減少段階は、スペーサ酸化膜の蒸着工程が行われる反応器チャンバと同じ反応器チャンバ内でインサイチュで実行される。もし、フォトレジストフーチング(footing)減少段階において、フォトレジストのフーチングは減少したが追加のトリミングが必要となる場合、フォトレジストフーチング(footing)減少段階以前または以後に、またはスペーサ酸化膜蒸着以前に、直接プラズマ露出なく追加のトリミング段階を実行してもよい。
<実施例>
本発明の一実施例について説明する。
本実施例では、スペーサ酸化膜としてシリコン酸化膜(SiOfilm)を蒸着した。具体的に、ソース気体には、シリコンを含む金属有機物前駆体またはハロシラン(halosilane)前駆体を用いてもよい。本実験例では、SiH[N(Cをシリコンソースとして用いた。
基板を加熱するために、蒸着装置の基板支持台の温度は約200℃まで上昇可能であり、好ましくは約50℃であった。蒸着工程の間、処理圧力は1Torrから10Torrの範囲を維持し、好ましくは約3Torrであった。プラズマ生成のためのRFプラズマパワーは約10W〜1000Wであってもよく、好ましくは約100W〜150Wであった。気体供給状態は次のとおりである。反応器内に前駆体を供給するためのソースアルゴン(Ar)流量は500sccm、前駆体保管機の温度は60℃、酸素反応物流量は1000sccm、酸素を反応器に供給するための反応物アルゴン流量は500sccm、そしてチャンバや気体供給管をパージするためのメインアルゴン流量は200sccm、基板とシャワーヘッドの間の反応空間の間隔は14.5mmであった。
工程時間は次のとおりであった。フォトレジストフーチングを減少するプレトリミング段階(pre−triming)は2.0秒未満、蒸着段階は2.0秒未満、プラズマパルス時間は0.2秒、パージ時間は1.0秒であった。
このような工程順による気体供給周期は、図6に示されている。
フォトレジストフーチングを減少するプレトリミング段階(pre−trimming)のための気体供給サイクル(X cycle)が繰り返された後に、スペーサ酸化膜蒸着段階(liner deposition)のための気体供給サイクル(Y cycle)が繰り返されてスペーサ酸化膜が蒸着される。酸素のような反応気体は、プレトリミング段階(pre−trimming)とスペーサ酸化膜蒸着段階の間に継続して供給されてもよい。アルゴンのようなパージ気体は、スペーサ酸化膜蒸着段階の間に供給されてもよい。プレトリミング段階(pre−trimming)とスペーサ酸化膜蒸着段階の間のプラズマは、断続的に供給されてもよい。
このように、本発明の実施形態に係る半導体素子のパターン形成方法によれば、フォトレジストのトリミングの少なくとも一部を原子層蒸着方法によってスペーサ酸化膜蒸着と共に実施する。もし、ダブルパターニングに用いられるフォトレジスト(または、カーボン−基盤膜(carbon−based film))のようなテンプレート(templete)を個別的なエッチングチャンバ内で10nm〜19nmの線幅を有するようにトリミングすれば、高さに対する幅の減少によってテンプレート線はその形態を維持することが困難になる。したがって、トリミング工程後に、洗浄過程や基板処理工程中にテンプレートは崩れたり傾き易くなる。しかし、本発明の実施形態に係る半導体素子のパターン形成方法によれば、フォトレジストのトリミングの少なくとも一部を原子層蒸着方法によってスペーサ酸化膜蒸着と共にインサイチュで実施するため、テンプレート上に蒸着される薄膜はテンプレートを支持する役割をすることができ、したがってテンプレートの線幅が10nmまで薄くなったとしても、その模様や形態を維持することができる。
また、トリミング工程と蒸着工程を一つの工程で進めるため、工程が簡単でより効率的に行われる。
また、原子層蒸着方法を利用してトリミング工程と蒸着工程を進めるため、原子層の厚さの薄膜を蒸着する段階を数回繰り返して膜を蒸着することにより、蒸着される膜の層カバーレージ(step coverage)が0%から100%に次第に変化するようになる。したがって、スペーサ酸化膜が蒸着されると同時にテンプレートがエッチングされるため、気体供給周期が繰り返されるほどスペーサ酸化膜の層カバーレージが次第に増えることに伴い、エッチング速度は次第に低くなる。これにより、気体供給サイクルの数の増加に伴い、エッチングによるテンプレートのエッチング速度は次第に減るようになる。したがって、テンプレートパターンの間の距離である臨界次元(Critical Dimension)を極めて精密に制御できるようになる。既存のドライエッチング方法によってトリミングを進める場合、エッチング時間と対比してエッチング速度を正確に調節することが困難であった。例えば、全体的なエッチング速度が低い場合には生産性が低くなり、エッチング速度が高い場合には臨界次元の制御が困難であった。しかし、本発明の実施形態に係る半導体素子のパターン形成方法によれば、トリミングのためのエッチング工程と共に蒸着工程が共になされるため、スペーサ酸化膜の層カバーレージが次第に増えることに伴い、エッチング速度は次第に低くなるため、初期段階で十分なエッチング速度を得ることができると同時に、エッチングされるテンプレートの臨界次元を容易に制御することができる。
また、トリミング段階を蒸着工程に先立ち、前駆体(precursor)の供給なく、直接プラズマ環境(direct plasma environment)下で実施することにより、フォトレジストのトリミングの速度はフォトレジストの水平方向からなる速度よりもフォトレジストの垂直方向からなる速度が速くなり、フォトレジストの底部付近に発生するフォトレジストのフーチングを減らすことができる。このため、トリミングの効果が優れ、後続する蒸着段階の膜均一性の向上およびより精密なパターン形成が可能となる。
以上、本発明の好ましい実施形態について詳細に説明したが、本発明の権利範囲はこれに限定されるものではなく、添付する特許請求の範囲で定義している本発明の基本概念を利用した当業者の多様な変形および改良形態も本発明の権利範囲に属すると言える。
1,11,110 被エッチング層
1a,11a,110a パターン
2,22, フォトレジストパターン
22a トリミングフォトレジストパターン
3,33,130 酸化膜
3a,33a,130a スペーサ
120 第1フォトレジストパターン
120a 第2フォトレジストパターン
120aa 第3フォトレジストパターン
120b 第4フォトレジストパターン

Claims (11)

  1. 被エッチング層上に線幅と間隔の比が1:A(1≦A<3)であるフォトレジストパターンを形成する段階、
    プラズマ強化原子層蒸着方法によって前記フォトレジストパターン上にスペーサ酸化膜を蒸着すると同時に、前記フォトレジストパターンをトリミングする段階であって、前記プラズマ強化原子層蒸着方法は、原料気体供給パルスと酸素プラズマ供給パルスを順に交互に繰り返し、トリミングされたフォトレジストパターンの線幅と間隔の比が1:3となり、蒸着されるスペーサ酸化膜の厚さは前記トリミングされたフォトレジストの幅とほぼ同じ条件でなされる段階、
    蒸着された前記スペーサ酸化膜をエッチバックして前記フォトレジストの側壁にスペーサを形成する段階;および
    前記スペーサをマスクとして前記被エッチング層をパターニングする段階、
    を含む、半導体素子のパターン形成方法。
  2. 前記スペーサ酸化膜を蒸着する段階以前に、前記フォトレジストパターンをプレトリミングする段階をさらに含み、前記プレトリミング段階は、前記スペーサ酸化膜を蒸着する段階がなされる反応器チャンバと同じ前記反応器チャンバ内で実行される、請求項1に記載の半導体素子のパターン形成方法。
  3. 前記プレトリミング段階は、プレトリミングされた前記フォトレジストの幅と間隔の比が1:B(A<B<3)となるように前記フォトレジストパターンを酸素プラズマに露出させる、請求項2に記載の半導体素子のパターン形成方法。
  4. 前記酸素プラズマは直接プラズマである、請求項3に記載の半導体素子のパターン形成方法。
  5. 前記酸素プラズマは遠隔プラズマである、請求項3に記載の半導体素子のパターン形成方法。
  6. 前記プレトリミング段階は直接プラズマ露出段階を含む、請求項2に記載の半導体素子のパターン形成方法。
  7. 前記プレトリミング段階以後に、前記フォトレジストパターンのトリミング段階をさらに含む、請求項6に記載の半導体素子のパターン形成方法。
  8. 前記プレトリミング段階以前に、前記フォトレジストパターンのトリミング段階をさらに含む、請求項6に記載の半導体素子のパターン形成方法。
  9. 前記プラズマは直接プラズマである、請求項1に記載の半導体素子のパターン形成方法。
  10. 前記プラズマは遠隔プラズマである、請求項1に記載の半導体素子のパターン形成方法。
  11. 前記原料気体はシリコン前駆体であり、前記シリコン前駆体はSiH[N(Cである、請求項1に記載の半導体素子のパターン形成方法。
JP2011090067A 2010-04-14 2011-04-14 半導体素子の微細パターン形成方法 Active JP5756325B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32391810P 2010-04-14 2010-04-14
US61/323,918 2010-04-14

Publications (2)

Publication Number Publication Date
JP2011228707A true JP2011228707A (ja) 2011-11-10
JP5756325B2 JP5756325B2 (ja) 2015-07-29

Family

ID=44343653

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011090067A Active JP5756325B2 (ja) 2010-04-14 2011-04-14 半導体素子の微細パターン形成方法

Country Status (5)

Country Link
US (2) US8252691B2 (ja)
EP (1) EP2378543B1 (ja)
JP (1) JP5756325B2 (ja)
KR (1) KR101779112B1 (ja)
TW (1) TWI523070B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013251320A (ja) * 2012-05-30 2013-12-12 Dainippon Printing Co Ltd ナノインプリントモールドおよびその製造方法
JP2013251431A (ja) * 2012-06-01 2013-12-12 Dainippon Printing Co Ltd ナノインプリントモールドおよびその製造方法
JP2014103323A (ja) * 2012-11-21 2014-06-05 Dainippon Printing Co Ltd ナノインプリント用テンプレートの製造方法
JP2015088751A (ja) * 2013-10-28 2015-05-07 エーエスエム アイピー ホールディング ビー.ブイ. 低減されたトリミングレートで炭素含有膜をトリミングする方法
KR20160041764A (ko) * 2014-10-07 2016-04-18 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2016143698A (ja) * 2015-01-30 2016-08-08 東京エレクトロン株式会社 被処理体を処理する方法
JP2019145761A (ja) * 2018-02-20 2019-08-29 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理方法及び装置
JP2021532601A (ja) * 2018-08-02 2021-11-25 ラム リサーチ コーポレーションLam Research Corporation トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
CN103681250B (zh) * 2012-09-17 2016-08-17 上海华虹宏力半导体制造有限公司 两次刻蚀成型图形的关键尺寸的控制方法
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2015154034A (ja) * 2014-02-19 2015-08-24 株式会社東芝 成膜装置および成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9318478B1 (en) 2015-01-30 2016-04-19 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9711359B2 (en) * 2015-08-13 2017-07-18 Lam Research Corporation Shadow trim line edge roughness reduction
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) * 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11640905B2 (en) 2020-12-17 2023-05-02 Applied Materials, Inc. Plasma enhanced deposition of silicon-containing films at low temperature
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009010350A (ja) * 2007-05-31 2009-01-15 Applied Materials Inc パターニングデバイスの洗浄方法、基板への層系の堆積方法、パターニングデバイスの洗浄システム及び基板に層系を堆積するためのコーティングシステム
JP2009016814A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2010219106A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100585178B1 (ko) * 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
KR100877153B1 (ko) * 2007-01-09 2009-01-09 한국전자통신연구원 전자소자용 ZnO 반도체막 형성방법 및 상기 반도체막을포함하는 박막 트랜지스터
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009010350A (ja) * 2007-05-31 2009-01-15 Applied Materials Inc パターニングデバイスの洗浄方法、基板への層系の堆積方法、パターニングデバイスの洗浄システム及び基板に層系を堆積するためのコーティングシステム
JP2009016814A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2010219106A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013251320A (ja) * 2012-05-30 2013-12-12 Dainippon Printing Co Ltd ナノインプリントモールドおよびその製造方法
JP2013251431A (ja) * 2012-06-01 2013-12-12 Dainippon Printing Co Ltd ナノインプリントモールドおよびその製造方法
JP2014103323A (ja) * 2012-11-21 2014-06-05 Dainippon Printing Co Ltd ナノインプリント用テンプレートの製造方法
JP2015088751A (ja) * 2013-10-28 2015-05-07 エーエスエム アイピー ホールディング ビー.ブイ. 低減されたトリミングレートで炭素含有膜をトリミングする方法
KR102311575B1 (ko) * 2014-10-07 2021-10-08 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20160041764A (ko) * 2014-10-07 2016-04-18 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2016076621A (ja) * 2014-10-07 2016-05-12 東京エレクトロン株式会社 被処理体を処理する方法
JP2016143698A (ja) * 2015-01-30 2016-08-08 東京エレクトロン株式会社 被処理体を処理する方法
KR102309936B1 (ko) * 2015-01-30 2021-10-07 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20160094306A (ko) * 2015-01-30 2016-08-09 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2019145761A (ja) * 2018-02-20 2019-08-29 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理方法及び装置
JP7250442B2 (ja) 2018-02-20 2023-04-03 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理方法及び装置
JP2021532601A (ja) * 2018-08-02 2021-11-25 ラム リサーチ コーポレーションLam Research Corporation トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償
JP7419342B2 (ja) 2018-08-02 2024-01-22 ラム リサーチ コーポレーション トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償

Also Published As

Publication number Publication date
EP2378543A2 (en) 2011-10-19
EP2378543A3 (en) 2014-05-28
USRE47170E1 (en) 2018-12-18
JP5756325B2 (ja) 2015-07-29
EP2378543B1 (en) 2015-05-20
KR101779112B1 (ko) 2017-09-26
KR20110115101A (ko) 2011-10-20
US8252691B2 (en) 2012-08-28
US20110256727A1 (en) 2011-10-20
TWI523070B (zh) 2016-02-21
TW201207898A (en) 2012-02-16

Similar Documents

Publication Publication Date Title
JP5756325B2 (ja) 半導体素子の微細パターン形成方法
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
TW201937575A (zh) 半導體製程中之間隔物限定的直接圖案化方法
TW202129708A (zh) 藉由循環電漿增強型沉積製程形成地形選擇性氧化矽膜之方法
JP6352771B2 (ja) 低減されたトリミングレートで炭素含有膜をトリミングする方法
TW201947054A (zh) 用於在圖案化基材的表面上選擇性形成材料的製程
JP2018006742A5 (ja)
JP6948797B2 (ja) 不揮発性金属をパターニングするためのチャンバ
EP3051576B1 (en) Method of processing a target object comprising forming a silicon oxide film
US20180158684A1 (en) Method of processing target object
JP2024045236A (ja) プラズマ処理装置および基板処理装置
CN1985363A (zh) 半导体器件的制造方法
JP2021528865A (ja) 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法
US11398386B2 (en) Plasma etch processes
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
JPH05102107A (ja) 半導体装置の製造方法
JP2021515394A (ja) 空隙を形成するためのシステム及び方法
JPWO2020096817A5 (ja)
JP2023159347A (ja) 基板処理方法および基板処理装置
JP2023540034A (ja) 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
TWI835810B (zh) 用於在有機材料上沉積金屬氧化物膜的沉積工具及方法
TW202302900A (zh) 用於形成包括氮化矽之圖案化結構的方法及系統及利用方法形成的裝置結構
JP2024519162A (ja) マスクパターニングのための窒化ホウ素
TW202229625A (zh) 形成圖案化特徵之方法、半導體結構、半導體裝置、及處理系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140313

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150316

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150501

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150529

R150 Certificate of patent or registration of utility model

Ref document number: 5756325

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250