WO2009150870A1 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
WO2009150870A1
WO2009150870A1 PCT/JP2009/053525 JP2009053525W WO2009150870A1 WO 2009150870 A1 WO2009150870 A1 WO 2009150870A1 JP 2009053525 W JP2009053525 W JP 2009053525W WO 2009150870 A1 WO2009150870 A1 WO 2009150870A1
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
film
semiconductor device
manufacturing
organic film
Prior art date
Application number
PCT/JP2009/053525
Other languages
English (en)
French (fr)
Inventor
浩一 八田
栄一 西村
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US12/997,584 priority Critical patent/US20110104901A1/en
Priority to JP2010516776A priority patent/JP5484325B2/ja
Priority to KR1020117000533A priority patent/KR101203201B1/ko
Publication of WO2009150870A1 publication Critical patent/WO2009150870A1/ja
Priority to US13/438,247 priority patent/US20120190206A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device, a program for executing the manufacturing method, and a recording medium on which the program is recorded, and more particularly to manufacturing a semiconductor device using a double patterning method including an SWT method.
  • the present invention relates to a method, a program for executing the manufacturing method, and a recording medium on which the program is recorded.
  • a fine circuit pattern or the like is formed by performing an etching process such as plasma etching on a substrate such as a semiconductor wafer.
  • an etching mask is formed by a photolithography process using a photoresist.
  • the resolution in photolithography is expressed as k 1 ⁇ ⁇ / NA using a constant k 1 determined by process conditions and an optical system, a wavelength ⁇ of exposure light, and a numerical aperture NA of the lens.
  • the numerical aperture NA is proportional to the refractive index n. Therefore, the resolution is reduced by shortening the wavelength of light used for exposure and increasing the refractive index of the optical system.
  • An example of realizing miniaturization according to this principle is ArF immersion lithography.
  • SWT ide Wall Transfer
  • a SiO 2 film or a Si 3 N 4 film is used as a sacrificial film and a mask is formed on the side wall portions on both sides of one pattern
  • a method of patterning at a finer pitch than a photoresist pattern obtained by exposing and developing a resist film There is also known a method of patterning at a finer pitch than a photoresist pattern obtained by exposing and developing a resist film.
  • a sacrificial film of, for example, a SiO 2 film is first etched and patterned using a photoresist pattern, and a Si 3 N 4 film or the like is formed on the SiO 2 film pattern, and then a core portion is formed.
  • SiO 2 film side the Si 3 N 4 film is etched back so as to remain only on the side wall portion for covering a, Si 3 Thereafter, by removing the SiO 2 film of the core portion by wet etching, a remaining side wall portion The lower layer is etched using the N 4 film as a mask.
  • the film forming technique for forming the side wall it is required to form the film at a lower temperature.
  • a method of performing chemical vapor deposition in which a film forming gas is activated with a heating catalyst is known (see, for example, Patent Document 2).
  • a core pattern for forming a fine pattern is formed on the entire surface including an area to be a memory array chip and an area to be a logic device, and then the core pattern in the area to be a logic device is formed as a photoresist film.
  • the side surface of the core pattern in the area to be the memory array chip is covered with a film that becomes the side wall, and then the etch back of the film that covers the core pattern is performed, and then the core Is removed to form a fine pattern made of the side wall, and then the photoresist film covering the pattern of the core in the region to be the logic device is removed.
  • a fine pattern for a memory array chip and a pattern for a logic device can be formed simultaneously (see, for example, Patent Document 3).
  • the region to be a memory array chip is a region having a high pattern density because a fine pattern is formed
  • the region to be a logic device is a region having a low pattern density because the pattern density is sparser than the fine pattern.
  • an even number of fine line patterns (hereinafter referred to as an even pattern) is used to leave two side wall portions covering the side walls on both sides of a core portion constituting one pattern as a mask having a fine line pattern. ) Is easy to form.
  • a line pattern consisting of an odd number (including one, the same applies hereinafter) (hereinafter referred to as an odd pattern) is required, it is formed by photolithography using a metal mask for forming an even pattern.
  • another metal mask for forming an odd pattern has to be newly produced and a photolithography process has to be newly added using the metal mask.
  • an isolated line pattern (hereinafter referred to as an isolated pattern) is required at a position distant from the position of the even pattern, it is possible to form all at once by photolithography using a metal mask for forming the even pattern.
  • another metal mask for forming an isolated pattern must be newly produced and a photolithography process must be newly added using the metal mask.
  • the etching rate selection ratio between the material of the sidewall portion and the material of the etching mask therebelow cannot be increased, and the etching mask. Since the material used as is limited, there is a problem that it is difficult to reduce the manufacturing cost.
  • a fine pattern for a memory array chip having an even pattern can be formed in an area having a high pattern density, and at the same time, an odd pattern can be formed in an area having a low pattern density.
  • a pattern for a logic device that is an isolated pattern can be formed at the same time.
  • the core pattern for forming the fine pattern is made of an amorphous carbon film, and the side wall covering the side wall of the core pattern is made of a silicon oxide film.
  • the material of the pattern serving as a hard mask for etching the layer to be etched is different between a region having a high pattern density and a region having a low pattern density.
  • the material of the pattern is different, the influence of the etching resistance in the lateral direction when etching the layer to be etched, the ratio of the etching rate to the lower layer to be etched (selection ratio), etc. will be different, and it will be evenly distributed over the entire mask area. I can't. As a result, when a pattern having a high pattern density and a pattern having a low pattern density coexist, the pattern CD (Critical Dimension) cannot be maintained accurately and uniformly. There was a problem.
  • the present invention has been made in view of the above points.
  • a semiconductor device using a double patterning method including an SWT method an even pattern and an odd pattern can be formed at a low cost in a lump.
  • a semiconductor device manufacturing method, a control program, and a program recording medium are provided.
  • an object of the present invention is that when a semiconductor device is manufactured using a double patterning method including the SWT method, a region having a dense pattern density and a region having a low pattern density are mixed.
  • the present invention also provides a method of manufacturing a semiconductor device, a control program, and a program recording medium capable of maintaining a pattern CD accurately and uniformly.
  • the present invention is characterized by taking the following means.
  • a method for manufacturing a semiconductor device comprising: forming a first organic film on an etching target layer on a substrate; and patterning the first organic film to have a line portion having a certain width.
  • a first organic film pattern forming step for forming a first organic film pattern; a silicon oxide film forming step for forming a silicon oxide film so as to cover the first organic film pattern isotropically;
  • the silicon oxide film is etched so that the width of the line portion of the first organic film pattern is a constant ratio with the thickness of the silicon oxide film that isotropically covers the surface of the line portion.
  • Line width of organic film pattern A second organic film pattern forming step of forming a second organic film pattern so as to have a certain ratio, and a region covered with the second organic film pattern, the silicon oxide film being included at least on a side surface portion
  • the first organic film pattern is removed, and the silicon oxide film
  • the first organic film pattern is trimmed so that the width dimension becomes the first dimension before the silicon oxide film formation step.
  • the silicon oxide film is formed so as to isotropically cover the trimmed first organic film pattern with a second dimension in the silicon oxide film forming process. It is characterized by doing.
  • a third invention is characterized in that, in the method of manufacturing a semiconductor device according to the second invention, the second dimension is equal to the first dimension.
  • the second organic film pattern includes a second trimming step of trimming the second organic film pattern so that a width dimension becomes a third dimension .
  • the third dimension is equal to the first dimension.
  • the first organic film pattern forming step is formed on the substrate via the etched layer and the third organic film. Forming the first organic film on the first protective film, performing the second organic film pattern forming step before the first mask pattern forming step, and forming the first mask pattern
  • the second mask pattern forming process is performed simultaneously by etching so that the silicon oxide film remains as a lower layer portion of the second organic film pattern, and the third mask pattern forming process is performed.
  • the second mask pattern forming step is performed simultaneously by removing the second organic film pattern.
  • the first organic film is formed on the first protective film, The first organic film is exposed and developed, and then trimmed to form the first organic film pattern.
  • a source gas containing silicon and a gas containing oxygen are alternately supplied onto the substrate.
  • a silicon oxide film is formed.
  • the first protective film and the third mask are formed using the second mask pattern and the third mask pattern. And etching the organic film to form a fourth mask pattern composed of the third organic film, the first protective film, and the silicon oxide film, and using the fourth mask pattern, The etching target layer which is a lower layer of the organic film 3 is etched.
  • a tenth aspect of the invention is a method of manufacturing a semiconductor device according to the sixth aspect of the invention, wherein the etched layer is a silicon layer, a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • An eleventh invention is characterized in that, in the semiconductor device manufacturing method according to the sixth invention, the first protective film is an SOG film, a SiON film, or a composite film of an LTO film and a BARC film.
  • the first mask pattern formation step is performed before the second organic film pattern formation step, and the second organic film pattern In the formation step, the second organic film pattern is formed so as to cover a predetermined pattern of the first mask pattern, and the second organic film pattern is formed when the third mask pattern formation step is performed.
  • the second mask pattern forming step is performed at the same time by removing.
  • the first organic film of the first organic film pattern has an upper layer portion protected by a second protective film
  • a protective film removing step for removing the second protective film is provided after the second organic film pattern forming step and before the third mask pattern forming step.
  • the first organic film pattern forming step is formed on the etched layer via the first organic film.
  • a core pattern forming step for forming the substrate is formed on the etched layer via the first organic film.
  • the trimming of the fourth organic film pattern in the core pattern forming step is followed by the second protective film and the second protective film.
  • the first organic film protected by the protective film is etched.
  • a source gas containing silicon and a gas containing oxygen are alternately supplied onto the substrate.
  • a silicon oxide film is formed.
  • the etched layer is a silicon layer, a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • the first etched layer and the second etched layer are stacked in order from the substrate side as the etched layer.
  • the second protective film is an SOG film, a SiON film, or a composite film of an LTO film and a BARC film.
  • the first organic film is a first photoresist film
  • the first organic film pattern is a core pattern
  • the first organic film pattern forming process is a core pattern forming process.
  • the silicon oxide film forming step is a film forming step
  • the first mask pattern is a first pattern
  • the first mask pattern forming step is a first pattern forming step
  • the second organic film is a second photoresist.
  • the second pattern may be used as the second pattern forming process.
  • a core pattern composed of the core made of the first photoresist film is formed on the protective film formed on the substrate via the etched layer and the organic film.
  • a first pattern forming step of forming a first pattern composed of the core and the side wall, and a second composed of the side wall remaining by removing the core A second pattern forming step of forming a pattern, wherein a second photoresist film is formed on the substrate before the first pattern forming step, and the second pattern forming step is performed.
  • the core pattern forming step forms the first photoresist film on the protective film, and after exposing and developing the first photoresist film, Trimming may be performed to form the core pattern.
  • the film forming step may alternately supply a source gas containing silicon and a gas containing oxygen to form a silicon oxide film on the substrate.
  • the protective film and the organic film are etched using the second pattern and the fourth pattern as a mask, and the organic film,
  • the etched layer may be a silicon layer, a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • the protective film may be an SOG film, a SiON film, or a composite film of an LTO film and a BARC film.
  • the present invention may be a program for causing a computer to execute the method for manufacturing a semiconductor device according to the sixth aspect of the invention.
  • the present invention may be a computer-readable recording medium recording a program for causing a computer to execute the method for manufacturing a semiconductor device according to the sixth aspect of the invention.
  • the pattern means not only the shape formed as a mask but also the structure of each layer formed by transferring the shape of the mask in each layer constituting the semiconductor device. That is, in the present invention, the pattern means a structure in which a predetermined material and a predetermined shape are combined.
  • the first organic film is an organic film
  • the first organic film pattern is a core pattern
  • the first organic film pattern forming process is a core pattern forming process
  • the silicon oxide film The film formation process is a film formation process
  • the first mask pattern is a first pattern
  • the first mask pattern formation process is a first pattern formation process
  • the second organic film is a second photoresist film
  • the second organic film pattern is the third pattern
  • the second organic film pattern forming process is the third pattern forming process
  • the second mask pattern is the first pattern
  • the second mask pattern forming process is the first pattern.
  • One pattern forming step may be used
  • the third mask pattern may be the second pattern
  • the third mask pattern forming step may be the second pattern forming step.
  • a core portion made of an organic film whose upper layer portion is protected by a protective film, and a side wall made of a silicon oxide film covering the side surface of the core portion 1st pattern formation process which forms the 1st pattern comprised by the part The protective film removal process which removes the said protective film of the said core part, It remained by removing the said organic film of the said core part
  • the second pattern forming step includes removing the organic film and removing the first photoresist film to form the sidewall.
  • the second pattern formed in may be formed with the first pattern at the same time.
  • the first pattern forming step forms a second photoresist film on the protective film formed on the etched layer via the organic film.
  • a core pattern forming step of forming the core pattern protected by the protective film by etching the protective film and the organic film protected by the protective film, and a pattern of the core A film forming step of forming a silicon oxide film on the substrate on which is formed, and an etching step of etching so that the silicon oxide film remains as the side wall portion of the core portion.
  • the core pattern forming step includes trimming the third pattern of the second photoresist film, and then protecting the protective film and the organic film protected by the protective film.
  • the film may be etched.
  • the film forming step may alternately supply a source gas containing silicon and a gas containing oxygen to form a silicon oxide film on the substrate.
  • the second layer and the first pattern are used as a mask to etch the layer to be etched, which is the lower layer of the organic film. Also good.
  • the etched layer may be a silicon layer, a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • the first layer to be etched and the second layer to be etched may be stacked in order from the substrate side as the layer to be etched.
  • the protective film may be an SOG film, a SiON film, or a composite film of an LTO film and a BARC film.
  • the present invention may be a program for causing a computer to execute the semiconductor device manufacturing method according to the thirteenth aspect of the present invention.
  • the present invention may also be a computer-readable recording medium recording a program for causing a computer to execute the method for manufacturing a semiconductor device according to the thirteenth aspect.
  • an even pattern and an odd pattern can be collectively formed at a low cost, and a pattern pattern serving as a hard mask Even when a dense area and a sparse pattern area coexist, the pattern CD can be maintained accurately and uniformly.
  • the first photoresist film, the core pattern, the core pattern forming process, the film forming process, the first pattern, the first pattern forming process, and the second in each of the present embodiment and each modification of the present embodiment.
  • Each of the photoresist film, the third pattern, the third pattern forming step, the fourth pattern, the second pattern, and the second pattern forming step is the first organic film and the first organic film in the present invention.
  • each of the line width L12 and the thickness D in the present embodiment and each modification of the present embodiment corresponds to the first dimension and the second dimension in the present invention, respectively.
  • FIG. 1 is a process diagram for explaining the procedure of each process of the semiconductor device manufacturing method according to the present embodiment.
  • 2A to 2K are diagrams for explaining a process of the manufacturing method of the semiconductor device according to the present embodiment, and are cross-sectional views schematically showing the structure of the semiconductor device in each process. Further, the structure of the semiconductor device after each of the steps S11 to S21 in FIG. 1 corresponds to the structure shown in each cross-sectional view in FIGS. 2A to 2K.
  • the method for manufacturing a semiconductor device includes a substrate preparation step, a core pattern forming step, a film forming step, a third pattern forming step, and a first pattern forming step. And a second pattern forming step, a fifth pattern forming step, and an etched layer etching step.
  • the substrate preparation process includes the process of step S11
  • the core pattern forming process includes the processes of step S12 and step S13
  • the film forming process includes the process of step S14
  • the third pattern forming process includes step S15.
  • the first pattern forming process includes the process of step S16
  • the second pattern forming process includes the process of step S17
  • the fifth pattern forming process includes the processes of step S18 and step S19
  • the to-be-etched layer etching step includes steps S20 and S21.
  • Step S11 is a step of preparing a substrate having a protective film formed on the layer to be etched via an organic film.
  • FIG. 2A is a cross-sectional view showing the structure of the semiconductor device after the process of step S11 is performed.
  • step S11 a substrate is prepared in which an etching target layer 11, an organic film 13, and a protective film 14 are formed on the substrate 10 in order from the bottom.
  • the to-be-etched layer 11 functions as a mask when performing various subsequent processing steps by forming a pattern.
  • the organic film 13 is formed with a pattern and functions as a mask for forming the pattern of the etched layer 11.
  • the protective film 14 has a function of protecting the surface of the organic film 13 when the pattern of the core portion 15b made of the first photoresist film 15 is formed.
  • the protective film 14 may have a function as an antireflection film (BARC: Bottom Anti-Reflecting Coating) when performing photolithography of the first photoresist film 15 formed thereon.
  • BARC Bottom Anti-Reflecting Coating
  • the material of the etched layer 11 is not particularly limited, and for example, TEOS can be used. Further, the thickness of the first layer to be etched 11 is not particularly limited, and can be set to, for example, 50 to 500 nm.
  • the material of the organic film 13 is not particularly limited.
  • a chemical vapor deposition method (CVD: Chemical)
  • CVD chemical vapor deposition method
  • a wide range of organic materials can be used, including amorphous carbon formed by Vapor Deposition), photoresist such as polyphenol and i-line resist formed by spin-on.
  • the thickness of the organic film 13 is not particularly limited, and can be, for example, 100 to 400 nm.
  • the material of the protective film 14 is not particularly limited.
  • the SOG (Spin On Glass) film, the SiON film, or the LTO (Low Temperature Oxide) film and BARC composite film can be used.
  • the thickness of the protective film 14 is not particularly limited, and can be, for example, 40 to 120 nm.
  • step S12 a first photoresist film 15 is formed, and the formed first photoresist film 15 is exposed and developed to form a pattern of the core portion 15a made of the first photoresist film 15. It is a core part pattern formation process. As a result, as shown in FIG. 2B, a pattern of the core portion 15a made of the first photoresist film 15 is formed.
  • the pattern of the core part 15a functions as a core for forming side wall parts covering the side surfaces on both sides of the pattern of the core part 15a.
  • the material of the first photoresist film 15 for example, an ArF resist can be used.
  • the thickness of the first photoresist film 15 is not particularly limited, and can be, for example, 50 to 200 nm.
  • the line width L11 and space width S11 of the pattern of the core portion 15a are not particularly limited. For example, both can be set to 60 nm.
  • Step S13 is a step of trimming the first photoresist film 15 that forms the pattern of the core portion 15a to form a pattern of the core portion 15b having a line width narrower than the line width of the pattern of the core portion 15a.
  • FIG. 2C is a cross-sectional view showing the structure of the semiconductor device after the process of step S13 is performed.
  • the trimming method is not particularly limited.
  • the trimming method is performed using plasma of oxygen, nitrogen, hydrogen, ammonia or the like.
  • the line width L12 of the pattern of the core portion 15b formed by trimming is narrower than the line width L11 of the pattern of the core portion 15a before trimming.
  • the size relationship between the line width L11 and space width S11 of the pattern 15a and the line width L12 and space width S12 of the pattern of the core 15b is L12 ⁇ L11, L12> S11.
  • the values of L12 and S12 are not particularly limited.
  • L12 can be 30 nm and S12 can be 90 nm.
  • Step S14 is a film forming process for forming the SiO 2 film 16 on the substrate on which the pattern of the core portion 15b is formed.
  • FIG. 2D is a cross-sectional view showing the structure of the semiconductor device after the process of step S14 is performed.
  • the SiO 2 film corresponds to the silicon oxide film in the present invention.
  • a film of another composition including a SiO x film and containing silicon and oxygen as main components may be used instead of the SiO 2 film.
  • the SiO 2 film 16 is formed in a state where the first photoresist film 15 remains as the core portion 15b.
  • the photoresist is generally weak at a high temperature, it is at a low temperature (for example, about 300 ° C. or less). It is preferable to form a film.
  • the film forming method is not particularly limited as long as the film can be formed at such a low temperature.
  • MLD molecular layer deposition
  • MLD molecular layer deposition
  • the SiO 2 film 16 is formed on the entire surface of the substrate including the place where the core 15b is formed and the place where the core 15b is not formed, and the core is also formed on the side surface of the core 15b.
  • the SiO 2 film 16 is formed so as to cover the side surface of 15b.
  • the thickness of the SiO 2 film 16 at this time is D
  • the width of the SiO 2 film 16 covering the side surface of the pattern of the core portion 15b is also D.
  • the thickness D of the SiO 2 film 16 is not particularly limited, and can be set to 30 nm, for example.
  • a raw silane gas having two amino groups in one molecule for example, binary butylaminosilane (hereinafter, referred to as a raw material gas containing silicon).
  • BTBAS is supplied into the processing container through a silicon source gas supply nozzle for a predetermined time (T1). Thereby, BTBAS is adsorbed on the substrate.
  • T1 can be set to 1 to 60 seconds, for example.
  • the flow rate of the source gas containing silicon can be 10 to 500 mL / min (sccm).
  • the pressure in the processing container can be 13.3 to 665 Pa.
  • the gas containing oxygen for example, O 2 gas converted into plasma by a plasma generation mechanism equipped with a high-frequency power source
  • a predetermined time (T2) is supplied into the processing container through the supply nozzle.
  • the time T2 can be set to, for example, 5 to 300 seconds.
  • the flow rate of the gas containing oxygen can be set to 100 to 20000 mL / min (sccm).
  • the frequency of the high frequency power supply can be 13.56 MHz, and the power of the high frequency power supply can be 5 to 1000 W.
  • the pressure in the processing container can be 13.3 to 665 Pa.
  • a step of supplying a purge gas made of an inert gas such as N 2 gas into the processing vessel while evacuating the inside of the processing vessel can be performed for a predetermined time (T3).
  • T3 can be set to 1 to 60 seconds, for example.
  • the flow rate of the purge gas can be 50 to 5000 mL / min (sccm). Note that this step is not limited as long as the gas remaining in the processing container can be removed, and evacuation can be continuously performed in a state where supply of all gases is stopped without supplying purge gas.
  • BTBAS is an aminosilane gas having two amino groups in one molecule used as a source gas containing silicon.
  • an aminosilane gas bisdiethylaminosilane (BDEAS), bisdimethylaminosilane (BDMAS), diisopropylaminosilane (DIPAS), and bisethylmethylaminosilane (BEMAS) can be used in addition to the above BTBAS.
  • BDEAS bisdiethylaminosilane
  • BDMAS bisdimethylaminosilane
  • DIPAS diisopropylaminosilane
  • BEMAS bisethylmethylaminosilane
  • an aminosilane gas having 3 or more amino groups in one molecule can be used as the silicon source gas, and an aminosilane gas having one amino group in one molecule can also be used.
  • gas containing oxygen in addition to O 2 gas, NO gas, N 2 O gas, H 2 O gas, and O 3 gas can be used, and these are converted into plasma by a high frequency electric field and used as an oxidizing agent. Can do.
  • oxygen-containing gas plasma the SiO 2 film can be formed at 300 ° C. or lower, and further the gas flow rate of the oxygen-containing gas, the power of the high-frequency power source, and the pressure in the processing vessel can be adjusted. By adjusting, the SiO 2 film can be formed at 100 ° C. or less or at room temperature.
  • Step S15 is a step of forming a third pattern 23 made of the second photoresist film 17 in a place where the pattern of the core portion 15b is not formed.
  • FIG. 2E is a cross-sectional view showing the structure of the semiconductor device after the process of step S15 is performed.
  • a third pattern 23 is formed at a position adjacent to the pattern of the core portion 15b.
  • the position where the third pattern 23 is formed is not particularly limited as long as it does not overlap the pattern of the core portion 15b.
  • the third pattern 23 is formed at a position adjacent to the pattern of the core portion 15b.
  • the second photoresist film 17 removes the core portion 15b from the first pattern 21 including the core portion 15b and the side wall portion 16a to form a second pattern 22 including the side wall portion 16a. This is not performed and functions as a mask for forming the fourth pattern 24 having the same shape as the third pattern 23.
  • the line width of the third pattern 23 is L3, the value of L3 is not particularly limited and can be set to 60 nm, for example.
  • the material of the second photoresist film 17 for example, a KrF resist or an ArF resist can be used. Further, the thickness of the second photoresist film 17 is not particularly limited, and can be set to, for example, 50 to 300 nm.
  • the third pattern 23 has a fine line width L3
  • a metal mask having high accuracy is required like a metal mask for performing photolithography for forming the pattern of the core portion 15a. Expenses for mask production are required.
  • the step of etching the etching target layer 11 is used as a mask for etching the etching target layer 11. Since the organic film 13 can be used at once, the selection range of the material of the layer to be etched 11 is widened, and the entire manufacturing cost can be suppressed.
  • step S15 the same trimming process as step S13 can be performed.
  • the pattern of the third pattern 23 made of the second photoresist film 17 has a line width of L3 (60 nm) shown in FIG. 2E can be obtained by forming L3 ′ (for example, 120 nm) larger than the line width L3 shown in FIG. 2E and performing trimming.
  • L3 ′ for example, 120 nm
  • step S16 is performed.
  • Step S16 is an etching process in which the SiO 2 film 16 is etched so as to remain as a lower layer portion of the third pattern 23 made of the side wall portion 16a of the core portion 15b and the second photoresist film 17.
  • FIG. 2F is a cross-sectional view showing the structure of the semiconductor device after the process of step S16 is performed.
  • the SiO 2 film 16 is etched, and the SiO 2 film 16 is a lower layer of the third pattern 23 including the side wall portion 16a covering the side surface of the core portion 15b and the second photoresist film 17.
  • Etching of the SiO 2 film 16 is not particularly limited.
  • a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas are used.
  • this mixed gas can be used by using a gas to which oxygen is added as necessary.
  • the first pattern 21 including the core portion 15b and the side wall portion 16a is formed.
  • the line width of the first pattern 21 is L1 and the space width is S1
  • L1 L12 + D ⁇ 2
  • S1 L12 + S12 Since it is L1, L1 can be 90 nm and S1 can be 30 nm.
  • the line width L4 of the portion of the SiO 2 film remaining as the lower layer portion of the third pattern 23 made of the second photoresist film 17 is equal to L3 and is 60 nm.
  • Step S ⁇ b> 17 is a second pattern forming step for forming the second pattern 22 composed of the side wall portion 16 a remaining by removing the core portion 15 b.
  • the fourth pattern 24 having the same shape as the third pattern 23 is simultaneously formed together with the second pattern 22.
  • FIG. 2G is a cross-sectional view showing the structure of the semiconductor device after the process of step S17 is performed.
  • Etching using plasma of oxygen, nitrogen, hydrogen, ammonia or the like is performed to remove the first photoresist film 15 in the core portion 15b.
  • the first photoresist film 15 of the core portion 15b is removed, leaving only the side wall portion 16a, the line width is D, the space width is L12 and S1.
  • the second pattern 22 is formed.
  • the space width L12 of the core portion 15b equal to the space width S1 of the first pattern 21
  • the space width becomes S2 equal to L12 and S1.
  • a line width equal to D is again set to L2.
  • the second pattern with L2 of 30 nm and S2 of 30 nm is formed by setting L12 to 30 nm, S1 to 30 nm, and the thickness of the SiO 2 film 16 (width D of the side wall 16a) to 30 nm. be able to.
  • the third pattern 23 is a lower layer portion of the third pattern 23.
  • a fourth pattern 24 having the same shape is formed. If the line width of the fourth pattern 24 is L4, the fourth pattern 24 has the same shape as the third pattern 23, so L4 is equal to L3. For example, when L3 is 60 nm, L4 is also 60 nm.
  • Step S18 is a step of etching the protective film 14 using the second pattern 22 and the fourth pattern 24 made of the SiO 2 film 16 as a mask.
  • FIG. 2H is a cross-sectional view showing the structure of the semiconductor device after the step S18 is performed.
  • the protective film 14 is etched using the second pattern 22 made of the SiO 2 film 16 having the line width L2 and the space width S2 and the fourth pattern 24 made of the SiO 2 film 16 having the line width L4 as a mask. Then, a second pattern 22 having a line width L2 and a space width S2 and a fourth pattern 24 having a line width L4 are formed by laminating the SiO 2 film 16 and the protective film 14.
  • the protective film 14 is composed of an SOG film (or a composite film of an LTO film and a BARC film), for example, CF 4 , C 4 F 8 , CHF 3 , CH 3 F, CH It can be performed using a CF gas such as 2 F 2 and a mixed gas such as Ar gas, or a gas obtained by adding oxygen to the mixed gas as necessary.
  • step S19 the organic film 13 is etched using the second pattern 22 and the fourth pattern 24 as a mask, whereby the second pattern 22 in which the SiO 2 film 16, the protective film 14, and the organic film 13 are laminated. And a fifth pattern forming step of forming a fifth pattern 25 composed of the fourth pattern 24.
  • FIG. 2I is a cross-sectional view showing the structure of the semiconductor device after the process of step S19 is performed.
  • Etching of the organic film 13 is not particularly limited, and can be performed using plasma of oxygen, nitrogen, hydrogen, ammonia, or the like.
  • the fourth pattern 24 second pattern 22 SiO 2 film 16 and the protective film 14 are laminated, and that the SiO 2 film 16 and the protective film 14 are laminated
  • the organic film 13 is etched as a mask, the second pattern 22 is formed by laminating the SiO 2 film 16, the protective film 14, and the organic film 13 having the line width L 2 and the space width S 2, and the second pattern 22 having the line width L 4.
  • a fifth pattern 25 composed of four patterns 24 is formed.
  • step S20 and step S21 an etching target layer etching process including step S20 and step S21 is performed.
  • step S20 the etching target layer 11 which is the lower layer of the organic film 13 is etched using the fifth pattern 25 including the second pattern 22 and the fourth pattern 24 as a mask, so that the organic film 13 and the etching target layer 11 are It is a step of forming a fifth pattern 25 which is formed by being stacked and made up of the second pattern 22 and the fourth pattern 24.
  • FIG. 2J is a cross-sectional view showing the structure of the semiconductor device after the process of step S20 is performed.
  • the etched layer 11 is etched using the fifth pattern 25 made of the organic film 13 as a mask and the substrate 10 as an etching stopper layer.
  • etching of the etching target layer 11 made of TEOS is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 , a mixed gas such as Ar gas, or a mixture thereof.
  • a second pattern 22 that is an even pattern having a line width L2 and a space width S2 and a fourth pattern 24 that is an odd pattern having a line width L4 are simultaneously formed. be able to.
  • the organic film 13 remains in the upper layer portion of the second pattern 22 and the fourth pattern 24 without being removed.
  • Step S21 is a process of removing the organic film 13.
  • FIG. 2K is a cross-sectional view showing the structure of the semiconductor device after the process of step S21 is performed.
  • the removal of the organic film 13 is performed by etching using plasma of oxygen, nitrogen, hydrogen, ammonia or the like, for example. As a result, as shown in FIG. 2K, the organic film 13 remaining on the layer to be etched 11 forming the second pattern 22 and the fourth pattern 24 is removed, and the second layer made of the layer to be etched 11 is removed.
  • the pattern 22 and the fourth pattern 24 can be formed simultaneously.
  • a fine even pattern having, for example, a line width of 30 nm and a space width of 30 nm can be formed only by performing fine photolithography using, for example, a mask having a line width of 60 nm.
  • the etching process of the etching target layer is performed collectively. For example, an odd pattern having a line width of 60 nm can be formed simultaneously.
  • an even pattern can be formed in an area having a high pattern density, and an odd pattern or an isolated pattern can be formed in an area having a low pattern density.
  • the core pattern for forming the fine pattern is made of an amorphous carbon film, and the side wall covering the side wall of the core pattern is made of a silicon oxide film.
  • the material of the pattern serving as a hard mask for etching the layer to be etched is different between a region having a high pattern density and a region having a low pattern density.
  • the material of the pattern is different, the influence of the etching resistance in the lateral direction when etching the layer to be etched, the ratio of the etching rate to the lower layer to be etched (selection ratio), etc. will be different, and it will be evenly distributed over the entire mask area. I can't. As a result, the pattern CD (Critical Dimension) cannot be accurately and uniformly maintained when a region having a high pattern density and a region having a low pattern density coexist.
  • the core pattern for forming the fine pattern and the side wall covering the side wall of the core pattern are both made of a silicon oxide film. Therefore, the material of the pattern serving as a hard mask for etching the layer to be etched is the same between the region having a high pattern density and the region having a low pattern density. If the material of the pattern is the same, the influence of the etching resistance in the lateral direction when etching the layer to be etched and the ratio of the etching rate to the lower layer to be etched (selection ratio) will be the same, and it will be uniform over the entire mask. Can be aligned. As a result, the pattern CD (Critical Dimension) can be maintained accurately and uniformly even when a pattern having a high pattern density and a pattern having a low pattern density are mixed. .
  • the manufacturing method of the semiconductor device according to the present invention can be reduced in cost.
  • FIG. 3 shows an equivalent circuit of the NAND flash memory.
  • 8-bit memory cells are arranged so that their bit lines are connected in series, and one selection gate for data input / output is provided on each side thereof.
  • a field effect transistor (FET) having a circuit is connected in series. That is, the first selection gate 40, eight floating gates 41 to 48 corresponding to 8 bits, and the second selection gate 49 are connected in series to the bit line 39.
  • FET field effect transistor
  • step S16 to step S21 since all the processes from step S16 to step S21 can be performed by a dry process, it is possible to perform a manufacturing method in which the processes are performed collectively only by changing the gas type in the same chamber. It is. By performing the steps S16 to S21 in a lump, the process can be simplified and the manufacturing cost can be reduced as compared with the conventional case, and the productivity can be improved.
  • the SiO 2 film forming process in step S14 is performed by low-temperature MLD, but the upper layer part is not damaged to the core part 15b made of the organic film 13 protected by the protective film 14.
  • the method is not limited to the above method, and a known film forming method such as CVD, RF (Radio Frequency) magnetron sputtering, electron beam evaporation, or the like can also be used. It is.
  • the third pattern 23 made of the second photoresist film 17 is not trimmed, and the line width is approximately equal to the line width L3 of the third pattern 23. It is also possible to form the first pattern 21 using the core portion 15a having the same.
  • the line width L3 of the third pattern 23 is L3 ′ (for example, 120 nm) larger than the line width L3 shown in FIG. 2E in advance, as described above. Since the width dimension can be freely controlled by forming and trimming, the width can be made larger and equal to L12 which is the line width of the pattern of the core portion 15b which can be trimmed. You can also make it smaller. (First modification of the first embodiment) Next, with reference to FIGS. 4A to 4K, a method for manufacturing a semiconductor device according to a first modification of the first embodiment of the present invention will be described.
  • FIG. 4A to FIG. 4K are diagrams for explaining a process of the manufacturing method of the semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each process.
  • the same reference numerals are given to the parts described above, and the description may be omitted (the same applies to the following modified examples and embodiments).
  • the manufacturing method of the semiconductor device according to this modification is different from the manufacturing method of the semiconductor device according to the first embodiment in that the etching target layer is a silicon nitride layer.
  • the first embodiment is different from using the etching target layer 11 made of TEOS.
  • the first embodiment is made of a silicon nitride layer (hereinafter referred to as SiN).
  • SiN silicon nitride layer
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the first embodiment, and includes steps S11 to S21 as shown in FIG.
  • a preparatory process including step S11 is performed.
  • the etched layer 11a is SiN, unlike TEOS in the first embodiment.
  • the thickness of the etching target layer 11a can be set to, for example, 50 to 500 nm, as in the first embodiment.
  • the etched layer 11a functions as a mask in various subsequent processing steps by forming a pattern.
  • SiN can improve the selectivity of etching with the adjacent organic film 13 as compared with amorphous silicon and polysilicon used in the first embodiment.
  • the core pattern forming process, the film forming process, the third pattern forming process, the first pattern forming process, and the second pattern forming process including steps S12 to S17 are the same as those in the first embodiment, and each process. Part of the structure of the semiconductor device after performing the steps is as shown in FIGS. 4B to 4G.
  • Step S18 that is, the process of removing the protective film 14 using the second pattern 22 and the fourth pattern 24 as a mask is the same as that of the first embodiment, and the semiconductor device when the process of step S18 is completed. Some structures are shown in FIG. 4H.
  • step S19 that is, the step of etching the organic film 13 using the second pattern 22 and the fourth pattern 24 as a mask, as shown in FIG. 4I, the organic film 13 with respect to the etching rate of the etching target layer 11a made of SiN.
  • the etching rate can be increased as compared with the etching rate of the organic film 13 to the etching rate of the etching target layer 11 made of TEOS in the first embodiment.
  • the etching can be surely stopped when the surface of 11a is reached.
  • the etching of the organic film 13 is performed using, for example, plasma of oxygen, nitrogen, hydrogen, ammonia or the like.
  • the etching selectivity of the organic film can be improved. As a result, a manufacturing method with excellent reproducibility can be performed.
  • step S20 that is, a process of forming the fifth pattern 25 by removing the etching target layer 11a using the second pattern 22 and the fourth pattern 24 as a mask is performed.
  • FIG. 4J is a cross-sectional view illustrating the structure of the semiconductor device after the process of step S20 is performed.
  • the etching selective ratio of the etching target layer 11a made of SiN to the organic film 13 is improved, and the pattern made of the organic film 13 is formed while the etching target layer 11a is etched. Without etching, the shape of the mask can be accurately transferred to the etched layer 11a.
  • the etching of the first etching target layer 11a is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas.
  • this mixed gas is used by using a gas to which oxygen is added as necessary.
  • the etching selectivity of the etching target layer 11a made of SiN with respect to the substrate 10 is improved, and the etching is performed when the etching reaches the surface of the substrate 10. It can also be stopped reliably.
  • the step S21 that is, the step of removing the organic film is the same as that in the first embodiment. Further, the structure of the semiconductor substrate after the step S21 is completed is shown in FIG. 4K.
  • the etching selectivity with respect to the adjacent organic film 13 can be improved by changing the etching target layer 11a from TEOS to SiN, and the reproducibility can be improved.
  • An excellent semiconductor device can be manufactured at low cost.
  • the composition ratio of Si and N is not limited in particular, it can be used, for example Si 3 N 4. Also, SiON (silicon oxynitride) can be used instead of SiN.
  • a composite film in which amorphous silicon or polysilicon is inserted can be used instead of SiN.
  • an etching target layer made of an arbitrary material can be used as long as a high selectivity of an etching rate in an etching process with the substrate can be secured.
  • FIG. 5A to FIG. 5K are diagrams for explaining the steps of the method of manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each step.
  • the manufacturing method of the semiconductor device according to this modification is different from the manufacturing method of the semiconductor device according to the first embodiment in that the protective film is silicon oxynitride SiON.
  • this modification uses a protective film 14b made of SiON.
  • the manufacturing method of the semiconductor device according to the present modification is the same as that of the first embodiment, and includes steps S11 to S22 as shown in FIG.
  • a preparatory process including step S11 is performed.
  • the protective film 14b is made of SiON.
  • the thickness of the protective film 14b can be set to 40 to 120 nm, for example, as in the first embodiment.
  • the etched layer 11 functions as a mask in various subsequent processing steps by forming a pattern.
  • the core pattern forming process, the film forming process, and the third pattern forming process including the processes in steps S12 to S15 are the same as those in the first embodiment, and a part of the semiconductor device when each process is finished.
  • the structure is as shown in FIGS. 5B to 5E.
  • step S16 a first pattern forming process including step S16 is performed. Further, a part of the structure of the semiconductor device after the first pattern forming step is as shown in FIG. 5F.
  • the selectivity between the etching rate of the SiO 2 film 16 and the etching rate of the protective film 14b made of SiON is improved, and when the etching reaches the surface of the protective film 14b.
  • the etching can be surely stopped.
  • the etching of the SiO 2 film 16 is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas, or this This is performed using a gas or the like to which oxygen is added as necessary to the mixed gas.
  • the gas type, flow rate, gas pressure, and substrate temperature the etching selectivity between the SiO 2 film and SiON can be controlled. Can be improved. As a result, a manufacturing method with excellent reproducibility can be performed.
  • the second pattern formation step and the fifth pattern formation step including the steps S17 to S19 are the same as those in the first embodiment, and the structure of a part of the semiconductor device when each step is completed is as follows. As shown in FIGS. 5G to 5I.
  • an etching target layer etching process including step S20 and step S21 is performed. Further, a part of the structure of the semiconductor device after performing Step S20 and Step S21 of the etching target layer etching process is as shown in FIGS. 5J and 5K, respectively.
  • the selectivity between the etching rate of the etching target layer 11 made of TEOS and the etching rate of the protective film 14b made of SiON is improved, and the etching target layer 11 is etched.
  • the shape of the mask can be accurately transferred to the etched layer 11 without etching the second pattern 22 and the fourth pattern 24 made of the protective film 14b.
  • the etching of the etching target layer 11 is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas, or this
  • a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas, or this
  • a gas in which oxygen is added to the mixed gas as necessary, but the etching selectivity between TEOS and SiON is improved by controlling the type, flow rate, gas pressure, and substrate temperature of the gas. be able to.
  • a manufacturing method with excellent reproducibility can be performed.
  • Step S21 is the same as that of the first embodiment, and a part of the structure of the semiconductor device when the process is completed is as shown in FIG. 5K.
  • the etching selectivity between the SiO 2 layer 16 and the etching target layer 11 can be improved by replacing the protective film 14b from SOG to SiON.
  • a semiconductor device having excellent reproducibility can be manufactured at low cost.
  • FIG. 6A to FIG. 6K are diagrams for explaining the process of the method for manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each process.
  • the manufacturing method of the semiconductor device according to this modification is different from the manufacturing method of the semiconductor device according to the first embodiment in that an isolated pattern is simultaneously formed at a position distant from the even pattern.
  • the first embodiment is different from the simultaneous formation of the odd pattern adjacent to the even pattern in the first embodiment.
  • the isolated pattern is separated from the even pattern. Form.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the first embodiment, and includes steps S11 to S21 as shown in FIG.
  • a preparatory process including step S11 is performed.
  • a substrate on which an etching target layer 11, an organic film 13, and a protective film 14 are formed in order from the bottom on the substrate 10. Is used.
  • Step S12 is a core pattern forming process in which the first photoresist film 15 is exposed and developed to form a pattern of the core 15a made of the first photoresist film 15.
  • the first photoresist film 15 is formed on the protective film 14, and has a place where the even pattern of the pattern of the core part 15a is arranged and a place where the pattern of the core part 15a is not arranged.
  • Photolithography is performed using a metal mask, exposure and development are performed to form a pattern of the core portion 15a.
  • the structure of the semiconductor device after the process of step S12 is shown in FIG. 6B.
  • Step S13 to be performed next is the same as that of the first embodiment, and the structure of the semiconductor device after the process of Step S13 is shown in FIG. 6C.
  • step S14 The film forming process including step S14 is the same as that of the first embodiment, and the structure of the semiconductor device after the process of step S14 is shown in FIG. 6D.
  • the third pattern forming process of step S15 is performed.
  • the third pattern 23 is formed at a position where the pattern of the core portion 15b is not formed.
  • a second photoresist film 17 for forming the third pattern 23 is formed on the entire surface of the substrate, and exposure and development are performed to form a third pattern 23 made of the second photoresist film 17.
  • the material and thickness of the second photoresist film 17 can be the same as those in the first embodiment.
  • the metal mask for exposing the second photoresist film 17 in this modification differs from the first embodiment in that the third pattern 23 corresponding to the isolated pattern is separated from the pattern of the core portion 15b.
  • the pattern is arranged at a different position.
  • the line width of the third pattern 23 is L3, the value of L3 is not particularly limited, and can be set to 60 nm, for example, as in the first embodiment.
  • the line width L3 of the third pattern 23 is fine, a highly accurate metal mask is required in the same manner as the metal mask for forming the pattern of the core portion 15a, and the mask manufacturing cost is required.
  • the organic film 13 can be used as a mask for etching the etched layer 11, and a wide range of materials can be selected as the etched layer 11.
  • a low-cost material and a low-cost material can be selected. Similar to the first embodiment, the entire manufacturing cost can be reduced by using this film forming method.
  • the first pattern forming process, the second pattern forming process, the fifth pattern forming process, and the etching target layer etching process including steps S16 to S21 are the same as those in the first embodiment, and each process is finished.
  • the structure of a part of the semiconductor device is as shown in FIGS. 6F to 6K.
  • or FIG. 7K the manufacturing method of the semiconductor device which concerns on the 4th modification of the 1st Embodiment of this invention is demonstrated.
  • FIG. 7A to FIG. 7K are diagrams for explaining the steps of the method of manufacturing a semiconductor device according to this modification, and are sectional views schematically showing the structure of the semiconductor device in each step.
  • the method of manufacturing a semiconductor device according to this modification is the first embodiment in that an odd pattern is simultaneously formed at a position adjacent to an even pattern and an isolated pattern is simultaneously formed at a position away from the even pattern. This is different from the manufacturing method of the semiconductor device according to FIG.
  • the odd pattern is formed adjacent to the even pattern at the same time in the first embodiment. Are formed simultaneously, and an isolated pattern is formed at a position away from the even pattern.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the first embodiment, and includes steps S11 to S21 as shown in FIG.
  • a preparatory process including step S11 is performed.
  • a core pattern forming process and a film forming process including steps S12 to S14 are performed.
  • the core pattern forming process and the film forming process are the same as those in the first embodiment, and the structure of the semiconductor device after each process is performed is shown in FIGS. 7B to 7D.
  • the third pattern forming process of step S15 is performed.
  • the third pattern 23 is formed at a position where the pattern of the core portion 15b is not formed, as in the first embodiment.
  • the third pattern 23 corresponding to the odd pattern and having the line width L3 is provided adjacent to the pattern of the core portion 15b, and corresponds to the isolated pattern, and the line width L3 is
  • the third pattern 23 has a pattern that is arranged at a position away from the pattern of the core portion 15b.
  • the value of L3 is not particularly limited, and can be set to 60 nm, for example, as in the first embodiment.
  • the first pattern forming process, the second pattern forming process, the fifth pattern forming process, and the etching target layer etching process including steps S16 to S21 are the same as those in the first embodiment, and each process is finished.
  • the structure of a part of the semiconductor device is as shown in FIGS. 7F to 7K.
  • An isolated pattern having a line width L4 can be collectively formed at a position away from an even pattern having.
  • the line width L31 in this modification corresponds to the third dimension in the present invention.
  • FIG. 8A to FIG. 8K are diagrams for explaining the steps of the method of manufacturing a semiconductor device according to this modification, and are sectional views schematically showing the structure of the semiconductor device in each step.
  • the third pattern is covered with the second photoresist film after the first pattern.
  • the line width of the third pattern arranged at a position distant from the even pattern consisting of 2 patterns is thinner than the line width of the third pattern arranged adjacent to the even pattern consisting of the second pattern.
  • the line width of the isolated pattern at a position distant from the second pattern is at a position adjacent to the second pattern.
  • the line width L31 of the isolated pattern 23a located at a position away from the second pattern 22 is a position adjacent to the second pattern 22. Is smaller than the line width L3 of the odd pattern 23 in FIG.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the fourth modification of the first embodiment, and includes steps S11 to S21 as shown in FIG.
  • a preparatory process including step S11 is performed.
  • a core pattern forming process and a film forming process including steps S12 to S14 are performed.
  • the core pattern forming process and the film forming process are the same as those in the first embodiment, and the structure of the semiconductor device after each process is performed is shown in FIGS. 8B to 8D.
  • the third pattern forming process of step S15 is performed.
  • the third pattern 23 is formed at a position where the pattern of the core portion 15b is not formed, as in the first embodiment.
  • the third pattern 23 corresponding to the odd pattern and having the line width L3 is provided adjacent to the pattern of the core portion 15b, and also corresponds to the isolated pattern, and the line width L31 is
  • the third pattern 23a has a pattern arranged at a position away from the pattern of the core portion 15b, and L31 is smaller than L3.
  • the values of L3 and L31, which are the line widths of the third pattern 23 and the third pattern 23a, respectively are not particularly limited, and the value of L3 is, for example, 60 nm as in the first embodiment.
  • the value of L31 can be set to 40 nm, for example.
  • the first pattern forming process, the second pattern forming process, the fifth pattern forming process, and the etching target layer etching process including steps S16 to S21 are the same as those in the first embodiment, and each process is finished.
  • the structure of a part of the semiconductor device is as shown in FIGS. 8F to 8K.
  • the layer 11 to be etched has an odd pattern with a line width L4 at a position adjacent to an even pattern with a line width L2 and a space width S2, and is separated from the even pattern with a line width L2 and a space width S2.
  • a pattern having an isolated pattern with a line width L41 at a position can be formed in a lump.
  • L4 since the value of L4 is equal to L3, it can be set to 60 nm, for example, and the value of L41 is equal to L31, for example, can be set to 40 nm.
  • the third pattern, the third pattern forming step, the predetermined pattern of the first pattern, the first pattern forming step, the second pattern, and the second pattern forming step are the first organic film in the present invention.
  • each of the line width L104 and the thickness D101 in the present embodiment and each modification of the present embodiment corresponds to the first dimension and the second dimension in the present invention, respectively.
  • FIG. 9 is a process diagram for explaining the procedure of each process of the semiconductor device manufacturing method according to the present embodiment.
  • 10A to 10L are diagrams for explaining the process of the manufacturing method of the semiconductor device according to the present embodiment, and are cross-sectional views schematically showing the structure of the semiconductor device in each process. Further, the structure of the semiconductor device after each of the steps S111 to S122 in FIG. 9 corresponds to the structure shown in each cross-sectional view in FIGS. 10A to 10L.
  • the method of manufacturing a semiconductor device includes a substrate preparation step, a first pattern formation step, a photoresist coating step, a protective film removal step, and a second pattern formation step. And an etching target layer etching step.
  • the substrate preparation process includes the process of step S111
  • the first pattern formation process includes the processes of step S112 to step S116
  • the photoresist coating process includes the process of step S117
  • the protective film removal process includes step S118.
  • the second pattern forming process includes the process of step S119
  • the etching target layer etching process includes the processes of step S120 to step S122.
  • Step S111 is a step of preparing a substrate having a protective film formed on the layer to be etched via an organic film.
  • FIG. 10A is a cross-sectional view showing the structure of the semiconductor device after the process of step S111 is performed.
  • step S111 the substrate on which the first etched layer 111, the second etched layer 112, the organic film 113, and the protective film 114 are formed on the substrate 110 in order from the bottom.
  • the first to-be-etched layer 111 and the second to-be-etched layer 112 function as masks when performing various subsequent processing steps by forming a pattern.
  • the organic film 113 is formed with a pattern and functions as a mask for forming a pattern of the first etched layer 111 and the second etched layer 112. As will be described later with reference to FIG.
  • the protective film 114 has a function of protecting the surface of the organic film 113 when the pattern of the core portion 125 made of the organic film 113 is formed, and will be described later with reference to FIG. 10G. Thus, it also has a function of protecting the organic film 113 of the core portion 125 from being removed in a predetermined pattern of the first pattern 121.
  • the protective film 114 may have a function as an antireflection film (BARC: Bottom-Anti-Reflecting-Coating) when photolithography of the second photoresist film 115 formed thereon is performed.
  • BARC Bottom-Anti-Reflecting-Coating
  • the material of the first layer 111 to be etched is not particularly limited, and for example, TEOS (Tetraethoxysilane) can be used.
  • the thickness of the first layer to be etched 111 is not particularly limited and can be set to, for example, 50 to 500 nm.
  • the material of the second etched layer 112 is not particularly limited, and for example, amorphous silicon or polysilicon can be used.
  • the thickness of the second layer to be etched 112 is not particularly limited, and can be set to 20 to 200 nm, for example.
  • the material of the organic film 113 is not particularly limited.
  • a chemical vapor deposition method (CVD: Chemical)
  • CVD chemical vapor deposition method
  • a wide range of organic materials can be used, including amorphous carbon formed by Vapor Deposition), photoresist such as polyphenol and i-line resist formed by spin-on.
  • the thickness of the organic film 113 is not particularly limited, and can be, for example, 150 to 300 nm.
  • the material of the protective film 114 is not particularly limited.
  • the SOG (Spin On Glass) film, the SiON film, or the LTO (Low Temperature Oxide) film and BARC composite film can be used.
  • the thickness of the protective film 114 is not particularly limited, and can be, for example, 40 to 120 nm.
  • step S112 a second photoresist film 115 is formed, and the formed second photoresist film 115 is exposed and developed to form a third pattern 123 made of the second photoresist film 115. It is a 3rd pattern formation process. As a result, as shown in FIG. 10B, a third pattern 123 made of the second photoresist film 115 is formed.
  • the third pattern 123 functions as a mask in the process of etching the protective film 114 and the organic film 113.
  • the material of the second photoresist film 115 for example, an ArF resist can be used.
  • the thickness of the second photoresist film 115 is not particularly limited, and can be, for example, 50 to 200 nm.
  • the line width L103 and the space width S103 of the third pattern 123 are particularly limited. For example, both can be set to 60 nm.
  • step S113 the second photoresist film 115 forming the third pattern 123 is trimmed, and the protective film 114 is etched using the trimmed second pattern 124 of the second photoresist film 115 as a mask. It is a process.
  • FIG. 10C is a cross-sectional view illustrating the structure of the semiconductor device after the process of step S113 is performed.
  • the trimming method is not particularly limited.
  • the trimming method is performed using plasma of oxygen, nitrogen, hydrogen, ammonia or the like.
  • the line width L104 of the fourth pattern 124 that is trimmed is narrower than the line width L103 of the third pattern 123 before trimming.
  • the size relationship between the line width L104 and space width S104 of the pattern 124 and the line width L103 and space width S103 of the third pattern 123 is L104 ⁇ L103, S104> S103.
  • the values of L104 and S104 are not particularly limited.
  • L104 can be 30 nm and S104 can be 90 nm.
  • the protective film 114 is etched using the fourth pattern 124 made of the second photoresist film 115 having a line width of L104 as a mask, and the second photoresist film 115 and the protective film 114 are laminated.
  • the resulting line width L104 is formed.
  • the protective film 114 is formed of an SOG film (or a composite film of an LTO film and a BARC), for example, CF 4 , C 4 F 8 , CHF 3 , CH 3 F, CH It can be performed using a CF gas such as 2 F 2 and a mixed gas such as Ar gas, or a gas obtained by adding oxygen to the mixed gas as necessary.
  • Step S114 is a core pattern formation in which the organic layer 113 whose upper layer portion is protected by the protective film 114 is etched to form a pattern of the core portion 125 made of the organic film 113 whose upper layer portion is protected by the protective film 114. It is a process.
  • FIG. 10D is a cross-sectional view showing the structure of the semiconductor device after the process of step S114 is performed.
  • Etching of the organic film 113 is not particularly limited, and can be performed using plasma of oxygen, nitrogen, hydrogen, ammonia or the like, for example.
  • the organic film 113 is etched using the protective film 114 with a line width of L104 as a mask, and the core portion 25 made of the organic film 113 protected with the protective film 114 with a line width of L104. A pattern is formed.
  • Step S115 is a film forming process for forming the SiO 2 film 116 on the substrate on which the pattern of the core 125 is formed.
  • FIG. 10E is a cross-sectional view showing the structure of the semiconductor device after the process of step S115 is performed.
  • the SiO 2 film corresponds to the silicon oxide film in the present invention.
  • a film of another composition including a SiO x film and containing silicon and oxygen as main components may be used instead of the SiO 2 film.
  • the SiO 2 film forming process is performed in a state where the organic film 113 remains as the core part 125.
  • the organic film 113 since the organic film 113 is generally weak at high temperature, it can be formed at a low temperature (for example, about 300 ° C. or less). preferable.
  • the film forming method is not particularly limited as long as the film can be formed at such a low temperature.
  • molecular layer deposition hereinafter referred to as MLD
  • MLD molecular layer deposition
  • the SiO 2 film 116 is formed on the entire surface of the substrate including the place where the core 125 is formed and the place where the core 125 is not formed.
  • a SiO 2 film 116 is formed so as to cover the side surface of 125. If the thickness of the SiO 2 film 116 at this time is D101, the width of the SiO 2 film 116 covering the side surface of the pattern of the core portion 125 is also D101.
  • the thickness D101 of the SiO 2 film 116 is not particularly limited and can be set to 30 nm, for example.
  • a raw silane gas having two amino groups in one molecule for example, binary butylaminosilane (hereinafter, referred to as a raw material gas containing silicon).
  • BTBAS is supplied into the processing container through a silicon source gas supply nozzle for a predetermined time (T1). Thereby, BTBAS is adsorbed on the substrate.
  • T1 can be set to 1 to 60 seconds, for example.
  • the flow rate of the source gas containing silicon can be 10 to 500 mL / min (sccm).
  • the pressure in the processing container can be 13.3 to 665 Pa.
  • the gas containing oxygen for example, O 2 gas converted into plasma by a plasma generation mechanism equipped with a high-frequency power source
  • a predetermined time (T2) is supplied into the processing container through the supply nozzle.
  • the time T2 can be set to, for example, 5 to 300 seconds.
  • the flow rate of the gas containing oxygen can be set to 100 to 20000 mL / min (sccm).
  • the frequency of the high frequency power supply can be 13.56 MHz, and the power of the high frequency power supply can be 5 to 1000 W.
  • the pressure in the processing container can be 13.3 to 665 Pa.
  • a step of supplying a purge gas made of an inert gas such as N 2 gas into the processing vessel while evacuating the inside of the processing vessel can be performed for a predetermined time (T3).
  • T3 can be set to 1 to 60 seconds, for example.
  • the flow rate of the purge gas can be 50 to 5000 mL / min (sccm). Note that this step is not limited as long as the gas remaining in the processing container can be removed, and evacuation can be continuously performed in a state where supply of all gases is stopped without supplying purge gas.
  • BTBAS is an aminosilane gas having two amino groups in one molecule used as a source gas containing silicon.
  • an aminosilane gas bisdiethylaminosilane (BDEAS), bisdimethylaminosilane (BDMAS), diisopropylaminosilane (DIPAS), and bisethylmethylaminosilane (BEMAS) can be used in addition to the above BTBAS.
  • BDEAS bisdiethylaminosilane
  • BDMAS bisdimethylaminosilane
  • DIPAS diisopropylaminosilane
  • BEMAS bisethylmethylaminosilane
  • an aminosilane gas having 3 or more amino groups in one molecule can be used as the silicon source gas, and an aminosilane gas having one amino group in one molecule can also be used.
  • gas containing oxygen in addition to O 2 gas, NO gas, N 2 O gas, H 2 O gas, and O 3 gas can be used, and these are converted into plasma by a high frequency electric field and used as an oxidizing agent. Can do.
  • oxygen-containing gas plasma the SiO 2 film can be formed at 300 ° C. or lower, and further the gas flow rate of the oxygen-containing gas, the power of the high-frequency power source, and the pressure in the processing vessel can be adjusted. By adjusting, the SiO 2 film can be formed at 100 ° C. or less or at room temperature.
  • Step S116 is an etching process in which etching is performed so that the SiO 2 film 116 remains only as the side wall portion 126 of the core portion 125.
  • FIG. 10F is a cross-sectional view showing the structure of the semiconductor device after the process of step S116 is performed.
  • the SiO 2 film 116 is etched so that the SiO 2 film 116 remains only as the side wall portion 126 that covers the side surface of the core portion 125.
  • Etching of the SiO 2 film 116 is not particularly limited.
  • a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas are used.
  • this mixed gas can be used by using a gas to which oxygen is added as necessary.
  • the first pattern 121 including the core portion 125 and the side wall portion 126 is formed.
  • the line width of the first pattern 121 is L101 and the space width is S101
  • L101 L104 + D101 ⁇ 2
  • S101 L104 + S104 Since L101, L101 can be 90 nm and S101 can be 30 nm.
  • Step S117 is a photoresist coating process in which the predetermined pattern 121a of the first pattern 121 is coated with the first photoresist film 117.
  • FIG. 10G is a cross-sectional view showing the structure of the semiconductor device after the process of step S117 is performed.
  • a predetermined pattern 121 a of a part of the first pattern 121 is covered with a first photoresist film 117.
  • the first photoresist film 117 includes a second pattern 122 formed by removing the core 125 from the first pattern 121 including the core 125 and the side wall 126 and removing the core 125 in Step S118 and Step S119.
  • the first pattern 121a which is a pattern that remains as the first pattern 121, is not formed, and functions as a mask for protecting the first pattern 121a.
  • both the line width L101 and the space width S101 of the first pattern 121 are fine, but in order to form a pattern of the first photoresist film 117 that covers a part of the pattern 121a of the first pattern 121. Since the accuracy of the metal mask for performing the photolithography does not require as much accuracy as that of the metal mask for forming the first pattern 121, the cost for manufacturing the metal mask can be suppressed.
  • the material of the first photoresist film 117 for example, an i-line resist, a KrF resist, or an ArF resist can be used. Further, the thickness of the first photoresist film 117 is not particularly limited, and can be, for example, 200 to 500 nm.
  • Step S118 is a protective film removing process for removing the protective film 114 of the core portion 125.
  • FIG. 10H is a cross-sectional view showing the structure of the semiconductor device after the process of step S118 is performed.
  • the protective film 114 of the core part 125 is etched in a state where the predetermined first pattern 121a is covered with the first photoresist film 117.
  • This etching is performed by, for example, CF gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, and CH 2 F 2 , a mixed gas such as Ar gas, or oxygen as needed in this mixed gas. It can be performed using an added gas or the like.
  • CF gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, and CH 2 F 2
  • a mixed gas such as Ar gas
  • oxygen as needed in this mixed gas. It can be performed using an added gas or the like.
  • Step S119 is a second pattern forming process for forming the second pattern 122 composed of the side wall portion 126 remaining by removing the organic film 113 of the core portion 125.
  • FIG. 10I is a cross-sectional view showing the structure of the semiconductor device after the process of step S119 is performed.
  • Etching using plasma of oxygen, nitrogen, hydrogen, ammonia, or the like is performed to remove the organic film 113 in the core portion 125.
  • the organic film 113 of the core part 125 is removed, leaving only the side wall part 126, and the line width is D101.
  • the second pattern 122 having a space width such that L104 and S101 appear alternately is formed.
  • the line width L104 of the core portion 125 equal to the space width S101 of the first pattern 121
  • the space width becomes S102 equal to L104 and S101.
  • the line width equal to D101 is again set to L102.
  • step S120 the second pattern 122 and the first pattern 121a are used as a mask to etch the second layer to be etched 112, which is the lower layer of the organic film 113, and the second layer to be etched having the sidewall portion 126 as an upper layer portion.
  • This is a step of forming a fifth pattern 128 made of the layer 112 and having the same shape as the second pattern 122 and the first pattern 121a.
  • FIG. 10J is a cross-sectional view showing the structure of the semiconductor device after the process of step S120 is performed.
  • the second pattern 122 composed of the sidewall portion 126 and the first pattern 121 composed of the core portion 125 and the sidewall portion 126 are used as a mask, and the second etching target layer 111 is used as the etching stopper layer.
  • Layer 112 is etched.
  • the etching of the second etched layer 112 made of amorphous silicon or polysilicon is performed by, for example, Cl 2 , Cl 2 + HBr, Cl 2 + O 2 , CF 4 + O 2 , SF 6 , Cl 2 + N 2 , Cl 2 + HCl, HBr + Cl. it can be performed using a plasma of a gas such as 2 + SF 6.
  • a fifth pattern 128 in which the second pattern 122 and the first pattern 121a are formed is formed.
  • Step S121 is a step of etching the first layer to be etched 111 using the fifth pattern 128 as a mask to form a sixth pattern 129 including the first layer to be etched 111 and the second layer to be etched 112. is there.
  • FIG. 10K is a cross-sectional view illustrating the structure of the semiconductor device after the process of step S121 is performed.
  • Etching of the first etching target layer 111 is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 , a mixed gas such as Ar gas, or this mixed gas. If necessary, a gas to which oxygen is added can be used.
  • a gas to which oxygen is added can be used.
  • the SiO 2 film 116 constituting the side wall portion 126 in the first pattern 121 and the second pattern 122 and the protective film 114 constituting the core portion 125 in the first pattern 121a are also etched and removed. As a result, as shown in FIG.
  • a second pattern 122 that is an even pattern having a line width L102 and a space width S102 and a first pattern 121a that is an odd pattern having a line width L101 are formed simultaneously. be able to.
  • the organic film 113 of the core portion 125 remains without being removed on the second etched layer 112 forming the first pattern 121a.
  • Step S122 is a process of removing the organic film 113 that has not been removed in Step S121.
  • FIG. 10L is a cross-sectional view showing the structure of the semiconductor device after the process of step S122 is performed.
  • the removal of the organic film 113 is performed by etching using plasma of oxygen, nitrogen, hydrogen, ammonia or the like, for example.
  • the organic film 113 remaining on the second layer to be etched 112 forming the first pattern 121a is removed, and the first layer to be etched 111 and the second layer to be etched are removed.
  • the first pattern 121a and the second pattern 122 made of the etched layer 112 can be formed at the same time.
  • a fine even pattern having, for example, a line width of 30 nm and a space width of 30 nm can be formed by performing fine photolithography using a mask having a line width of 60 nm, for example.
  • an odd pattern having a line width of 90 nm can be simultaneously formed without newly performing a photolithography process.
  • an even pattern can be formed in an area having a high pattern density, and an odd pattern or an isolated pattern can be formed in an area having a low pattern density.
  • the core pattern for forming the fine pattern is made of an amorphous carbon film, and the side wall covering the side wall of the core pattern is made of a silicon oxide film.
  • the material of the pattern serving as a hard mask for etching the layer to be etched is different between a region having a high pattern density and a region having a low pattern density.
  • the material of the pattern is different, the influence of the etching resistance in the lateral direction when etching the layer to be etched, the ratio of the etching rate to the lower layer to be etched (selection ratio), etc. will be different, and it will be evenly distributed over the entire mask area. I can't. As a result, the pattern CD (Critical Dimension) cannot be accurately and uniformly maintained when a region having a high pattern density and a region having a low pattern density coexist.
  • the core pattern for forming the fine pattern and the side wall covering the side wall of the core pattern are both made of a silicon oxide film. Therefore, the material of the pattern serving as a hard mask for etching the layer to be etched is the same between the region having a high pattern density and the region having a low pattern density. If the material of the pattern is the same, the influence of the etching resistance in the lateral direction when etching the layer to be etched and the ratio of the etching rate to the lower layer to be etched (selection ratio) will be the same, and it will be uniform over the entire mask. Can be aligned. As a result, the pattern CD (Critical Dimension) can be maintained accurately and uniformly even when a pattern having a high pattern density and a pattern having a low pattern density are mixed. .
  • FIG. 3 shows an equivalent circuit of the NAND flash memory.
  • 8-bit memory cells are arranged so that their bit lines are connected in series, and one selection gate for data input / output is provided on each side thereof.
  • a field effect transistor (FET) having a circuit is connected in series. That is, the first selection gate 40, eight floating gates 41 to 48 corresponding to 8 bits, and the second selection gate 49 are connected in series to the bit line 39.
  • FET field effect transistor
  • step S118 to step S122 since all the processes from step S118 to step S122 can be performed by a dry process, it is possible to perform a manufacturing method in which the processes are performed collectively only by changing the gas type in the same chamber. It is. By performing the processes of steps S118 to S122 at once, the process can be simplified and the manufacturing cost can be reduced as compared with the conventional process, and the productivity can be improved.
  • the SiO 2 film forming process in step S115 is performed by low temperature MLD, but the upper layer part is not damaged to the core part 125 made of the organic film 113 protected by the protective film 114.
  • the method is not limited to the above method, and a known film forming method such as CVD, RF (Radio Frequency) magnetron sputtering, electron beam evaporation, or the like may be used. Is possible.
  • the first pattern forming step for forming the first pattern composed of the core portion and the side wall portion is the third pattern for forming the third pattern made of the second photoresist film.
  • An upper layer of the core portion constituting the first pattern including a forming step, a core pattern forming step of forming a core pattern based on the third pattern, and a film forming step of forming an SiO 2 film
  • the part has a function of a protective film for protecting the organic film in the core part, it is not limited to the mode of the present embodiment, and various modifications are possible.
  • the core having the line width substantially equal to the line width of the third pattern is not performed without trimming the third pattern made of the second photoresist film. It is also possible to form the first pattern by using it.
  • the protective film 114 having a function of protecting the surface of the organic film 113 is used when forming the pattern of the core part 125 made of the organic film 113.
  • FIG. 11A to FIG. 11L are diagrams for explaining the steps of the method of manufacturing a semiconductor device according to this modification, and are sectional views schematically showing the structure of the semiconductor device in each step.
  • the same reference numerals are given to the parts described above, and the description may be omitted (the same applies to the following modified examples and embodiments).
  • the manufacturing method of the semiconductor device according to this modification is different from the manufacturing method of the semiconductor device according to the second embodiment in that the second layer to be etched is a silicon nitride layer.
  • the second embodiment is different from the case where the second etched layer 112 made of amorphous silicon or polysilicon is used.
  • a silicon nitride layer is used.
  • the second etching target layer 112a made of (hereinafter referred to as SiN) is used.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the second embodiment, and includes steps S111 to S122 as shown in FIG.
  • a preparation process including step S111 is performed.
  • the first etched layer 111, the second etched layer 112a, and the organic layer are sequentially formed on the substrate 110 from the bottom.
  • a substrate on which the film 113 and the protective film 114 are formed is used.
  • the second layer to be etched 112a is SiN, unlike amorphous silicon or polysilicon in the second embodiment.
  • the thickness of the second etched layer 112a can be set to 20 to 200 nm, for example, as in the second embodiment.
  • the second layer to be etched 112a functions as a mask in various subsequent processing steps by forming a pattern.
  • SiN can improve the etching selectivity with respect to the adjacent organic film 113 and the first layer 111 to be etched as compared with amorphous silicon and polysilicon used in the second embodiment.
  • the first pattern forming process including steps S112 to S116 is the same as that of the second embodiment, and a part of the structure of the semiconductor device when each process is finished is shown in FIGS. 11B to 11F. Street.
  • step S116 and FIG. 11F in the step of the SiO 2 film 116 is etched SiO 2 film 116 to leave a sidewall portion 126 of the core unit 125, controlling the etching conditions of the SiO 2 film 116 As a result, the ratio (selection ratio) of the etching rate of the SiO 2 film 116 to the etching rate of the second etching target layer 112 a is improved and reaches the surface of the second etching target layer 112 a at a place other than the side wall portion 126. At this point, the etching can be surely stopped.
  • the etching of the SiO 2 film 116 is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas, or this
  • a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas, or this
  • a gas or the like such as Ar gas
  • the photoresist coating process including step S117 is the same as in the second embodiment. Further, the structure of the semiconductor substrate after the step S117 is completed is shown in FIG. 11G.
  • the etching selectivity of SiO 2 and SiN is increased by changing the process conditions, and a part of the process is removed.
  • the structure of the semiconductor substrate after the process of step S118 is shown in FIG. 11H.
  • step S119 The second pattern forming process including step S119 is the same as in the second embodiment.
  • the structure of the semiconductor substrate after the step S119 is completed is shown in FIG. 11I.
  • an etching target layer etching process including steps S120 to S122 is performed.
  • a part of the structure of the semiconductor device when steps S120 to S122 are completed is as shown in FIGS. 11J to 11L.
  • Step S120 is a step of etching the second layer to be etched 112a using the second pattern 122 and the first pattern 121a as a mask, as in the second embodiment.
  • the ratio (selection ratio) of the etching rate of the second etched layer 112a made of SiN to the etching rate of the first etched layer 111 made of TEOS is improved by controlling the etching conditions.
  • the etching can be surely stopped when the etching reaches the surface of the first layer 111 to be etched.
  • the etching of the second etching target layer 112a is performed by, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 and a mixed gas such as Ar gas.
  • this gas mixture is performed by using a gas to which oxygen is added if necessary.
  • Step S121 is a step of etching the first layer to be etched 111 using the second pattern 122 and the first pattern 121a as a mask, as in the second embodiment.
  • the etching selectivity of the first etched layer 111 made of TEOS to the second etched layer 112a made of SiN is improved, and the first etched layer is made.
  • the shape of the mask can be accurately transferred to the layer to be etched 111 without etching the pattern made of the second layer to be etched 112a while etching 111.
  • the etching of the first etched layer 111 made of TEOS is performed by, for example, CF gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, CH 2 F 2 , Ar gas, or the like.
  • step S122 The second pattern forming process including step S122 is the same as that of the second embodiment. Further, the structure of the semiconductor substrate after the process of step S122 is completed is shown in FIG. 11L.
  • the second etched layer 112a is changed from amorphous silicon or polysilicon to SiN, so that the adjacent organic film 113 and the first etched layer 111
  • the etching selectivity can be improved, and a semiconductor device with excellent reproducibility can be manufactured at low cost.
  • the composition ratio of Si and N is not limited in particular, it can be used, for example Si 3 N 4.
  • SiON silicon oxynitride
  • SiN silicon oxynitride
  • FIG. 12A to FIG. 12L are diagrams for explaining a process of the method of manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each process.
  • the manufacturing method of the semiconductor device according to this modification is different from the manufacturing method of the semiconductor device according to the second embodiment in that the first layer to be etched is a silicon nitride layer.
  • the first embodiment is different from using the first etching target layer 111 made of TEOS.
  • the first target coating made of SiN is used. This is performed using the etching layer 111b.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the second embodiment, and includes steps S111 to S122 as shown in FIG.
  • a preparation process including step S111 is performed.
  • the first etching target layer 111b, the second etching target layer 112, and the organic layer are sequentially formed on the substrate 110 from the bottom.
  • a substrate on which the film 113 and the protective film 114 are formed is used.
  • the first etched layer 111b is SiN. Similar to the second embodiment, the thickness of the first etched layer 111b can be set to, for example, 20 to 200 nm.
  • the first layer to be etched 111b functions as a mask in various subsequent processing steps by forming a pattern.
  • SiN can improve the etching selectivity with respect to the adjacent second etching target layer 112 as compared with the TEOS used in the second embodiment.
  • the first pattern formation process, the photoresist coating process, and the protective film removal process including the processes of steps S112 to S119 are the same as those in the second embodiment, and a part of the semiconductor device when each process is completed.
  • the structure is as shown in FIGS. 12B to 12I.
  • an etching target layer etching process including steps S120 to S122 is performed.
  • a part of the structure of the semiconductor device when steps S120 to S122 are completed is as shown in FIGS. 12J to 12L.
  • Step S120 is a process of etching the second layer to be etched 112 using the fifth pattern 128 formed of the second pattern 122 and the first pattern 121a as a mask, as in the second embodiment. It is.
  • the selectivity between the etching rate of the second etched layer 112 made of polysilicon or amorphous silicon and the etching rate of the first etched layer 111b made of SiN is improved.
  • the etching can be surely stopped when the etching reaches the surface of the first layer to be etched 111b.
  • the etching of the second etching target layer 112 made of amorphous silicon or polysilicon is performed by, for example, Cl 2 , Cl 2 + HBr, Cl 2 + O 2 , CF 4 + O 2 , SF 6 , Cl 2 + N 2 ,
  • the etching is performed using a gas such as Cl 2 + HCl, HBr + Cl 2 + SF 6, and the etching selectivity between amorphous silicon or polysilicon and SiN is controlled by controlling the type of gas, flow rate, gas pressure, and substrate temperature. Can be improved. As a result, a manufacturing method with excellent reproducibility can be performed.
  • Step S121 is a step of etching the first etching target layer 111b using the sixth pattern 129 made of the second pattern 122 and the first pattern 121a as a mask, as in the second embodiment. It is.
  • the etching selectivity of the first etching target layer 111b made of SiN to the second etching target layer 112 made of amorphous silicon or polysilicon is improved.
  • the shape of the mask can be accurately transferred to the first layer to be etched 111b without etching the pattern made of the second layer to be etched 112 while etching the layer to be etched 111b.
  • the etching of the first etching target layer 111b made of SiN is performed by, for example, CF gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, and CH 2 F 2 , Ar gas, and the like.
  • Step S122 is the same as that in the second embodiment. Further, the structure of the semiconductor substrate after step S122 is completed is shown in FIG. 12L.
  • the etching selectivity with respect to the adjacent second etching target layer 112 is improved by changing the first etching target layer 111b from TEOS to SiN. Therefore, a semiconductor device with excellent reproducibility can be manufactured at low cost.
  • the composition ratio of Si and N is not limited in particular, it can be used, for example Si 3 N 4.
  • SiON silicon oxynitride
  • SiN can be used instead of SiN.
  • FIG. 13A to FIG. 13L are diagrams for explaining the steps of the method of manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each step.
  • the manufacturing method of the semiconductor device according to this modification is different from the manufacturing method of the semiconductor device according to the second embodiment in that an isolated pattern is simultaneously formed at a position distant from the even pattern.
  • the second embodiment is different from the simultaneous formation of the odd pattern adjacent to the even pattern in the second embodiment.
  • the isolated pattern is separated from the even pattern. Form.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the second embodiment, and includes steps S111 to S122 as shown in FIG.
  • a preparation process including step S111 is performed.
  • the first etching target layer 111, the second etching target layer 112, and the organic layer are sequentially formed on the substrate 110 from the bottom.
  • a substrate on which the film 113 and the protective film 114 are formed is used.
  • step S112 is performed. That is, a third pattern forming process is performed in which the second photoresist film 115 is exposed and developed to form a third pattern 123 of the second photoresist film 115.
  • a second photoresist film 115 is formed on the protective film 114, and photolithography is performed using a metal mask in which an isolated pattern is arranged at a location away from the even pattern of the third pattern 123. Then, exposure and development are performed to form a third pattern 123 having an isolated pattern.
  • FIG. 13B The structure of the semiconductor device after the process of step S112 is performed is shown in FIG. 13B.
  • the first pattern formation process including steps S113 to S116 is the same as that of the second embodiment, and a partial structure of the semiconductor device when each process is completed is shown in FIGS. 13C to 13F. Street.
  • the photoresist coating process in step S117 is performed. That is, the isolated pattern is covered with the first photoresist film 117.
  • the material and thickness of the first photoresist film 117 can be the same as in the second embodiment.
  • the metal mask for exposing the first photoresist film 117 in this modification has a pattern in which the first photoresist film 117 covers the isolated pattern portion. Have. Further, this metal mask requires less precision than the metal mask for forming the first pattern, so that the cost for manufacturing the metal mask can be reduced as in the second embodiment. It is the same.
  • the structure of the semiconductor device after the process of step S117 is shown in FIG. 13G.
  • the protective film removing process including the steps S118 to S122, the second pattern forming process, and the etching target layer etching process are the same as those in the second embodiment, and one of the semiconductor devices after each process is completed.
  • the structure of the part is as shown in FIGS. 13H to 13L.
  • a pattern including the first etched layer 111 and the second etched layer 112 and having an isolated pattern with the line width L101 at a position apart from the even pattern having the line width L102 and the space width S102 is collectively displayed. Can be formed.
  • or FIG. 14L the manufacturing method of the semiconductor device which concerns on the 4th modification of the 2nd Embodiment of this invention is demonstrated.
  • FIG. 14A to FIG. 14L are diagrams for explaining the process of the method of manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each process.
  • the method of manufacturing a semiconductor device according to this modification is the second embodiment in that an odd pattern is simultaneously formed at a position adjacent to an even pattern and an isolated pattern is simultaneously formed at a position away from the even pattern. This is different from the manufacturing method of the semiconductor device according to FIG.
  • the second embodiment is different from the simultaneous formation of the odd pattern adjacent to the even pattern in the second embodiment.
  • the odd pattern is located at the position adjacent to the even pattern. Are formed simultaneously, and an isolated pattern is formed at a position away from the even pattern.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the second embodiment, and includes steps S111 to S122 as shown in FIG.
  • a preparation process including step S111 is performed.
  • a substrate on which the film 113 and the protective film 114 are formed is used.
  • step S112 is performed. That is, a third pattern forming process is performed in which the second photoresist film 115 is exposed and developed to form a third pattern 123 of the second photoresist film 115.
  • the second photoresist film 115 is formed on the protective film 114, and the third pattern 123 is separated from the even pattern.
  • the third pattern 123 having the isolated pattern 123d is formed by performing photolithography using a metal mask in which there is a portion where the isolated pattern 123d is to be formed, and performing exposure and development.
  • the structure of the semiconductor device after performing the step S112 is shown in FIG. 14B.
  • the first pattern forming process including steps S113 to S116 to be performed next is the same as that of the second embodiment, and the structure of the semiconductor device after performing each process is shown in FIGS. 14C to 14F. .
  • the photoresist coating process in step S117 is performed. That is, the isolated pattern 121a is covered with the first photoresist film 117.
  • the material and thickness of the first photoresist film 117 can be the same as in the second embodiment.
  • the metal mask for exposing the first photoresist film 117 in this modification is different from that in the second embodiment and the third modification in the second embodiment, and when the development is performed.
  • the first photoresist film 117 covers a pattern of the isolated pattern 121a and one end of the even pattern. Further, this metal mask requires less precision than the metal mask for forming the first pattern 121, so that the cost for manufacturing the metal mask can be reduced in the second embodiment. It is the same.
  • the structure of the semiconductor device after the step S117 is performed is shown in FIG. 14G.
  • the protective film removing process, the second pattern forming process, and the etching target layer etching process including steps S118 to S122 are the same as those in the second embodiment, and the structure of the semiconductor device after performing each process. Is as shown in FIGS. 14H to 14L.
  • the first layer to be etched 111 and the second layer to be etched 112 are formed, have an odd pattern with a line width L101 at a position adjacent to an even pattern with a line width L102 and a space width S102, and an even number.
  • a pattern having an isolated pattern with a line width L101 can be formed in a lump at a position away from the pattern.
  • FIG. 15A to FIG. 15L are diagrams for explaining a process of the method of manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each process.
  • the core part in the first pattern covered with the first photoresist film is then formed. It differs from the method of manufacturing the semiconductor device according to the third modification of the second embodiment in that the line width is narrower than the line width of the core in the first pattern not covered with the first photoresist film. .
  • the line width of the core in the first pattern covered with the first photoresist film is the first photo Unlike the line width of the core part in the first pattern not covered with the resist film, in this modification, the core part 125 in the first pattern 121a covered with the first photoresist film 117 is used.
  • the line width L ⁇ b> 141 is narrower than the line width L ⁇ b> 104 of the core part 125 in the first pattern 121 not covered with the first photoresist film 117.
  • the manufacturing method of the semiconductor device according to this modification is the same as that of the third modification of the second embodiment, and includes steps S111 to S122 as shown in FIG.
  • a preparation process including step S111 is performed.
  • the first etching target layer 111, the second etching target layer 112, and the organic layer are sequentially formed on the substrate 110 from the bottom.
  • a substrate on which the film 113 and the protective film 114 are formed is used.
  • step S112 is performed. That is, a third pattern forming process is performed in which the second photoresist film 115 is exposed and developed to form a third pattern 123 of the second photoresist film 115.
  • the second photoresist film 115 is formed on the protective film 114, and the third pattern 123 is separated from the even pattern.
  • photolithography is performed using a metal mask having an isolated pattern 123e whose line width is narrower than the even pattern of the third pattern 123, and exposure and development are performed to form the third pattern 123 having the isolated pattern 123e.
  • the structure of the semiconductor device after the process of step S112 is shown in FIG. 15B.
  • the width L103 of the third pattern 123 corresponding to the even pattern can be set to 60 nm, for example, and the width L131 of the isolated pattern 123e can be set to 40 nm that is 20 nm smaller than L103.
  • step S113 is performed. That is, the third pattern 123 of the second photoresist film 115 is trimmed, and the protective film 114 is etched using the trimmed second photoresist film 115 as a mask.
  • the third pattern 123 of the second photoresist film 115 can be trimmed by etching by 15 nm from the left and right sides.
  • the line width L104 corresponding to the even number of line patterns 124 can be trimmed to 30 nm
  • the line width L141 corresponding to the isolated pattern 124e can be trimmed to 10 nm.
  • a part of the structure of the semiconductor device when step S113 is completed is as illustrated in FIG. 15C.
  • the first pattern formation process including steps S114 to S116 to be performed next is the same as that of the second embodiment, and a part of the structure of the semiconductor device when each process is completed is shown in FIGS. 15D to 15F. As shown in
  • the photoresist coating process, the protective film removing process, the second pattern forming process, and the etching target layer etching process including steps S117 to S122 are the same as those of the third modification of the second embodiment, A part of the structure of the semiconductor device when the step is completed is as shown in FIGS. 15G to 15L.
  • a pattern that includes the first etched layer 111 and the second etched layer 112 and has the isolated pattern 121e at a position away from the even pattern 122 can be formed in a lump.
  • Both the line width L102 and the space width S102 of the even pattern 122 can be set to 30 nm, for example, as in the third modification of the second embodiment.
  • the line width L131 of the isolated pattern 123e of the third pattern 123 of the first second photoresist film 115 is an even pattern of the third pattern 123. Therefore, the line width L111 of the isolated pattern 121e can be 70 nm, which is 20 nm thinner than 90 nm in the third modification of the second embodiment.
  • the line width of the isolated pattern 123e is set to an arbitrary width different from the line width of the even number of patterns of the third pattern 123.
  • the width of the mask of the isolated pattern formed of the first etched layer 111 and the second etched layer 112 can be set to an arbitrary width.
  • FIG. 16 is a process diagram for explaining the procedure of each process of the method of manufacturing a semiconductor device according to this modification.
  • FIGS. 17A to 17L are diagrams for explaining the process of the method for manufacturing a semiconductor device according to this modification, and are cross-sectional views schematically showing the structure of the semiconductor device in each process. Further, the structure of the semiconductor device after each of the steps S131 to S142 in FIG. 16 corresponds to the structure shown in each cross-sectional view in FIGS. 17A to 17L.
  • the manufacturing method of the semiconductor device according to this modification is obtained by partially changing the order of steps in the second embodiment, and without trimming the second photoresist film 115 that forms the third pattern 123. Then, after the pattern of the core part 125a is formed, the pattern of the core part 125a is trimmed, which is different from the method of manufacturing the semiconductor device according to the second embodiment.
  • the second photoresist film that forms the third pattern is trimmed in step S113, and the protective film and the organic film are trimmed in step S114.
  • the protective film and the organic film are etched in step S133, and the organic film is trimmed in step S134.
  • the manufacturing method of the semiconductor device includes a substrate preparation step, a first pattern formation step, a photoresist coating step, a protective film removal step, and a second pattern formation step. And etching target layer etching step.
  • the substrate preparation process includes the process of step S131
  • the first pattern formation process includes the processes of step S132 to step S136
  • the photoresist coating process includes the process of step S137
  • the protective film removal process includes step S138.
  • the second pattern forming process includes the process of step S139
  • the etching target layer etching process includes the processes of step S140 to step S142.
  • Step S131 is a step of preparing a substrate having a protective film formed on the layer to be etched via an organic film, and is the same as step S111 in the second embodiment.
  • FIG. 17A is a cross-sectional view showing the structure of the semiconductor device after the process of step S131 is performed.
  • step S131 as shown in FIG. 17A, the substrate on which the first etched layer 111, the second etched layer 112, the organic film 113, and the protective film 114 are formed on the substrate 110 in order from the bottom.
  • amorphous silicon or polysilicon can be used as the second etched layer 112.
  • the organic film 113 for example, a wide range of organic materials including amorphous carbon formed by chemical vapor deposition (CVD), polyphenol formed by spin-on, and photoresist such as i-line resist are used. be able to.
  • the protective film 114 for example, an SOG film (or a SiON film, or a composite film of an LTO film and a BARC film) that is an antireflection film made of an inorganic material can be used.
  • step S132 a second photoresist film 115 is formed, and the formed second photoresist film 115 is exposed and developed, and as shown in FIG. 17B, the second photoresist film 115 is exposed.
  • This is a third pattern forming process for forming the third pattern 123 having the line width L103 and the space width S103, and is the same process as step S112 in the second embodiment.
  • step S133 with the third pattern 123 made of the second photoresist film 115 as a mask, the protective film 114 made of an SOG film (or a composite film of an SiON film or an LTO film and a BARC film), and the organic film 113 are formed.
  • the protective film 114 made of an SOG film (or a composite film of an SiON film or an LTO film and a BARC film), and the organic film 113 are formed.
  • FIG. 17C is a cross-sectional view showing the structure of the semiconductor device after the process of step S133 is performed.
  • step S133 first, the protective film 114 is etched using the third pattern 123 as a mask. Etching of the protective film 114 is performed by using, for example, a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, and CH 2 F 2 , a mixed gas such as Ar gas, or a mixed gas as necessary. This can be performed using a gas to which oxygen is added.
  • a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, and CH 2 F 2
  • a mixed gas such as Ar gas
  • step S133 next, using the protective film 114a to which the shape of the third pattern 123 is transferred as a mask, the organic film 113 is formed using plasma such as oxygen gas or nitrogen gas, as shown in FIG. 17C. Then, plasma etching is performed to form a pattern 125a of the organic film 113 having the line width L103 and the space width S103 and having the upper layer portion protected by the protective film 114a.
  • plasma such as oxygen gas or nitrogen gas
  • Step S134 is a process of trimming the organic film 113 that forms the pattern 125a.
  • FIG. 17D is a cross-sectional view showing the structure of the semiconductor device after the process of step S134 is performed.
  • step S134 the organic film 113 is trimmed using plasma such as oxygen gas or nitrogen gas to reduce the line width, thereby forming a core pattern 125b.
  • the line width L104 in the organic film 113 of the core pattern 125b that is trimmed is narrower than the line width L103 of the third pattern 123 before trimming.
  • the size relationship between the line width L104 and space width S104 of the part pattern 125b and the line width L103 and space width S103 of the third pattern 123 is L104 ⁇ L103, S104> S103.
  • the trimming in step S134 is performed in a state where the upper layer portion of the organic film 113 is covered with a protective film 114a made of an SOG film (or a SiON film or a composite film of an LTO film and a BARC film) using a mask. Etching in the vertical direction is not performed, the film thickness is not reduced, only the line width can be reduced, and trimming is performed vertically. For this reason, the SiO 2 film 116a can be formed vertically thick in step S135 described later.
  • step of etching the organic film 113 in step S133 and the step of trimming the organic film 113 in step S134 can be performed continuously.
  • Step S135 is a film forming process for forming the SiO 2 film 116a on the substrate on which the pattern of the core part 125b is formed, and is the same process as step S115 of the second embodiment.
  • FIG. 17E is a cross-sectional view showing the structure of the semiconductor device after the process of step S135 is performed.
  • the SiO 2 film 116a is formed on the entire surface of the substrate including the place where the core part 125b is formed and the place where the core part 125b is not formed, and the side surface of the core part 125b is also formed on the side surface of the core part 125b.
  • a SiO 2 film 116a is formed so as to cover the film. If the thickness of the SiO 2 film 116a at this time is D101, the width of the SiO 2 film 116a covering the side surface of the pattern of the core portion 125b is also D101.
  • the thickness D101 of the SiO 2 film 116a is not particularly limited, and can be, for example, 30 nm.
  • Step S136 is an etching process in which etching is performed so that the SiO 2 film 116a remains only as the side wall portion 126a of the core portion 125b.
  • FIG. 17F is a cross-sectional view showing the structure of the semiconductor device after the process of step S136 is performed.
  • step S136 the protective film 114a made of the SiO 2 film 116a and the SOG film (or the SiON film, or the composite film of the LTO film and the BARC) is etched, and the SiO 2 film 116a is etched on the side wall portion of the core 125b made of the organic film 113.
  • the first pattern 121b including the core part 125b and the side wall part 126a is formed, leaving only the part 126a.
  • a protective film 114a for protecting the upper layer portion of the core portion 125b may be left.
  • Etching in step S136 is performed, for example, with a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2 , a mixed gas such as Ar gas, or the mixed gas as necessary. And using a gas to which oxygen is added.
  • a CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, or CH 2 F 2
  • a mixed gas such as Ar gas, or the mixed gas as necessary.
  • a gas to which oxygen is added.
  • the SiO 2 film 116a and the SiO 2 film are formed in a state where the protective film 114a made of the SOG film (or the SiON film, or the composite film of the LTO film and the BARC) is formed on the organic film 113. Since the protective film 114a made of the 116a and the SOG film (or the SiON film, or the composite film of the LTO film and the BARC) is etched, the side wall portion 126a made of the remaining SiO 2 film 116a can be formed vertically.
  • step S137 to step S142 is the same as the process from step S117 to step S122 in the second embodiment, respectively.
  • a photoresist coating process including step S137 is performed to coat a predetermined pattern 121c of the first pattern 121b with the first photoresist film 117.
  • a protective film removing process including step S138 is performed to etch the protective film 114a protecting the upper layer part of the core part 125b.
  • a second pattern forming process including step S139 is performed to remove the organic film 113 in the core part 125b, thereby forming a second pattern 122a composed of the side wall part 126a.
  • the organic film 113 of the core part 125b is removed, leaving only the side wall part 126a, the line width D101, the space width L104 and S101 appear alternately.
  • a second pattern 122a having such a pattern is formed.
  • the space width L104 of the core part 125b equal to the space width S101 of the first pattern 121b, the space width becomes S102 equal to L104 and S101.
  • the line width equal to D101 is again set to L102.
  • step S140 is performed to etch the second etched layer 112, which is the lower layer of the organic film 113, using the second pattern 122a and the first pattern 121c as a mask.
  • a fifth pattern 128a having the same shape as the second pattern 122a and the first pattern 121c is formed of the second etched layer 112 having the side wall portion 126a as an upper layer portion.
  • step S141 the process of step S141 is performed, the first etched layer 111 is etched using the fifth pattern 128a as a mask, and the first etched layer 111 and the second etched layer are etched.
  • a sixth pattern 129a made of 112 is formed.
  • the second pattern 122a which is an even pattern having the line width L102 and the space width S102 and the first pattern 121c which is an odd pattern having the line width L101 can be formed simultaneously.
  • step S142 is performed, and the organic film 113 that was not removed in step S141 is removed.
  • step S142 is performed, and the organic film 113 that was not removed in step S141 is removed.
  • FIG. 18 is a top view schematically showing an example of the configuration of a semiconductor device manufacturing apparatus for performing the semiconductor device manufacturing method according to the present embodiment.
  • a vacuum transfer chamber 50 is provided in the central portion of the semiconductor device manufacturing apparatus 100, and a plurality (six in this embodiment) of processing chambers 51 to 56 are provided around the vacuum transfer chamber 50. Provided. These processing chambers 51, 52, 53, 54, 55, and 56 perform plasma etching and low-temperature MLD inside.
  • Two load lock chambers 57 are provided on the front side (lower side in the figure) of the vacuum transfer chamber 50, and a substrate (in the atmosphere) is provided on the further front side (lower side in the figure) of these load lock chambers 57.
  • a transfer chamber 58 for transferring the semiconductor wafer W) is provided.
  • a plurality of mounting portions 59 on which substrate storage cases (cassettes or hoops) capable of storing a plurality of semiconductor wafers W are provided are provided on the front side (lower side in the drawing) of the transfer chamber 58.
  • An orientationer 60 that detects the position of the semiconductor wafer W by an orientation flat or a notch is provided on the side of the transfer chamber 58 (left side in the figure).
  • Gate valves 62 are provided between the load lock chamber 57 and the transfer chamber 58, between the load lock chamber 57 and the vacuum transfer chamber 50, and between the vacuum transfer chamber 50 and the processing chambers 51 to 56, respectively. It is possible to close and release the space between the two.
  • a vacuum transfer mechanism 70 is provided in the vacuum transfer chamber 50.
  • the vacuum transfer mechanism 70 includes a first pick 71 and a second pick 72, and is configured to be able to support two semiconductor wafers W by these.
  • the processing chambers 51 to 56, the load lock chamber 57, The semiconductor wafer W can be loaded and unloaded.
  • an atmospheric transfer mechanism 80 is provided in the transfer chamber 58.
  • the atmospheric transfer mechanism 80 includes a first pick 81 and a second pick 82, and is configured to be able to support two semiconductor wafers W by the first pick 81 and the second pick 82.
  • the atmospheric transfer mechanism 80 is configured so that the semiconductor wafer W can be carried into and out of each cassette or hoop, the load lock chamber 57, and the orienter 60 placed on the placement unit 59.
  • the operation of the semiconductor device manufacturing apparatus 100 having the above-described configuration is comprehensively controlled by the control unit 90.
  • the control unit 90 includes a process controller 91 that includes a CPU and controls each unit of the semiconductor device manufacturing apparatus 100, a user interface unit 92, and a storage unit 93.
  • the user interface unit 92 includes a keyboard for a command input by a process manager to manage the semiconductor device manufacturing apparatus 100, a display for visualizing and displaying the operating status of the semiconductor device manufacturing apparatus 100, and the like.
  • the storage unit 93 stores a recipe in which a control program (software) for realizing various processes executed by the semiconductor device manufacturing apparatus 100 under the control of the process controller 91 and processing condition data are stored. If desired, an arbitrary recipe is called from the storage unit 93 by an instruction from the user interface unit 92 and is executed by the process controller 91, so that a desired process in the semiconductor device manufacturing apparatus 100 can be performed under the control of the process controller 91. Is performed.
  • recipes such as control programs and processing condition data may be stored in a computer-readable program recording medium (for example, hard disk, CD, flexible disk, semiconductor memory, etc.), or For example, it can be transmitted from other devices as needed via a dedicated line and used online.
  • the semiconductor device manufacturing apparatus 100 having the above configuration, the first embodiment, the first to fifth modifications of the first embodiment, the second embodiment, and the second embodiment.
  • a series of steps shown in the first to sixth modifications can be performed.
  • the photoresist coating step and the film forming step may be performed once by unloading the semiconductor wafer W from the semiconductor device manufacturing apparatus 100 and using other devices.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 半導体装置の製造方法において、基板上の被エッチング層の上に第1の有機膜パターンを形成する工程と、第1の有機膜パターンを等方的に被覆する酸化シリコン膜を成膜する工程と、酸化シリコン膜をエッチングして、第1の有機膜パターンのライン部の幅が、ライン部の表面を等方的に被覆する酸化シリコン膜の厚さと一定の比率となるように形成する工程と、酸化シリコン膜を被覆する第2の有機膜パターンを形成する工程と、第2の有機膜パターンに被覆された領域で、側面部に酸化シリコン膜を含む第2のマスクパターンを形成する工程と、第2の有機膜パターンに被覆された領域以外の領域で、酸化シリコン膜が偶数配列してなる第3のマスクパターンを形成する工程とを有する。

Description

半導体装置の製造方法
 本発明は、半導体装置の製造方法、この製造方法を実行させるためのプログラム及びこのプログラムを記録した記録媒体に係り、特にSWT法を含むダブルパターニング法を用いて半導体装置を製造する半導体装置の製造方法、この製造方法を実行させるためのプログラム及びこのプログラムを記録した記録媒体に関する。
 従来より、半導体装置等の製造工程においては、半導体ウェハ等の基板にプラズマエッチング等のエッチング処理を施して、微細な回路パターン等を形成することが行われている。このようなエッチング処理工程では、フォトレジストを用いたフォトリソグラフィ工程によって、エッチングマスクを形成することが行われている。
 ここで、フォトリソグラフィにおける解像度は、プロセス条件と光学系で決まる定数k、露光光の波長λ、レンズの開口数NAを用いてk×λ/NAと表される。また、開口数NAは、屈折率nに比例する。従って、露光に用いる光の波長を短くし、光学系の屈折率を大きくすることによって、解像度は小さくなる。この原理に従って微細化を実現している例がArF液侵リソグラフィである。
 ところが、半導体装置の最先端のデザインルールが45nmから更に32nmと微細化するのに伴い、フォトレジスト膜を光学系を用いて露光し、現像してパターンを形成するフォトリソグラフィだけでは、半導体装置の微細化に追従できなくなってきている。従って、フォトリソグラフィ技術の微細化だけに依存しない、新しい種々の技術が開発されている。その一つとして、所謂ダブルパターニング法(ダブルパターニングプロセス)がある。このダブルパターニング法は、第1のマスクパターン形成ステップと、この第1のマスクパターン形成ステップの後に行われる第2のマスクパターン形成ステップの2段階のパターニングを行うことによって、1回のパターニングでエッチングマスクを形成する場合より微細な間隔を形成するものである(例えば、特許文献1参照。)。
 また、例えばSiO膜やSi膜等を犠牲膜として使用し、1つのパターンの両側の側壁部分にマスクを形成して使用するSWT(Side Wall Transfer)法を用いて、最初にフォトレジスト膜を露光、現像して得られたフォトレジストのパターンよりも微細なピッチでパターニングを行う方法も知られている。この方法は、まずフォトレジストのパターンを用いて例えばSiO膜の犠牲膜をエッチングしてパターニングし、このSiO膜のパターンの上にSi膜等を形成した後、芯部となるSiO膜の側面を被覆する側壁部にのみSi膜が残るようにエッチバックし、この後、ウェットエッチングにより芯部のSiO膜を除去して、残った側壁部であるSi膜をマスクとして、下層のエッチングを行うものである。
 また、側壁部を形成する膜の成膜技術においては、より低温で成膜することが要求される。このような低温で成膜する技術としては、加熱触媒体で成膜ガスを活性化させた化学気相成長によって行う方法が知られている(例えば、特許文献2参照)。
[規則91に基づく訂正 25.03.2009]
 また、SWT法により形成された微細パターンをメモリアレイチップとして用いて半導体装置を製造する場合、メモリアレイチップとなる領域と分離されロジックデバイスとなる領域において、ロジックデバイス用のパターンを同時に形成しなくてはならない。このようなメモリアレイチップ用の微細パターンとロジックデバイス用のパターンを同時に形成する半導体装置の製造方法として、以下のような半導体装置の製造方法がある。すなわち、メモリアレイチップとなる領域及びロジックデバイスとなる領域を含む全面に微細パターンを形成するための芯部のパターンを形成し、次にロジックデバイスとなる領域にある芯部のパターンをフォトレジスト膜で被覆し、次にメモリアレイチップとなる領域にある芯部のパターンの側面を側壁部となる膜で被覆し、次に芯部のパターンを被覆する膜のエッチバックとそれに引続いて芯部の除去を行って側壁部よりなる微細パターンを形成し、次にロジックデバイスとなる領域にある芯部のパターンを被覆しているフォトレジスト膜を除去する。このような半導体装置の製造方法によれば、メモリアレイチップ用の微細パターンとロジックデバイス用のパターンを同時に形成することができる(例えば、特許文献3参照)。ここで、メモリアレイチップとなる領域は、微細パターンが形成されるためパターン密度が密な領域、ロジックデバイスとなる領域は、微細パターンよりもパターン密度が疎なため、パターン密度が疎な領域と定義することができる。
特開2007-027742号公報 特開2006-179819号公報 米国特許公報7,429,533号
 ところが、上記のSWT法を含むダブルパターニング法を用いて半導体装置を製造する場合、次のような問題があった。
 従来技術においては、1つのパターンを構成する芯部の両側の側壁を被覆する2本の側壁部を微細なラインパターンを有するマスクとして残すため、偶数本の微細なラインパターン(以下、偶数パターンという)を形成することは容易である。しかし、奇数本(1本を含む、以下同様)よりなるラインパターン(以下、奇数パターンという)が必要な場合、偶数パターンを形成するための金属マスクを用いたフォトリソグラフィで一括して形成することはできず、奇数パターンを形成するための別の金属マスクを新たに作製し、その金属マスクを用いてフォトリソグラフィの工程を新たに追加して行わなければならないという問題があった。
 更に、偶数パターンの位置と離れた位置に孤立したラインパターン(以下、孤立パターンという)が必要な場合も、偶数パターンを形成するための金属マスクを用いたフォトリソグラフィで一括して形成することはできず、孤立パターンを形成するための別の金属マスクを新たに作製し、その金属マスクを用いてフォトリソグラフィの工程を新たに追加して行わなければならないという問題があった。
 従って、上記のダブルパターニング法及びSWT法を用いて半導体装置を製造する場合に、偶数パターン以外のパターンを同時に作成しようとすると、工程数が多くなることによって製造コストが増大し、また、工程が複雑化するとともに生産性が悪化するという問題があった。
 また、SWTの側壁部分が直接エッチングマスクの上に成膜される場合、側壁部の材料とその下のエッチングマスクの材料との間のエッチングレートの選択比を大きくすることができず、エッチングマスクとして用いる材料が限定されるために、製造コストを下げることが困難であるという問題があった。
 更に、特許文献3に開示される方法によれば、パターン密度が密な領域に偶数パターンであるメモリアレイチップ用の微細パターンを形成することができ、同時に、パターン密度が疎な領域に奇数パターン又は孤立パターンであるロジックデバイス用のパターンを同時に形成することができる。しかしながら、特許文献3に開示される方法においては、微細パターンを形成するための芯部のパターンがアモルファスカーボン膜よりなり、芯部のパターンの側壁を被覆する側壁部が酸化シリコン膜よりなるため、パターン密度が密な領域と、パターン密度が疎な領域との間で、被エッチング層をエッチングするためのハードマスクとなるパターンの材質が異なる。パターンの材質が異なると、被エッチング層をエッチングする際の横方向のエッチング耐性、下層の被エッチング層とのエッチング速度の比(選択比)等の影響が異なり、マスク全域に亘り均一に揃えることができない。その結果、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合に、パターンのCD(Critical Dimension)を精度良く、均一に維持することができないという問題があった。
 本発明は上記の点に鑑みてなされたものであり、SWT法を含むダブルパターニング法を用いて半導体装置を製造する際に、偶数パターンと奇数パターンとを一括して低コストで形成することのできる半導体装置の製造方法、制御プログラム及びプログラム記録媒体を提供することにある。
 更に、本発明の目的は、SWT法を含むダブルパターニング法を用いて半導体装置を製造する際に、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合においても、パターンのCDを精度良く均一に維持することのできる半導体装置の製造方法、制御プログラム及びプログラム記録媒体を提供することにある。
 上記の課題を解決するために本発明では、次に述べる各手段を講じたことを特徴とするものである。
 第1の発明に係る半導体装置の製造方法は、基板上の被エッチング層の上に第1の有機膜を成膜し、該第1の有機膜をパターニングして一定の幅のライン部を有する第1の有機膜パターンを形成する第1の有機膜パターン形成工程と、前記第1の有機膜パターンを等方的に被覆するように酸化シリコン膜を成膜する酸化シリコン膜成膜工程と、前記酸化シリコン膜をエッチングして、前記第1の有機膜パターンの前記ライン部の幅が、前記ライン部の表面を等方的に被覆する前記酸化シリコン膜の厚さと一定の比率となるように、第1のマスクパターンを形成する第1のマスクパターン形成工程と、前記酸化シリコン膜を被覆するように第2の有機膜を成膜し、該第2の有機膜をパターニングして前記第1の有機膜パターンのライン部の幅と一定の比率となるように第2の有機膜パターンを形成する第2の有機膜パターン形成工程と、前記第2の有機膜パターンに被覆された領域で、少なくとも側面部に前記酸化シリコン膜を含む第2のマスクパターンを形成する第2のマスクパターン形成工程と、前記第2の有機膜パターンに被覆された領域以外の領域で、前記第1の有機膜パターンを除去し、前記酸化シリコン膜が偶数配列してなる第3のマスクパターンを形成する第3のマスクパターン形成工程と、前記第2のマスクパターン及び第3のマスクパターンを用いて前記被エッチング層をエッチングするエッチング工程とを有する。
 第2の発明は、第1の発明に係る半導体装置の製造方法において、前記酸化シリコン膜成膜工程の前に、前記第1の有機膜パターンを幅寸法が第1の寸法になるようにトリミングする第1のトリミング工程を有し、前記酸化シリコン膜成膜工程において、トリミングされた前記第1の有機膜パターンを第2の寸法で等方的に被覆するように前記酸化シリコン膜を成膜することを特徴とする。
 第3の発明は、第2の発明に係る半導体装置の製造方法において、前記第2の寸法が前記第1の寸法と等しいことを特徴とする。
 第4の発明は、第2又は第3の発明に係る半導体装置の製造方法において、前記第2の有機膜パターンを幅寸法が第3の寸法になるようにトリミングする第2のトリミング工程を有する。
 第5の発明は、第4の発明に係る半導体装置の製造方法において、前記第3の寸法が前記第1の寸法と等しいことを特徴とする。
 第6の発明は、第1の発明に係る半導体装置の製造方法において、前記第1の有機膜パターン形成工程において、前記基板上に前記被エッチング層及び第3の有機膜を介して形成された第1の保護膜の上に前記第1の有機膜を成膜し、前記第1のマスクパターン形成工程の前に、前記第2の有機膜パターン形成工程を行い、前記第1のマスクパターン形成工程を行う際に、前記酸化シリコン膜が前記第2の有機膜パターンの下層部として残るようにエッチングすることによって、前記第2のマスクパターン形成工程を同時に行い、前記第3のマスクパターン形成工程を行う際に、前記第2の有機膜パターンを除去することによって、前記第2のマスクパターン形成工程を同時に行うことを特徴とする。
 第7の発明は、第6の発明に係る半導体装置の製造方法において、前記第1の有機膜パターン形成工程において、前記第1の保護膜の上に前記第1の有機膜を成膜し、該第1の有機膜を露光、現像した後、トリミングを行って前記第1の有機膜パターンを形成することを特徴とする。
 第8の発明は、第6の発明に係る半導体装置の製造方法において、前記酸化シリコン膜成膜工程において、シリコンを含む原料ガスと酸素を含むガスとを交互に供給し、前記基板の上に酸化シリコン膜を成膜することを特徴とする。
 第9の発明は、第6の発明に係る半導体装置の製造方法において、前記エッチング工程において、前記第2のマスクパターン及び前記第3のマスクパターンを用いて前記第1の保護膜及び前記第3の有機膜をエッチングし、前記第3の有機膜、前記第1の保護膜及び前記酸化シリコン膜より構成される第4のマスクパターンを形成し、前記第4のマスクパターンを用いて、前記第3の有機膜の下層である前記被エッチング層をエッチングすることを特徴とする。
 第10の発明は、第6の発明に係る半導体装置の製造方法において、前記被エッチング層は、シリコン層、酸化シリコン層、窒化シリコン層又は酸窒化シリコン層であることを特徴とする。
 第11の発明は、第6の発明に係る半導体装置の製造方法において、前記第1の保護膜は、SOG膜、SiON膜又はLTO膜とBARC膜の複合膜であることを特徴とする。
 第12の発明は、第1の発明に係る半導体装置の製造方法において、前記第2の有機膜パターン形成工程の前に、前記第1のマスクパターン形成工程を行い、前記第2の有機膜パターン形成工程において、前記第1のマスクパターンの所定のパターンを被覆するように前記第2の有機膜パターンを形成し、前記第3のマスクパターン形成工程を行う際に、前記第2の有機膜パターンを除去することによって、前記第2のマスクパターン形成工程を同時に行うことを特徴とする。
 第13の発明は、第12の発明に係る半導体装置の製造方法において、前記第1の有機膜パターンの前記第1の有機膜は、上層部を第2の保護膜で保護されており、前記第2の有機膜パターン形成工程の後、前記第3のマスクパターン形成工程の前に、前記第2の保護膜を除去する保護膜除去工程を有することを特徴とする。
 第14の発明は、第13の発明に係る半導体装置の製造方法において、前記第1の有機膜パターン形成工程は、前記被エッチング層の上に前記第1の有機膜を介して形成された前記第2の保護膜の上に第4の有機膜を成膜し、該第4の有機膜をパターニングして第4の有機膜パターンを形成する第4の有機膜パターン形成工程と、前記第4の有機膜パターンを用いて前記第2の保護膜及び該第2の保護膜で保護された前記第1の有機膜をエッチングすることにより、前記第2の保護膜で保護された芯部のパターンを形成する芯部パターン形成工程とを具備することを特徴とする。
 第15の発明は、第14の発明に係る半導体装置の製造方法において、前記芯部パターン形成工程において、前記第4の有機膜パターンをトリミングした後、前記第2の保護膜及び該第2の保護膜で保護された前記第1の有機膜をエッチングすることを特徴とする。
 第16の発明は、第13の発明に係る半導体装置の製造方法において、前記酸化シリコン膜成膜工程において、シリコンを含む原料ガスと酸素を含むガスとを交互に供給し、前記基板の上に酸化シリコン膜を成膜することを特徴とする。
 第17の発明は、第13の発明に係る半導体装置の製造方法において、前記被エッチング層は、シリコン層、酸化シリコン層、窒化シリコン層又は酸窒化シリコン層であることを特徴とする。
 第18の発明は、第13の発明に係る半導体装置の製造方法において、前記被エッチング層として、前記基板側から順に第1の被エッチング層、第2の被エッチング層を積層して用いることを特徴とする。
 第19の発明は、第13の発明に係る半導体装置の製造方法において、前記第2の保護膜は、SOG膜、SiON膜又はLTO膜とBARC膜の複合膜であることを特徴とする。
 なお、第6の発明において、第1の有機膜を第1のフォトレジスト膜とし、第1の有機膜パターンを芯部パターンとし、第1の有機膜パターン形成工程を芯部パターン形成工程とし、酸化シリコン膜成膜工程を成膜工程とし、第1のマスクパターンを第1のパターンとし、第1のマスクパターン形成工程を第1パターン形成工程とし、第2の有機膜を第2のフォトレジスト膜とし、第2の有機膜パターンを第3のパターンとし、第2の有機膜パターン形成工程を第3パターン形成工程とし、第2のマスクパターンを第4のパターンとし、第3のマスクパターンを第2のパターンとし、第3のマスクパターン形成工程を第2パターン形成工程としてもよい。
 このとき、第6の発明において、基板上に被エッチング層及び有機膜を介して形成された保護膜の上に、第1のフォトレジスト膜よりなる芯部で構成される芯部パターンを形成する芯部パターン形成工程と、前記芯部パターンが形成された前記基板の上に酸化シリコン膜を成膜する成膜工程と、前記酸化シリコン膜が前記芯部の側面を被覆する側壁部として残るようにエッチングし、前記芯部及び前記側壁部とで構成される第1のパターンを形成する第1パターン形成工程と、前記芯部を除去することによって残った前記側壁部で構成される第2のパターンを形成する第2パターン形成工程とを具備する半導体装置の製造方法であって、前記第1パターン形成工程の前に、前記基板上に第2のフォトレジスト膜を形成し、前記第2のフォトレジスト膜を露光、現像して前記第2のフォトレジスト膜よりなる第3のパターンを形成する第3パターン形成工程を具備し、前記第1パターン形成工程は、前記酸化シリコン膜が前記芯部の前記側壁部及び前記第3のパターンの下層部として残るようにエッチングし、前記第2パターン形成工程は、前記芯部を除去すると共に前記第2のフォトレジスト膜よりなる前記第3のパターンを除去することによって、前記第2のパターンと、前記酸化シリコン膜よりなり、前記第3のパターンと同一形状を有する第4のパターンとを同時に形成してもよい。
 また、このとき、第6の発明において、前記芯部パターン形成工程は、前記保護膜の上に前記第1のフォトレジスト膜を形成し、該第1のフォトレジスト膜を露光、現像した後、トリミングを行って前記芯部パターンを形成してもよい。
 また、このとき、第6の発明において、前記成膜工程は、シリコンを含む原料ガスと酸素を含むガスとを交互に供給し、前記基板の上に酸化シリコン膜を成膜してもよい。
 また、このとき、第6の発明において、前記第2パターン形成工程の後、前記第2のパターン及び前記第4のパターンをマスクとして前記保護膜及び前記有機膜をエッチングし、前記有機膜、前記保護膜及び前記酸化シリコン膜より構成される第5のパターンを形成する第5パターン形成工程と、前記第5のパターンをマスクとして、前記有機膜の下層である前記被エッチング層をエッチングしてもよい。
 また、このとき、第6の発明において、前記被エッチング層は、シリコン層、酸化シリコン層、窒化シリコン層又は酸窒化シリコン層であってもよい。
 また、このとき、第6の発明において、前記保護膜は、SOG膜、SiON膜又はLTO膜とBARC膜の複合膜であってもよい。
 また、このとき、本発明は、コンピュータに第6の発明に係る半導体装置の製造方法を実行させるためのプログラムであってもよい。
 また、このとき、本発明は、コンピュータに第6の発明に係る半導体装置の製造方法を実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体であってもよい。
 なお、パターンとは、マスクとして形成された形状だけではなく、半導体装置を構成する各層において、そのマスクの形状が転写されるように加工されてできた各層の構造を意味するものとする。即ち、本発明において、パターンとは、所定の材料と所定の形状とが結合された構造を意味するものとする。
 また、第13の発明において、第1の有機膜を有機膜とし、第1の有機膜パターンを芯部のパターンとし、第1の有機膜パターン形成工程を芯部パターン形成工程とし、酸化シリコン膜成膜工程を成膜工程とし、第1のマスクパターンを第1のパターンとし、第1のマスクパターン形成工程を第1パターン形成工程とし、第2の有機膜を第2のフォトレジスト膜とし、第2の有機膜パターンを第3のパターンとし、第2の有機膜パターン形成工程を第3パターン形成工程とし、第2のマスクパターンを第1のパターンとし、第2のマスクパターン形成工程を第1パターン形成工程とし、第3のマスクパターンを第2のパターンとし、第3のマスクパターン形成工程を第2パターン形成工程としてもよい。
 このとき、第13の発明において、基板上の被エッチング層の上に、上層部を保護膜で保護された有機膜よりなる芯部と、該芯部の側面を被覆する酸化シリコン膜よりなる側壁部とで構成される第1のパターンを形成する第1パターン形成工程と、前記芯部の前記保護膜を除去する保護膜除去工程と、前記芯部の前記有機膜を除去することによって残った前記側壁部で構成される第2のパターンを形成する第2パターン形成工程とを具備する半導体装置の製造方法であって、前記保護膜除去工程の前に、前記第1のパターンの所定のパターンを第1のフォトレジスト膜で被覆するフォトレジスト被覆工程を具備し、前記第2パターン形成工程は、前記有機膜を除去すると共に前記第1のフォトレジスト膜を除去することによって、前記側壁部で構成される前記第2のパターンと、前記第1のパターンとを同時に形成してもよい。
 また、このとき、第13の発明において、前記第1パターン形成工程は、前記被エッチング層の上に前記有機膜を介して形成された前記保護膜の上に第2のフォトレジスト膜を形成し、該第2のフォトレジスト膜を露光、現像して前記第2のフォトレジスト膜の第3のパターンを形成する第3パターン形成工程と、前記第2のフォトレジスト膜の前記第3のパターンに基づいて、前記保護膜及び該保護膜で保護された前記有機膜をエッチングすることにより、前記保護膜で保護された前記芯部のパターンを形成する芯部パターン形成工程と、前記芯部のパターンが形成された前記基板の上に酸化シリコン膜を成膜する成膜工程と、前記酸化シリコン膜が前記芯部の前記側壁部として残るようにエッチングするエッチング工程とを具備してもよい。
 また、このとき、第13の発明において、前記芯部パターン形成工程は、前記第2のフォトレジスト膜の前記第3のパターンをトリミングした後、前記保護膜及び該保護膜で保護された前記有機膜をエッチングしてもよい。
 また、このとき、第13の発明において、前記成膜工程は、シリコンを含む原料ガスと酸素を含むガスとを交互に供給し、前記基板の上に酸化シリコン膜を成膜してもよい。
 また、このとき、第13の発明において、前記第2パターン形成工程の後、前記第2のパターン及び前記第1のパターンをマスクとし、前記有機膜の下層である前記被エッチング層をエッチングしてもよい。
 また、このとき、第13の発明において、前記被エッチング層は、シリコン層、酸化シリコン層、窒化シリコン層又は酸窒化シリコン層であってもよい。
 また、このとき、第13の発明において、前記被エッチング層として、前記基板側から順に第1の被エッチング層、第2の被エッチング層を積層して用いてもよい。
 また、このとき、第13の発明において、前記保護膜は、SOG膜、SiON膜又はLTO膜とBARC膜の複合膜であってもよい。
 また、このとき、本発明は、コンピュータに第13の発明に係る半導体装置の製造方法を実行させるためのプログラムであってもよい。
 また、このとき、本発明は、コンピュータに第13の発明に係る半導体装置の製造方法を実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体であってもよい。
 本発明によれば、SWT法を含むダブルパターニング法を用いて半導体装置を製造する際に、偶数パターンと奇数パターンとを一括して低コストで形成することができ、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合においても、パターンのCDを精度良く均一に維持することができる。
本発明の第1の実施の形態に係る半導体装置の製造方法の各工程の手順を説明するための工程図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態及び第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、NAND型フラッシュメモリの等価回路を示す回路図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の各工程の手順を説明するための工程図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の各工程の手順を説明するための工程図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。 本発明の第3の実施の形態に係る半導体装置の製造方法を実施するための半導体装置の製造装置の構成の一例を模式的に示す上面図である。
符号の説明
W ウェハ
L1、L2、L3、L4、L11、L12、L31、L41 ライン幅
S1、S11、S12、S2 スペース幅
D 厚さ
L101、L102、L103、L104、L111、L131、L141 ライン幅
S101、S102、S103、S104 スペース幅
D101 厚さ
10 基板
11、11a 被エッチング層
13 有機膜
14、14b 保護膜
15 第1のフォトレジスト膜
15a、15b 芯部
16 SiO
16a 側壁部
17 第2のフォトレジスト膜
21 第1のパターン
22 第2のパターン
23、23a 第3のパターン
24、24a 第4のパターン
25 第5のパターン
110 基板
111、111b 第1の被エッチング層
112、112a 第2の被エッチング層
113 有機膜
114 保護膜
115 第2のフォトレジスト膜
116 SiO
117 第1のフォトレジスト膜
121、121a 第1のパターン
122 第2のパターン
123 第3のパターン
124 第4のパターン
125 芯部
126 側壁部
128 第5のパターン
129 第6のパターン
 次に、本発明を実施するための最良の形態について図面と共に説明する。
(第1の実施の形態)
 図1乃至図2Kを参照し、本発明の第1の実施の形態に係る半導体装置の製造方法を説明する。
 以下、本実施の形態及び本実施の形態の各変形例における第1のフォトレジスト膜、芯部パターン、芯部パターン形成工程、成膜工程、第1のパターン、第1パターン形成工程、第2のフォトレジスト膜、第3のパターン、第3パターン形成工程、第4のパターン、第2のパターン、及び第2パターン形成工程のそれぞれは、本発明における第1の有機膜、第1の有機膜パターン、第1の有機膜パターン形成工程、酸化シリコン膜成膜工程、第1のマスクパターン、第1のマスクパターン形成工程、第2の有機膜、第2の有機膜パターン、第2の有機膜パターン形成工程、第2のマスクパターン、第3のマスクパターン、及び第3のマスクパターン形成工程のそれぞれに相当する。
 また、本実施の形態及び本実施の形態の各変形例におけるライン幅L12、及び厚さDのそれぞれは、本発明における第1の寸法、及び第2の寸法のそれぞれに相当する。
 図1は、本実施の形態に係る半導体装置の製造方法の各工程の手順を説明するための工程図である。また、図2A乃至図2Kは、本実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。また、図1のステップS11乃至ステップS21の工程の各々の工程が行われた後の半導体装置の構造は、図2A乃至図2Kの各々の断面図で示される構造に対応する。
 本実施の形態に係る半導体装置の製造方法は、図1に示されるように、基板準備工程と、芯部パターン形成工程と、成膜工程と、第3パターン形成工程と、第1パターン形成工程と、第2パターン形成工程と、第5パターン形成工程と、被エッチング層エッチング工程とを含む。基板準備工程は、ステップS11の工程を含み、芯部パターン形成工程は、ステップS12及びステップS13の工程を含み、成膜工程は、ステップS14の工程を含み、第3パターン形成工程は、ステップS15の工程を含み、第1パターン形成工程は、ステップS16の工程を含み、第2パターン形成工程は、ステップS17の工程を含み、第5パターン形成工程は、ステップS18及びステップS19の工程を含み、被エッチング層エッチング工程は、ステップS20及びステップS21の工程を含む。
 始めに、ステップS11を含む準備工程を行う。ステップS11は、被エッチング層の上に有機膜を介して保護膜が形成された基板を用意する工程である。図2Aは、ステップS11の工程が行われた後の半導体装置の構造を示す断面図である。
 ステップS11では、図2Aに示されるように、基板10の上に、下から順に被エッチング層11、有機膜13、保護膜14が形成された基板を準備する。被エッチング層11は、パターンが形成されることにより、その後の種々の加工工程を行う場合のマスクとして機能する。有機膜13は、パターンが形成され、被エッチング層11のパターンを形成するためのマスクとして機能する。保護膜14は、後述するように、第1のフォトレジスト膜15よりなる芯部15bのパターンを形成する際に有機膜13の表面を保護する機能を有する。また保護膜14は、その上に形成される第1のフォトレジスト膜15のフォトリソグラフィを行う際の反射防止膜(BARC:Bottom Anti-Reflecting Coating)としての機能を有する場合もある。
 被エッチング層11の材質は、特に限定されるものではなく、例えばTEOSを用いることができる。また、第1の被エッチング層11の厚さは、特に限定されるものではなく、例えば50~500nmとすることができる。
 有機膜13の材質は、特に限定されるものではなく、例えば化学気相法(CVD:Chemical
Vapor Deposition)により成膜されたアモルファスカーボン、スピンオンにより成膜されたポリフェノールやi線レジスト等のフォトレジストを含む広範な有機系の材料を用いることができる。また、有機膜13の厚さは、特に限定されるものではなく、例えば100~400nmとすることができる。
 保護膜14の材質は、特に限定されるものではなく、例えばSOG(Spin On Glass)膜、SiON膜、又はLTO(Low
Temperature Oxide)膜とBARCの複合膜を用いることができる。また、保護膜14の厚さは、特に限定されるものではなく、例えば40~120nmとすることができる。
 次に、ステップS12及びステップS13を含む芯部パターン形成工程を行う。
 ステップS12は、第1のフォトレジスト膜15を成膜し、成膜された第1のフォトレジスト膜15を露光、現像して第1のフォトレジスト膜15よりなる芯部15aのパターンを形成する芯部パターン形成工程である。その結果、図2Bに示されるように、第1のフォトレジスト膜15よりなる芯部15aのパターンが形成される。芯部15aのパターンは、芯部15aのパターンの両側の側面を被覆する側壁部を形成するための芯として機能する。
 第1のフォトレジスト膜15の材質は、例えばArFレジストを用いることができる。また、第1のフォトレジスト膜15の厚さは、特に限定されるものではなく、例えば50~200nmとすることができ、芯部15aのパターンのライン幅L11及びスペース幅S11は、特に限定されるものではなく、共に例えば60nmとすることができる。
 ステップS13は、芯部15aのパターンを形成する第1のフォトレジスト膜15をトリミングし、芯部15aのパターンのライン幅より細いライン幅を有する芯部15bのパターンを形成する工程である。また、図2Cは、ステップS13の工程が行われた後の半導体装置の構造を示す断面図である。
 トリミング方法は、特に限定されるものではなく、例えば酸素、窒素、水素、アンモニア等のプラズマを用いて行う。また、図2B及び図2Cに示されるように、トリミングされてできる芯部15bのパターンのライン幅L12は、トリミングを行う前の芯部15aのパターンのライン幅L11に比べ細くなるので、芯部15aのパターンのライン幅L11及びスペース幅S11と、芯部15bのパターンのライン幅L12及びスペース幅S12との大小関係は、L12<L11、L12>S11となる。L12及びS12の値は、特に限定されるものではなく、例えばL12を30nm、S12を90nmとすることができる。
 ステップS14は、芯部15bのパターンが形成された基板の上にSiO膜16を成膜する成膜工程である。また、図2Dは、ステップS14の工程が行われた後の半導体装置の構造を示す断面図である。
 なお、SiO膜は、本発明における酸化シリコン膜に相当する。また、以下において、SiO膜の代わりに、SiO膜を始めとし、シリコンと酸素を主成分として含む他の組成の膜であってもよい。
 SiO膜16の成膜工程は、第1のフォトレジスト膜15が芯部15bとして残った状態で行うが、一般的にフォトレジストは、高温に弱いので、低温(例えば300℃以下程度)で成膜することが好ましい。成膜方法として、このように低温で成膜できるのであれば、特に限定されるものではなく、本実施の形態では、低温での分子層堆積(Molecular Layer Deposition、以下MLDという)、即ち低温MLDによって行うことができる。その結果、図2Dに示されるように、芯部15bが形成されている場所及び形成されていない場所を含め、基板全面にSiO膜16が成膜され、芯部15bの側面にも芯部15bの側面を被覆するようにSiO膜16が成膜される。このときのSiO膜16の厚さをDとすると、芯部15bのパターンの側面を被覆するSiO膜16の幅もDとなる。SiO膜16の厚さDは、特に限定されるものではなく、例えば30nmとすることができる。
 ここで、低温MLDによる成膜工程について説明する。
 低温MLDにおいては、シリコンを含む原料ガスを処理容器内に供給し、シリコン原料を基板上に吸着させる工程と、酸素を含むガスを処理容器内に供給し、シリコン原料を酸化させる工程とを交互に繰り返す。
 具体的には、シリコンを含む原料ガスを基板上に吸着させる工程においては、シリコンを含む原料ガスとして、1分子内に2個のアミノ基を有する網のシランガス、例えばビスターシャルブチルアミノシラン(以下、BTBASという)を、シリコン原料ガスの供給ノズルを介して処理容器内に所定の時間(T1)供給する。これにより、基板上にBTBASを吸着させる。T1の時間は、例えば1~60secとすることができる。シリコンを含む原料ガスの流量は、10~500mL/min(sccm)とすることができる。また、処理容器内の圧力は13.3~665Paとすることができる。
 次に、酸素を含むガスを処理容器内に供給し、シリコン材料を酸化させる工程においては、酸素を含むガスとして、例えば高周波電源を備えたプラズマ生成機構によってプラズマ化されたOガスを、ガス供給ノズルを介して処理容器内に所定の時間(T2)供給する。これにより、基板上に吸着されたBTBASが酸化され、SiO膜16が形成される。T2の時間は、例えば5~300secとすることができる。また、酸素を含むガスの流量は、100~20000mL/min(sccm)とすることができる。また、高周波電源の周波数は13.56MHzとすることができ、高周波電源の電力は5~1000Wとすることができる。また、処理容器内の圧力は13.3~665Paとすることができる。
 また、上述したシリコンを含む原料ガスを基板上に吸着させる工程と、酸素を含むガスを処理容器内に供給し、シリコン材料を酸化させる工程とを切り換える際に、各々の工程の間に、直前の工程における残留ガスを除去するために、処理容器内を真空排気しつつ例えばNガス等の不活性ガスよりなるパージガスを処理容器内に供給する工程を所定の時間(T3)行うことができる。T3の時間は、例えば1~60secとすることができる。また、パージガスの流量は、50~5000mL/min(sccm)とすることができる。なお、この工程は、処理容器内に残留しているガスを除去することができればよく、パージガスを供給せずに全てのガスの供給を停止した状態で真空排気を継続して行うことができる。
 BTBASは、シリコンを含む原料ガスとして用いる1分子内に2個のアミノ基を有するアミノシランガスである。このようなアミノシランガスとしては、上記BTBASの他に、ビスジエチルアミノシラン(BDEAS)、ビスジメチルアミノシラン(BDMAS)、ジイソプロピルアミノシラン(DIPAS)、ビスエチルメチルアミノシラン(BEMAS)を用いることができる。更に、シリコン原料ガスとして、1分子内3個以上のアミノ基を有するアミノシランガスを用いることができ、1分子内に1個のアミノ基を有するアミノシランガスを用いることもできる。
 一方、酸素を含むガスとしては、Oガスの他、NOガス、NOガス、HOガス、Oガスを用いることができ、これらを高周波電界によりプラズマ化して酸化剤として用いることができる。このような酸素を含むガスのプラズマを用いることにより、SiO膜の成膜を300℃以下で行うことができ、更に酸素を含むガスのガス流量、高周波電源の電力、処理容器内の圧力を調整することにより、SiO膜の成膜を100℃以下又は室温で成膜を行うことができる。
 次に、ステップS15を含む第3パターン形成工程を行う。ステップS15は、芯部15bのパターンが形成されていない場所に、第2のフォトレジスト膜17よりなる第3のパターン23を形成する工程である。また、図2Eは、ステップS15の工程が行われた後の半導体装置の構造を示す断面図である。
 図2Eに示されるように、芯部15bのパターンに隣接した位置に、第3のパターン23を形成する。第3のパターン23を形成する位置は、芯部15bのパターンと重ならない場所であれば、特に限定されるものではなく、本実施の形態では、芯部15bのパターンに隣接した位置に形成する。第2のフォトレジスト膜17は、ステップS17において、芯部15bと側壁部16aとよりなる第1のパターン21のうち、芯部15bを除去し側壁部16aよりなる第2のパターン22を形成することを行わず、第3のパターン23と同一形状を有する第4のパターン24を形成するためのマスクとして機能する。第3のパターン23のライン幅をL3とすると、L3の値は特に限定されるものではなく、例えば60nmとすることができる。
 第2のフォトレジスト膜17の材質は、例えばKrFレジスト、ArFレジストを用いることができる。また、第2のフォトレジスト膜17の厚さは、特に限定されるものではなく、例えば50~300nmとすることができる。
 ここで、第3のパターン23はライン幅L3が微細であるため、芯部15aのパターンを形成するためのフォトリソグラフィを行うための金属マスクと同様に高精度を有する金属マスクを必要とし、金属マスク製作のための費用が必要となる。しかし、ステップS20の説明において後述するように、本発明によれば、偶数パターンに奇数パターンを追加しても、被エッチング層11をエッチングする工程は、被エッチング層11をエッチングする際のマスクに有機膜13を用いることによって一括で行えるため、被エッチング層11の材料の選択範囲が広がり、全体の製造コストを抑えることができる。
 なお、ステップS15を行った後、ステップS13と同様のトリミング工程を行うこともでき、ステップS15において、第2のフォトレジスト膜17よりなる第3のパターン23のパターンを、そのライン幅が、予め図2Eに示されるライン幅L3より大きいL3´(例えば120nm)になるように形成し、トリミングを行うことによって、図2Eに示されるL3(60nm)にすることができる。この場合、ステップS15において、第2のフォトレジスト膜17の第3のパターン23を形成する際の金属マスクとして、高精度の金属マスクを製作しなくても済むため、更に全体の製造コストを抑えることができる。
 次に、ステップS16を行う。ステップS16は、SiO膜16が芯部15bの側壁部16a及び第2のフォトレジスト膜17よりなる第3のパターン23の下層部として残るようにエッチングするエッチング工程である。また、図2Fは、ステップS16の工程が行われた後の半導体装置の構造を示す断面図である。
 図2Fに示されるように、SiO膜16をエッチングし、SiO膜16が、芯部15bの側面を被覆する側壁部16a及び第2のフォトレジスト膜17よりなる第3のパターン23の下層部としてのみ残った状態とする。SiO膜16のエッチングは、特に限定されるものではなく、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。SiO膜16の芯部15bの側壁部16aが残るようにエッチングする場所においては、芯部15b及び側壁部16aよりなる第1のパターン21が形成される。第1のパターン21のライン幅をL1、スペース幅をS1とすると、芯部15bのライン幅L12が30nm、側壁部16aの厚さDが30nmである場合、L1=L12+D×2、S1=L12+S12―L1であるため、L1を90nm、S1を30nmとすることができる。また、第2のフォトレジスト膜17よりなる第3のパターン23の下層部の部分として残るSiO膜の部分のライン幅L4はL3に等しく、60nmである。
 次に、ステップS17を含む第2パターン形成工程を行う。ステップS17は、芯部15bを除去することによって残った側壁部16aで構成される第2のパターン22を形成する第2パターン形成工程である。ただし、第2パターン形成工程を行うことにより、第2のパターン22と共に、第3のパターン23と同一形状を有する第4のパターン24を同時に形成する。また、図2Gは、ステップS17の工程が行われた後の半導体装置の構造を示す断面図である。
 酸素、窒素、水素、アンモニア等のプラズマを用いたエッチングを行って、芯部15bの第1のフォトレジスト膜15を除去する。その結果、図2Gに示されるように、第1のパターン21において芯部15bの第1のフォトレジスト膜15が除去されて側壁部16aのみが残り、ライン幅がD、スペース幅がL12及びS1が交互に現れるようなパターンである第2のパターン22が形成される。本実施の形態では、芯部15bのライン幅L12と第1のパターン21のスペース幅S1とを等しくすることにより、スペース幅はL12及びS1に等しいS2となる。また、Dに等しいライン幅をあらためてL2とする。前述したように、L12を30nm、S1を30nm、SiO膜16の厚さ(側壁部16aの幅D)を30nmとすることにより、L2が30nm、S2が30nmの第2のパターンを形成することができる。
 また、第1のフォトレジスト膜15が除去されると共に、第3のパターン23を形成する第2のフォトレジスト膜17も除去され、第3のパターン23の下層部であり第3のパターン23と同一形状を有する第4のパターン24が形成される。第4のパターン24のライン幅をL4とすると、第4のパターン24は第3のパターン23と同一形状を有するため、L4はL3と等しく、例えばL3が60nmのとき、L4も60nmとなる。
 次に、ステップS18及びステップS19を含む第5パターン形成工程を行う。
 ステップS18は、SiO膜16よりなる第2のパターン22及び第4のパターン24をマスクとして、保護膜14をエッチングする工程である。また、図2Hは、ステップS18の工程が行われた後の半導体装置の構造を示す断面図である。
 ライン幅がL2、スペース幅がS2であるSiO膜16よりなる第2のパターン22及びライン幅がL4であるSiO膜16よりなる第4のパターン24をマスクとして、保護膜14をエッチングし、SiO膜16及び保護膜14が積層されてなるライン幅L2及びスペース幅S2を有する第2のパターン22並びにライン幅L4を有する第4のパターン24を形成する。保護膜14のエッチングは、例えば保護膜14がSOG膜(又はSiON膜、又はLTO膜とBARCの複合膜)よりなる場合、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガスを用いて行うことができる。
 ステップS19は、第2のパターン22及び第4のパターン24をマスクとして、有機膜13をエッチングすることによって、SiO膜16、保護膜14及び有機膜13が積層されてなる第2のパターン22及び第4のパターン24よりなる第5のパターン25を形成する第5パターン形成工程である。また、図2Iは、ステップS19の工程が行われた後の半導体装置の構造を示す断面図である。
 有機膜13のエッチングは、特に限定されるものではなく、例えば酸素、窒素、水素、アンモニア等のプラズマを用いて行うことができる。その結果、図2Iに示されるように、SiO膜16及び保護膜14が積層されてなる第2のパターン22、及びSiO膜16及び保護膜14が積層されてなる第4のパターン24をマスクとして有機膜13がエッチングされ、ライン幅L2及びスペース幅S2を有しSiO膜16、保護膜14及び有機膜13が積層されてなる第2のパターン22、及びライン幅L4を有し第4のパターン24よりなる第5のパターン25が形成される。
 次に、ステップS20及びステップS21を含む被エッチング層エッチング工程を行う。
 ステップS20は、第2のパターン22及び第4のパターン24よりなる第5のパターン25をマスクとし、有機膜13の下層である被エッチング層11をエッチングし、有機膜13及び被エッチング層11が積層されてなり、第2のパターン22及び第4のパターン24よりなる第5のパターン25を形成する工程である。また、図2Jは、ステップS20の工程が行われた後の半導体装置の構造を示す断面図である。
 有機膜13よりなる第5のパターン25をマスクとし、基板10をエッチングストッパ層として被エッチング層11をエッチングする。例えばTEOSよりなる被エッチング層11のエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。その結果、図2Jに示されるように、ライン幅L2、スペース幅S2を有する偶数パターンである第2のパターン22と、ライン幅L4を有する奇数パターンである第4のパターン24とを同時に形成することができる。ただし、第2のパターン22及び第4のパターン24の上層部には、有機膜13が除去されずに残る。
 ステップS21は、有機膜13を除去する工程である。また、図2Kは、ステップS21の工程が行われた後の半導体装置の構造を示す断面図である。
 有機膜13の除去は、例えば酸素、窒素、水素、アンモニア等のプラズマを用いたエッチングにより行う。その結果、図2Kに示されるように、第2のパターン22及び第4のパターン24を形成する被エッチング層11の上に残っていた有機膜13が除去され、被エッチング層11よりなる第2のパターン22及び第4のパターン24を同時に形成することができる。
 以上、本実施の形態では、例えばライン幅60nmのマスクを用いて微細なフォトリソグラフィを行うだけで、例えばライン幅30nm、スペース幅30nmの微細な偶数パターンを形成することができるのと同時に、SiO膜よりなる側壁部を残すようなSiO膜のエッチング工程の前に、例えばライン幅60nmのマスクを用いて再度微細なフォトリソグラフィを行うことにより、被エッチング層のエッチング工程を一括に行いながら、例えばライン幅60nmのライン幅を有する奇数パターンを同時に形成することができる。
 例えば特許文献3に開示される方法でも、パターン密度が密な領域に偶数パターンを形成することができるのと同時に、パターン密度が疎な領域に奇数パターン又は孤立パターン形成することができる。しかしながら、特許文献3に開示される方法においては、微細パターンを形成するための芯部のパターンがアモルファスカーボン膜よりなり、芯部のパターンの側壁を被覆する側壁部が酸化シリコン膜よりなるため、パターン密度が密な領域と、パターン密度が疎な領域との間で、被エッチング層をエッチングするためのハードマスクとなるパターンの材質が異なる。パターンの材質が異なると、被エッチング層をエッチングする際の横方向のエッチング耐性、下層の被エッチング層とのエッチング速度の比(選択比)等の影響が異なり、マスク全域に亘り均一に揃えることができない。その結果、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合に、パターンのCD(Critical Dimension)を精度良く、均一に維持することができない。
 一方、本実施の形態では、微細パターンを形成するための芯部のパターンと、芯部のパターンの側壁を被覆する側壁部とが、ともに酸化シリコン膜よりなる。そのため、パターン密度が密な領域と、パターン密度が疎な領域との間で、被エッチング層をエッチングするためのハードマスクとなるパターンの材質が同一である。パターンの材質が同一であれば、被エッチング層をエッチングする際の横方向のエッチング耐性、下層の被エッチング層とのエッチング速度の比(選択比)等の影響も同一となり、マスク全域に亘り均一に揃えることができる。その結果、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合にも、パターンのCD(Critical Dimension)を精度良く、均一に維持することができる。
 また、有機膜13の材質、厚さを変えることにより、被エッチング層11として種々の材料を用いた場合にも、被エッチング層11に対するマスクとして機能させることができる。特に、ステップS21の有機膜13の除去においては、酸素、窒素、水素、アンモニア等のプラズマを用いたエッチングを行うため、有機膜13が厚い場合でも容易に除去することができる。従って、被エッチング層11として種々の材質を用いることができ、低コストの材料あるいは低コストの成膜方法を用いることによって、本発明に係る半導体装置の製造方法を低コスト化することができる。
 このような偶数パターンに隣接してライン幅の異なる奇数パターンを有する電子デバイスの例として、NAND型フラッシュメモリが挙げられる。図3に、NAND型フラッシュメモリの等価回路を示す。図3に示されるように、NAND型フラッシュメモリにおいては、8ビットのメモリセルが、それらのビット線が直列に接続されるように配列され、その両側に各々1つのデータ入出力用の選択ゲートを有する電界効果型トランジスタ(Field Effect Transistor:FET)が直列に接続されるような回路を有する。即ち、第1の選択ゲート40、8ビットに対応した8個のフローティングゲート41乃至48、及び第2の選択ゲート49が、ビットライン39に直列に接続される。このようなNAND型フラッシュメモリの構造において、両端の選択ゲート40、49に対応するFETのゲート長をメモリセルのゲート長より大きくするような場合に、FET用のマスクを新規に製作する必要がなく、製造コストを低減することができる。
 また、本実施の形態では、ステップS16乃至ステップS21の工程は全てドライプロセスで行うことができるため、同一のチャンバ内でガス種を変えるだけで一括して行うような製造方法を行うことも可能である。ステップS16乃至ステップS21の工程を一括して行うことにより、従来に比べて工程の簡略化と製造コストの低減を図ることができ、生産性の向上を図ることができる。
 なお、本実施の形態では、ステップS14のSiO膜の成膜工程は、低温MLDによって行うが、上層部を保護膜14で保護された有機膜13よりなる芯部15bにダメージを与えることなくSiO膜を成膜することができるのであれば、上記の方法に限定されるものではなく、CVD、RF(Radio Frequency)マグネトロンスパッタ、電子線蒸着、等公知の成膜方法を用いることも可能である。
 また、本実施の形態では、芯部パターン形成工程において、第2のフォトレジスト膜17よりなる第3のパターン23のトリミングを行わず、第3のパターン23のライン幅L3と略等しいライン幅を有する芯部15aを用いて第1のパターン21を形成することも可能である。
 また、本実施の形態では、第3のパターン23のライン幅であるL3は、前述したように、ライン幅が、予め図2Eに示されるライン幅L3より大きいL3´(例えば120nm)になるように形成し、トリミングを行うことによって、自在にその幅寸法を制御することができるため、トリミングされてできる芯部15bのパターンのライン幅であるL12に比べ、大きくすることもでき、等しくすることもでき、小さくすることもできる。
(第1の実施の形態の第1の変形例)
 次に、図4A乃至図4Kを参照し、本発明の第1の実施の形態の第1の変形例に係る半導体装置の製造方法を説明する。
 図4A乃至図4Kは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。ただし、以下の文中では、先に説明した部分には同一の符号を付し、説明を省略する場合がある(以下の変形例、実施の形態についても同様)。
 本変形例に係る半導体装置の製造方法は、被エッチング層が窒化シリコン層である点で、第1の実施の形態に係る半導体装置の製造方法と相違する。
 図4A乃至図4Kを参照するに、第1の実施の形態において、TEOSよりなる被エッチング層11を用いて行うのと相違し、本変形例においては、窒化シリコン層(以下SiNという)よりなる被エッチング層11aを用いて行う。
 本変形例に係る半導体装置の製造方法は、第1の実施の形態と同じであり、図1に示されるように、ステップS11乃至ステップS21の工程を含む。
 始めに、ステップS11を含む準備工程を行う。図4Aに示されるように、本変形例においても、第1の実施の形態と同様に、基板10の上に、下から順に被エッチング層11a、有機膜13、保護膜14が形成された基板を用いる。ただし、被エッチング層11aは、第1の実施の形態でTEOSであるのと異なり、SiNである。被エッチング層11aの厚さが、例えば50~500nmとすることができるのは、第1の実施の形態と同様である。
 被エッチング層11aが、パターン形成されることにより、その後の種々の加工工程におけるマスクとして機能することは、第1の実施の形態と同じである。SiNは、第1の実施の形態で用いられるアモルファスシリコン、ポリシリコンに比べ、隣接する有機膜13とのエッチングの選択比を向上させることができる。
 ステップS12乃至ステップS17を含む芯部パターン形成工程、成膜工程、第3パターン形成工程、第1パターン形成工程及び第2パターン形成工程は、第1の実施の形態と同一であり、各々の工程を行った後の半導体装置の一部の構造は、夫々図4B乃至図4Gに示される通りである。
 次に、ステップS18及びステップS19を含む第5パターン形成工程を行う。
 ステップS18、即ち第2のパターン22及び第4のパターン24をマスクとして保護膜14を除去する工程は、第1の実施の形態と同一であり、ステップS18の工程が終わったときの半導体装置の一部の構造は、図4Hに示される。
 ステップS19、即ち第2のパターン22及び第4のパターン24をマスクとして、有機膜13をエッチングする工程は、図4Iに示されるように、SiNよりなる被エッチング層11aのエッチング速度に対する有機膜13のエッチング速度の比を、第1の実施の形態におけるTEOSよりなる被エッチング層11のエッチング速度に対する有機膜13のエッチング速度の比に比べて増大させることができるため、エッチングの進行が被エッチング層11aの表面に到達した時点でエッチングを確実に停止させることができる。具体的には、有機膜13のエッチングは、例えば酸素、窒素、水素、アンモニア等のプラズマを用いて行うが、混合ガスの種類、流量比、ガス圧、基板温度を制御することによって、SiNと有機膜のエッチングの選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 次に、ステップS20、即ち第2のパターン22及び第4のパターン24をマスクとして被エッチング層11aを除去し、第5のパターン25を形成する工程を行う。また、図4Jは、ステップS20の工程を行った後の半導体装置の構造を示す断面図である。
 本変形例では、エッチングの条件を制御することにより、SiNよりなる被エッチング層11aの有機膜13に対するエッチングの選択比を向上させ、被エッチング層11aをエッチングする間に有機膜13よりなるパターンをエッチングすることなく、マスクの形状を正確に被エッチング層11aに転写することができる。具体的には、第1の被エッチング層11aのエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、CF系ガスの種類、混合ガスの種類、流量比、ガス圧、基板温度を制御することによって、SiNの有機膜に対する選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 また、本変形例では、前述したエッチングの条件を制御することにより、SiNよりなる被エッチング層11aの基板10に対するエッチングの選択比を向上させ、エッチングが基板10の表面に到達した時点でエッチングを確実に停止させることもできる。
 ステップS21の工程、即ち、有機膜を除去する工程は、第1の実施の形態と同様である。また、ステップS21の工程が終わった後の半導体基板の構造は、図4Kに示される。
 以上、本変形例に係る半導体装置の製造方法によれば、被エッチング層11aをTEOSからSiNに代えることにより、隣接する有機膜13とのエッチングの選択比を向上させることができ、再現性に優れた半導体装置を低コストで製造することができる。
 なお、SiNとして、SiとNとの組成比は、特に限定されるものではなく、例えばSiを用いることができる。また、SiNの代わりにSiON(酸窒化シリコン)を用いることもできる。
 また、SiNの代わりに、アモルファスシリコン又はポリシリコンを挿入した複合膜を用いることもできる。特に、基板との間のエッチング工程におけるエッチング速度の大きな選択比を確保することができるのであれば、任意の材質の被エッチング層を用いることができる。
(第1の実施の形態の第2の変形例)
 次に、図5A乃至図5Kを参照し、本発明の第1の実施の形態の第2の変形例に係る半導体装置の製造方法を説明する。
 図5A乃至図5Kは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、保護膜が酸窒化シリコンSiONである点で、第1の実施の形態に係る半導体装置の製造方法と相違する。
 図5A乃至図5Kを参照するに、第1の実施の形態において、SOGよりなる保護膜を用いて行うのと相違し、本変形例においては、SiONよりなる保護膜14bを用いて行う。
 本変形例に係る半導体装置の製造方法は、第1の実施の形態と同じであり、図1に示されるように、ステップS11乃至ステップS22の工程を含む。
 始めに、ステップS11を含む準備工程を行う。図5Aに示されるように、本変形例においても、第1の実施の形態と同様に、基板10の上に、下から順に被エッチング層11、有機膜13、保護膜14bが形成された基板を用いる。ただし、保護膜14bは、第1の実施の形態でSOGであるのと異なり、SiONである。保護膜14bの厚さが、例えば40~120nmとすることができるのは、第1の実施の形態と同様である。
 被エッチング層11が、パターン形成されることにより、その後の種々の加工工程におけるマスクとして機能することは、第1の実施の形態と同じである。
 ステップS12乃至ステップS15の工程を含む芯部パターン形成工程、成膜工程及び第3パターン形成工程は、第1の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図5B乃至図5Eに示される通りである。
 次に、ステップS16を含む第1パターン形成工程を行う。また、第1パターン形成工程を行った後の半導体装置の一部の構造は、図5Fに示される通りである。
 本変形例では、エッチングの条件を制御することにより、SiO膜16のエッチング速度とSiONよりなる保護膜14bのエッチング速度の選択比を向上させ、エッチングが保護膜14bの表面に到達した時点で確実にエッチングを停止させることができる。具体的には、SiO膜16のエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、ガスの種類、流量、ガス圧、基板温度を制御することによって、SiO膜とSiONとの間のエッチングの選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS17乃至ステップS19の工程を含む第2パターン形成工程及び第5パターン形成工程は、第1の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図5G乃至図5Iに示される通りである。
 次に、ステップS20及びステップS21を含む被エッチング層エッチング工程を行う。また、被エッチング層エッチング工程のステップS20及びステップS21を行った後の半導体装置の一部の構造は、夫々図5J及び図5Kに示される通りである。
 本変形例では、エッチングの条件を制御することにより、TEOSよりなる被エッチング層11のエッチング速度とSiONよりなる保護膜14bのエッチング速度の選択比を向上させ、被エッチング層11をエッチングする間に保護膜14bよりなる第2のパターン22及び第4のパターン24をエッチングすることなく、マスクの形状を正確に被エッチング層11に転写することができる。具体的には、被エッチング層11のエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、ガスの種類、流量、ガス圧、基板温度を制御することによって、TEOSとSiONとの間のエッチングの選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS21は、第1の実施の形態と同一であり、工程が終わったときの半導体装置の一部の構造は、図5Kに示される通りである。
 以上、本変形例に係る半導体装置の製造方法によれば、保護膜14bをSOGからSiONに代えることにより、SiO層16及び被エッチング層11とのエッチングの選択比を向上させることができ、再現性に優れた半導体装置を低コストで製造することができる。
 なお、本変形例において、SiONの代わりに、LTO膜とBARC膜の複合膜を用いる場合にも、SiO層16及び被エッチング層11とのエッチングの選択比を向上させることができ、再現性に優れた半導体装置を低コストで製造することができる。
(第1の実施の形態の第3の変形例)
 次に、図6A乃至図6Kを参照し、本発明の第1の実施の形態の第3の変形例に係る半導体装置の製造方法を説明する。
 図6A乃至図6Kは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、偶数パターンと離れた位置に孤立パターンを同時に形成する点で、第1の実施の形態に係る半導体装置の製造方法と相違する。
 図6A乃至図6Kを参照するに、第1の実施の形態において、偶数パターンに隣接して奇数パターンを同時に形成するのと相違し、本変形例においては、偶数パターンと離れた位置に孤立パターンを形成する。
 本変形例に係る半導体装置の製造方法は、第1の実施の形態と同じであり、図1に示されるように、ステップS11乃至ステップS21の工程を含む。
 始めに、ステップS11を含む準備工程を行う。図6Aに示されるように、本変形例においても、第1の実施の形態と同様に、基板10の上に、下から順に被エッチング層11、有機膜13、保護膜14が形成された基板を用いる。
 次に、ステップS12及びステップS13を含む芯部パターン形成工程を行う。
 ステップS12は、第1のフォトレジスト膜15を露光、現像して第1のフォトレジスト膜15よりなる芯部15aのパターンを形成する芯部パターン形成工程である。本変形例では、保護膜14の上に第1のフォトレジスト膜15を形成し、芯部15aのパターンの偶数パターンが配置された場所と、芯部15aのパターンが配置されない場所を有するような金属マスクを用いてフォトリソグラフィを行い、露光、現像を行って、芯部15aのパターンを形成する。ステップS12の工程を行った後の半導体装置の構造は、図6Bに示される。
 次に行うステップS13は、第1の実施の形態と同様であり、ステップS13の工程を行った後の半導体装置の構造は、図6Cに示される。
 ステップS14を含む成膜工程は、第1の実施の形態と同様であり、ステップS14の工程を行った後の半導体装置の構造は、図6Dに示される。
 次に、ステップS15の第3パターン形成工程を行う。図6Eに示されるように、芯部15bのパターンが形成されていない位置に、第3のパターン23を形成する。基板全面に、第3のパターン23を形成するための第2のフォトレジスト膜17を成膜し、露光、現像を行って第2のフォトレジスト膜17よりなる第3のパターン23を形成する。ここで、第2のフォトレジスト膜17の材質や厚みは、第1の実施の形態と同様にすることができる。ただし、本変形例における第2のフォトレジスト膜17を露光する際の金属マスクは、第1の実施の形態と異なり、孤立パターンに相当する第3のパターン23が、芯部15bのパターンと離れた位置に配置されるようなパターンを有する。第3のパターン23のライン幅をL3とすると、L3の値は特に限定されるものではなく、第1の実施の形態と同様に、例えば60nmとすることができる。
 ここで、第3のパターン23はライン幅L3が微細であるため、芯部15aのパターンを形成するための金属マスクと同様に高精度な金属マスクを必要とし、マスク製作費用が必要となる。しかし、被エッチング層11をエッチングする際のマスクとして有機膜13を用いて一括でエッチングを行うことができ、被エッチング層11として広範囲の材料を選択することができ、低コストの材料及び低コストの成膜方法を用いることによって全体の製造コストを抑えることができるのは、第1の実施の形態と同様である。
 その後、ステップS16乃至ステップS21を含む第1パターン形成工程、第2パターン形成工程、第5パターン形成工程及び被エッチング層エッチング工程は、第1の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図6F乃至図6Kに示される通りである。その結果、被エッチング層11よりなり、ライン幅L2、スペース幅S2を有する偶数パターンから離れた位置にライン幅L4を有する孤立パターンを有するようなパターンを一括で形成することができる。
(第1の実施の形態の第4の変形例)
 次に、図7A乃至図7Kを参照し、本発明の第1の実施の形態の第4の変形例に係る半導体装置の製造方法を説明する。
 図7A乃至図7Kは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、偶数パターンに隣接した位置に奇数パターンを同時に形成すると共に、偶数パターンと離れた位置にも孤立パターンを同時に形成する点で、第1の実施の形態に係る半導体装置の製造方法と相違する。
 図7A乃至図7Kを参照するに、第1の実施の形態において、偶数パターンに隣接して奇数パターンを同時に形成するのと相違し、本変形例においては、偶数パターンに隣接した位置に奇数パターンを同時に形成するのと共に、偶数パターンと離れた位置に孤立パターンを形成する。
 本変形例に係る半導体装置の製造方法は、第1の実施の形態と同じであり、図1に示されるように、ステップS11乃至ステップS21の工程を含む。
 始めに、ステップS11を含む準備工程を行う。図7Aに示されるように、本変形例においても、第1の実施の形態と同様に、基板10の上に、下から順に被エッチング層11、有機膜13、保護膜14が形成された基板を用いる。
 次に、ステップS12乃至ステップS14を含む芯部パターン形成工程及び成膜工程を行う。芯部パターン形成工程及び成膜工程は、第1の実施の形態と同様であり、各工程が行われた後の半導体装置の構造は、図7B乃至図7Dに示される。
 次に、ステップS15の第3パターン形成工程を行う。図7Eに示されるように、芯部15bのパターンが形成されていない位置に、第3のパターン23を形成するのは、第1の実施の形態と同様である。ただし、本変形例においては、奇数パターンに相当し、ライン幅L3を有する第3のパターン23が、芯部15bのパターンに隣接して設けられるのと共に、孤立パターンに相当し、ライン幅L3を有する第3のパターン23が、芯部15bのパターンから離れた位置にも配置されるようなパターンを有することを特徴とする。L3の値は特に限定されるものではなく、第1の実施の形態と同様に、例えば60nmとすることができる。
 その後、ステップS16乃至ステップS21を含む第1パターン形成工程、第2パターン形成工程、第5パターン形成工程及び被エッチング層エッチング工程は、第1の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図7F乃至図7Kに示される通りである。その結果、被エッチング層11よりなり、ライン幅L2、スペース幅S2を有する偶数パターンに隣接した位置にライン幅L4を有する奇数パターンを一括で形成することができると共に、ライン幅L2、スペース幅S2を有する偶数パターンから離れた位置にライン幅L4を有する孤立パターンを一括で形成することができる。
(第1の実施の形態の第5の変形例)
 次に、図8A乃至図8Kを参照し、本発明の第1の実施の形態の第5の変形例に係る半導体装置の製造方法を説明する。
 なお、本変形例におけるライン幅L31は、本発明における第3の寸法に相当する。
 図8A乃至図8Kは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、芯部及び側壁部で構成される第1のパターンを形成する際に、その後第2のフォトレジスト膜で被覆される第3のパターンのうち、第2のパターンよりなる偶数パターンから離れた位置に配置される第3のパターンのライン幅が、第2のパターンよりなる偶数パターンに隣接して配置される第3のパターンのライン幅よりも細い点で、第1の実施の形態の第4の変形例に係る半導体装置の製造方法と相違する。
 図8A乃至図8Kを参照するに、第1の実施の形態の第4の変形例において、第2のパターンより離れた位置にある孤立パターンのライン幅は、第2のパターンに隣接した位置にある奇数パターンのライン幅と同じであるのと相違し、本変形例においては、第2のパターン22より離れた位置にある孤立パターン23aのライン幅L31は、第2のパターン22に隣接した位置にある奇数パターン23のライン幅L3より細い。
 本変形例に係る半導体装置の製造方法は、第1の実施の形態の第4の変形例と同様であり、図1に示されるように、ステップS11乃至ステップS21の工程を含む。
 始めに、ステップS11を含む準備工程を行う。図8Aに示されるように、本変形例においても、第1の実施の形態と同様に、基板10の上に、下から順に被エッチング層11、有機膜13、保護膜14が形成された基板を用いる。
 次に、ステップS12乃至ステップS14を含む芯部パターン形成工程及び成膜工程を行う。芯部パターン形成工程及び成膜工程は、第1の実施の形態と同様であり、各工程が行われた後の半導体装置の構造は、図8B乃至図8Dに示される。
 次に、ステップS15の第3パターン形成工程を行う。図8Eに示されるように、芯部15bのパターンが形成されていない位置に、第3のパターン23を形成するのは、第1の実施の形態と同様である。ただし、本変形例においては、奇数パターンに相当し、ライン幅L3を有する第3のパターン23が、芯部15bのパターンに隣接して設けられるのと共に、孤立パターンに相当し、ライン幅L31を有する第3のパターン23aが、芯部15bのパターンから離れた位置にも配置されるようなパターンを有し、L31がL3よりも小さいことを特徴とする。各々第3のパターン23及び第3のパターン23aのライン幅であるL3及びL31の値は特に限定されるものではなく、第1の実施の形態と同様に、L3の値は例えば60nmとすることができ、L31の値は例えば40nmとすることができる。
 その後、ステップS16乃至ステップS21を含む第1パターン形成工程、第2パターン形成工程、第5パターン形成工程及び被エッチング層エッチング工程は、第1の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図8F乃至図8Kに示される通りである。その結果、被エッチング層11よりなり、ライン幅L2、スペース幅S2を有する偶数パターンに隣接した位置にライン幅L4の奇数パターンを有し、ライン幅L2、スペース幅S2を有する偶数パターンから離れた位置にライン幅L41の孤立パターンを有するようなパターンを一括で形成することができる。ここで、L4の値はL3と等しいため例えば60nmとすることができ、L41の値はL31と等しいため例えば40nmとすることができる。
(第2の実施の形態)
 次に、図9乃至図10Lを参照し、本発明の第2の実施の形態に係る半導体装置の製造方法を説明する。
 以下、本実施の形態及び本実施形態の各変形例における有機膜、芯部のパターン、芯部パターン形成工程、成膜工程、第1のパターン、第1パターン形成工程、第2のフォトレジスト膜、第3のパターン、第3パターン形成工程、第1のパターンの所定のパターン、第1パターン形成工程、第2のパターン、及び第2パターン形成工程のそれぞれは、本発明における第1の有機膜、第1の有機膜パターン、第1の有機膜パターン形成工程、酸化シリコン膜成膜工程、第1のマスクパターン、第1のマスクパターン形成工程、第2の有機膜、第2の有機膜パターン、第2の有機膜パターン形成工程、第2のマスクパターン、第2のマスクパターン形成工程、第3のマスクパターン、及び第3のマスクパターン形成工程のそれぞれに相当する。
 また、本実施の形態及び本実施の形態の各変形例におけるライン幅L104、及び厚さD101のそれぞれは、本発明における第1の寸法、及び第2の寸法のそれぞれに相当する。
 図9は、本実施の形態に係る半導体装置の製造方法の各工程の手順を説明するための工程図である。また、図10A乃至図10Lは、本実施の形態に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。また、図9のステップS111乃至ステップS122の工程の各々の工程が行われた後の半導体装置の構造は、図10A乃至図10Lの各々の断面図で示される構造に対応する。
 本実施の形態に係る半導体装置の製造方法は、図9に示されるように、基板準備工程と、第1パターン形成工程と、フォトレジスト被覆工程と、保護膜除去工程と、第2パターン形成工程と、被エッチング層エッチング工程とを含む。基板準備工程は、ステップS111の工程を含み、第1パターン形成工程は、ステップS112乃至ステップS116の工程を含み、フォトレジスト被覆工程は、ステップS117の工程を含み、保護膜除去工程は、ステップS118の工程を含み、第2パターン形成工程は、ステップS119の工程を含み、被エッチング層エッチング工程は、ステップS120乃至ステップS122の工程を含む。
 始めに、ステップS111を含む準備工程を行う。ステップS111は、被エッチング層の上に有機膜を介して保護膜が形成された基板を用意する工程である。図10Aは、ステップS111の工程が行われた後の半導体装置の構造を示す断面図である。
 ステップS111では、図10Aに示されるように、基板110の上に、下から順に第1の被エッチング層111、第2の被エッチング層112、有機膜113、保護膜114が形成された基板を準備する。第1の被エッチング層111及び第2の被エッチング層112は、パターンを形成されることにより、その後の種々の加工工程を行う場合のマスクとして機能する。有機膜113は、パターンが形成され、第1の被エッチング層111及び第2の被エッチング層112のパターンを形成するためのマスクとして機能する。保護膜114は、図10Dを用いて後述するように、有機膜113よりなる芯部125のパターンを形成する際に有機膜113の表面を保護する機能を有すると共に、図10Gを用いて後述するように、第1のパターン121の所定のパターンにおいて芯部125の有機膜113が除去されないように保護する機能も有する。また保護膜114は、その上に形成される第2のフォトレジスト膜115のフォトリソグラフィを行う際の反射防止膜(BARC:Bottom Anti-Reflecting Coating)としての機能を有する場合もある。
 第1の被エッチング層111の材質は、特に限定されるものではなく、例えばTEOS(テトラエトキシシラン:Tetraethoxysilane)を用いることができる。また、第1の被エッチング層111の厚さは、特に限定されるものではなく、例えば50~500nmとすることができる。
 第2の被エッチング層112の材質は、特に限定されるものではなく、例えばアモルファスシリコン、ポリシリコンを用いることができる。また、第2の被エッチング層112の厚さは、特に限定されるものではなく、例えば20~200nmとすることができる。
 有機膜113の材質は、特に限定されるものではなく、例えば化学気相法(CVD:Chemical
Vapor Deposition)により成膜されたアモルファスカーボン、スピンオンにより成膜されたポリフェノールやi線レジスト等のフォトレジストを含む広範な有機系の材料を用いることができる。また、有機膜113の厚さは、特に限定されるものではなく、例えば150~300nmとすることができる。
 保護膜114の材質は、特に限定されるものではなく、例えばSOG(Spin On Glass)膜、SiON膜、又はLTO(Low
Temperature Oxide)膜とBARCの複合膜を用いることができる。また、保護膜114の厚さは、特に限定されるものではなく、例えば40~120nmとすることができる。
 次に、ステップS112乃至ステップS116を含む第1パターン形成工程を行う。
 ステップS112は、第2のフォトレジスト膜115を成膜し、成膜された第2のフォトレジスト膜115を露光、現像して第2のフォトレジスト膜115よりなる第3のパターン123を形成する第3パターン形成工程である。その結果、図10Bに示されるように、第2のフォトレジスト膜115よりなる第3のパターン123が形成される。第3のパターン123は、保護膜114及び有機膜113をエッチングする工程におけるマスクとして機能する。
 第2のフォトレジスト膜115の材質は、例えばArFレジストを用いることができる。また、第2のフォトレジスト膜115の厚さは、特に限定されるものではなく、例えば50~200nmとすることができ、第3のパターン123のライン幅L103及びスペース幅S103は、特に限定されるものではなく、共に例えば60nmとすることができる。
 ステップS113は、第3のパターン123を形成する第2のフォトレジスト膜115をトリミングし、トリミングされてできる第2のフォトレジスト膜115よりなる第4のパターン124をマスクとして保護膜114をエッチングする工程である。また、図10Cは、ステップS113の工程が行われた後の半導体装置の構造を示す断面図である。
 トリミング方法は、特に限定されるものではなく、例えば酸素、窒素、水素、アンモニア等のプラズマを用いて行う。また、図10B及び図10Cに示されるように、トリミングされてできる第4のパターン124のライン幅L104は、トリミングを行う前の第3のパターン123のライン幅L103に比べ細くなるので、第4のパターン124のライン幅L104及びスペース幅S104と、第3のパターン123のライン幅L103及びスペース幅S103との大小関係は、L104<L103、S104>S103となる。L104及びS104の値は、特に限定されるものではなく、例えばL104を30nm、S104を90nmとすることができる。
 トリミングを行った後、ライン幅がL104である第2のフォトレジスト膜115よりなる第4のパターン124をマスクとして、保護膜114をエッチングし、第2のフォトレジスト膜115及び保護膜114が積層されてなるライン幅がL104のパターンを形成する。保護膜114のエッチングは、例えば保護膜114がSOG膜(又はSiON膜、又はLTO膜とBARCの複合膜)よりなる場合、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガスを用いて行うことができる。
 ステップS114は、上層部を保護膜114で保護された有機膜113をエッチングすることによって、上層部を保護膜114で保護された有機膜113よりなる芯部125のパターンを形成する芯部パターン形成工程である。また、図10Dは、ステップS114の工程が行われた後の半導体装置の構造を示す断面図である。
 有機膜113のエッチングは、特に限定されるものではなく、例えば酸素、窒素、水素、アンモニア等のプラズマを用いて行うことができる。その結果、図10Dに示されるように、ライン幅がL104の保護膜114をマスクとして有機膜113がエッチングされ、ライン幅がL104の保護膜114で保護された有機膜113よりなる芯部25のパターンが形成される。
 ステップS115は、芯部125のパターンが形成された基板の上にSiO膜116を成膜する成膜工程である。また、図10Eは、ステップS115の工程が行われた後の半導体装置の構造を示す断面図である。
 なお、SiO膜は、本発明における酸化シリコン膜に相当する。また、以下において、SiO膜の代わりに、SiO膜を始めとし、シリコンと酸素を主成分として含む他の組成の膜であってもよい。
 SiOの成膜工程は、有機膜113が芯部125として残った状態で行うが、一般的に有機膜113は、高温に弱いので、低温(例えば300℃以下程度)で成膜することが好ましい。成膜方法として、このように低温で成膜できるのであれば、特に限定されるものではなく、本実施の形態では、低温での分子層堆積(Molecular Layer Deposition、以下MLDという)、即ち低温MLDによって行うことができる。その結果、図10Eに示されるように、芯部125が形成されている場所及び形成されていない場所を含め、基板全面にSiO膜116が成膜され、芯部125の側面にも芯部125の側面を被覆するようにSiO膜116が成膜される。このときのSiO膜116の厚さをD101とすると、芯部125のパターンの側面を被覆するSiO膜116の幅もD101となる。SiO膜116の厚さD101は、特に限定されるものではなく、例えば30nmとすることができる。
 ここで、低温MLDによる成膜工程について説明する。
 低温MLDにおいては、シリコンを含む原料ガスを処理容器内に供給し、シリコン原料を基板上に吸着させる工程と、酸素を含むガスを処理容器内に供給し、シリコン原料を酸化させる工程とを交互に繰り返す。
 具体的には、シリコンを含む原料ガスを基板上に吸着させる工程においては、シリコンを含む原料ガスとして、1分子内に2個のアミノ基を有する網のシランガス、例えばビスターシャルブチルアミノシラン(以下、BTBASという)を、シリコン原料ガスの供給ノズルを介して処理容器内に所定の時間(T1)供給する。これにより、基板上にBTBASを吸着させる。T1の時間は、例えば1~60secとすることができる。シリコンを含む原料ガスの流量は、10~500mL/min(sccm)とすることができる。また、処理容器内の圧力は13.3~665Paとすることができる。
 次に、酸素を含むガスを処理容器内に供給し、シリコン材料を酸化させる工程においては、酸素を含むガスとして、例えば高周波電源を備えたプラズマ生成機構によってプラズマ化されたOガスを、ガス供給ノズルを介して処理容器内に所定の時間(T2)供給する。これにより、基板上に吸着されたBTBASが酸化され、SiO膜16が形成される。T2の時間は、例えば5~300secとすることができる。また、酸素を含むガスの流量は、100~20000mL/min(sccm)とすることができる。また、高周波電源の周波数は13.56MHzとすることができ、高周波電源の電力は5~1000Wとすることができる。また、処理容器内の圧力は13.3~665Paとすることができる。
 また、上述したシリコンを含む原料ガスを基板上に吸着させる工程と、酸素を含むガスを処理容器内に供給し、シリコン材料を酸化させる工程とを切り換える際に、各々の工程の間に、直前の工程における残留ガスを除去するために、処理容器内を真空排気しつつ例えばNガス等の不活性ガスよりなるパージガスを処理容器内に供給する工程を所定の時間(T3)行うことができる。T3の時間は、例えば1~60secとすることができる。また、パージガスの流量は、50~5000mL/min(sccm)とすることができる。なお、この工程は、処理容器内に残留しているガスを除去することができればよく、パージガスを供給せずに全てのガスの供給を停止した状態で真空排気を継続して行うことができる。
 BTBASは、シリコンを含む原料ガスとして用いる1分子内に2個のアミノ基を有するアミノシランガスである。このようなアミノシランガスとしては、上記BTBASの他に、ビスジエチルアミノシラン(BDEAS)、ビスジメチルアミノシラン(BDMAS)、ジイソプロピルアミノシラン(DIPAS)、ビスエチルメチルアミノシラン(BEMAS)を用いることができる。更に、シリコン原料ガスとして、1分子内3個以上のアミノ基を有するアミノシランガスを用いることができ、1分子内に1個のアミノ基を有するアミノシランガスを用いることもできる。
 一方、酸素を含むガスとしては、Oガスの他、NOガス、NOガス、HOガス、Oガスを用いることができ、これらを高周波電界によりプラズマ化して酸化剤として用いることができる。このような酸素を含むガスのプラズマを用いることにより、SiO膜の成膜を300℃以下で行うことができ、更に酸素を含むガスのガス流量、高周波電源の電力、処理容器内の圧力を調整することにより、SiO膜の成膜を100℃以下又は室温で成膜を行うことができる。
 次に、ステップS116を行う。ステップS116は、SiO膜116が芯部125の側壁部126としてのみ残るようにエッチングするエッチング工程である。また、図10Fは、ステップS116の工程が行われた後の半導体装置の構造を示す断面図である。
 図10Fに示されるように、SiO膜116をエッチングし、SiO膜116が、芯部125の側面を被覆する側壁部126としてのみ残った状態とする。SiO膜116のエッチングは、特に限定されるものではなく、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。SiO膜116の芯部125の側壁部126のみが残るようにエッチングするため、芯部125及び側壁部126よりなる第1のパターン121が形成される。第1のパターン121のライン幅をL101、スペース幅をS101とすると、芯部125のライン幅L104が30nm、側壁部126の厚さD101が30nmである場合、L101=L104+D101×2、S101=L104+S104-L101であるため、L101を90nm、S101を30nmとすることができる。
 次に、ステップS117を含むフォトレジスト被覆工程を行う。ステップS117は、第1のパターン121の所定のパターン121aを第1のフォトレジスト膜117で被覆するフォトレジスト被覆工程である。また、図10Gは、ステップS117の工程が行われた後の半導体装置の構造を示す断面図である。
 図10Gに示されるように、第1のパターン121の一部の所定のパターン121aを第1のフォトレジスト膜117で被覆する。第1のフォトレジスト膜117は、芯部125と側壁部126とよりなる第1のパターン121のうち、ステップS118及びステップS119において、芯部125を除去し側壁部126よりなる第2のパターン122を形成することを行わず、第1のパターン121のまま残すパターンである第1のパターン121aを保護するためのマスクとして機能する。
 ここで、第1のパターン121はライン幅L101、スペース幅S101が共に微細であるが、第1のパターン121の一部のパターン121aを被覆する第1のフォトレジスト膜117のパターンを形成するためのフォトリソグラフィを行うための金属マスクの精度は、第1のパターン121を形成するための金属マスクに比べさほどの精度を要しないため、金属マスク製作のための費用を抑えることができる。
 第1のフォトレジスト膜117の材質は、例えばi線レジスト、KrFレジスト、ArFレジストを用いることができる。また、第1のフォトレジスト膜117の厚さは、特に限定されるものではなく、例えば200~500nmとすることができる。
 次に、ステップS118を含む保護膜除去工程を行う。ステップS118は、芯部125の保護膜114を除去する保護膜除去工程である。また、図10Hは、ステップS118の工程が行われた後の半導体装置の構造を示す断面図である。
 所定の第1のパターン121aが第1のフォトレジスト膜117に被覆された状態で、芯部125の保護膜114をエッチングする。このエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。その結果、図10Hに示されるように、第1のフォトレジスト膜117で被覆されない第1のパターン121において、芯部125の保護膜114が除去され、芯部125の有機膜113が露出される。
 次に、ステップS119を含む第2パターン形成工程を行う。ステップS119は、芯部125の有機膜113を除去することによって残った側壁部126で構成される第2のパターン122を形成する第2パターン形成工程である。また、図10Iは、ステップS119の工程が行われた後の半導体装置の構造を示す断面図である。
 酸素、窒素、水素、アンモニア等のプラズマを用いたエッチングを行って、芯部125の有機膜113を除去する。その結果、図10Iに示されるように、第1のフォトレジスト膜117に被覆されない第1のパターン121において、芯部125の有機膜113が除去されて側壁部126のみが残り、ライン幅がD101、スペース幅がL104及びS101が交互に現れるようなパターンである第2のパターン122が形成される。本実施の形態では、芯部125のライン幅L104と第1のパターン121のスペース幅S101とを等しくすることにより、スペース幅はL104及びS101に等しいS102となる。また、D101に等しいライン幅をあらためてL102とする。前述したように、L104を30nm、S101を30nm、SiO膜116の厚さ(側壁部126の幅D101)を30nmとすることにより、L102が30nm、S102が30nmの第2のパターンを形成することができる。
 次に、ステップS120乃至ステップS122を含む被エッチング層エッチング工程を行う。
 ステップS120は、第2のパターン122及び第1のパターン121aをマスクとし、有機膜113の下層である第2の被エッチング層112をエッチングし、上層部として側壁部126を有する第2の被エッチング層112よりなり、第2のパターン122及び第1のパターン121aと同一の形状を有する第5のパターン128を形成する工程である。また、図10Jは、ステップS120の工程が行われた後の半導体装置の構造を示す断面図である。
 側壁部126から構成される第2のパターン122及び芯部125と側壁部126から構成される第1のパターン121をマスクとし、第1の被エッチング層111をエッチングストッパ層として第2の被エッチング層112をエッチングする。例えばアモルファスシリコン又はポリシリコンよりなる第2の被エッチング層112のエッチングは、例えばCl、Cl+HBr、Cl+O、CF+O、SF、Cl+N、Cl+HCl、HBr+Cl+SF等のガス等のプラズマを用いて行うことができる。その結果、図10Jに示されるように、第2のパターン122及び第1のパターン121aが形成された第5のパターン128が形成される。
 ステップS121は、第5のパターン128をマスクとして第1の被エッチング層111をエッチングし、第1の被エッチング層111及び第2の被エッチング層112よりなる第6のパターン129を形成する工程である。また、図10Kは、ステップS121の工程が行われた後の半導体装置の構造を示す断面図である。
 第1の被エッチング層111のエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。このとき、第1のパターン121及び第2のパターン122において側壁部126を構成するSiO膜116及び第1のパターン121aにおいて芯部125を構成する保護膜114もエッチングされ、除去される。その結果、図10Kに示されるように、ライン幅L102、スペース幅S102を有する偶数パターンである第2のパターン122と、ライン幅L101を有する奇数パターンである第1のパターン121aとを同時に形成することができる。ただし、第1のパターン121aを形成する第2の被エッチング層112の上部には、芯部125の有機膜113が除去されずに残る。
 ステップS122は、ステップS121で除去されなかった有機膜113を除去する工程である。また、図10Lは、ステップS122の工程が行われた後の半導体装置の構造を示す断面図である。
 有機膜113の除去は、例えば酸素、窒素、水素、アンモニア等のプラズマを用いたエッチングにより行う。その結果、図10Lに示されるように、第1のパターン121aを形成する第2の被エッチング層112の上に残っていた有機膜113が除去され、第1の被エッチング層111及び第2の被エッチング層112よりなる第1のパターン121a及び第2のパターン122を同時に形成することができる。
 以上、本実施の形態では、例えばライン幅60nmのマスクを用いて微細なフォトリソグラフィを行うだけで、例えばライン幅30nm、スペース幅30nmの微細な偶数パターンを形成することができるのと同時に、微細なフォトリソグラフィの工程を新たに行うことなく、例えばライン幅90nmのライン幅を有する奇数パターンを同時に形成することができる。
 例えば特許文献3に開示される方法でも、パターン密度が密な領域に偶数パターンを形成することができるのと同時に、パターン密度が疎な領域に奇数パターン又は孤立パターン形成することができる。しかしながら、特許文献3に開示される方法においては、微細パターンを形成するための芯部のパターンがアモルファスカーボン膜よりなり、芯部のパターンの側壁を被覆する側壁部が酸化シリコン膜よりなるため、パターン密度が密な領域と、パターン密度が疎な領域との間で、被エッチング層をエッチングするためのハードマスクとなるパターンの材質が異なる。パターンの材質が異なると、被エッチング層をエッチングする際の横方向のエッチング耐性、下層の被エッチング層とのエッチング速度の比(選択比)等の影響が異なり、マスク全域に亘り均一に揃えることができない。その結果、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合に、パターンのCD(Critical Dimension)を精度良く、均一に維持することができない。
 一方、本実施の形態では、微細パターンを形成するための芯部のパターンと、芯部のパターンの側壁を被覆する側壁部とが、ともに酸化シリコン膜よりなる。そのため、パターン密度が密な領域と、パターン密度が疎な領域との間で、被エッチング層をエッチングするためのハードマスクとなるパターンの材質が同一である。パターンの材質が同一であれば、被エッチング層をエッチングする際の横方向のエッチング耐性、下層の被エッチング層とのエッチング速度の比(選択比)等の影響も同一となり、マスク全域に亘り均一に揃えることができる。その結果、ハードマスクとなるパターンのパターン密度が密な領域とパターン密度が疎な領域とが混在していた場合にも、パターンのCD(Critical Dimension)を精度良く、均一に維持することができる。
 第2の実施の形態においても、第1の実施の形態と同様に、このような偶数パターンに隣接してライン幅の異なる奇数パターンを有する電子デバイスの例として、NAND型フラッシュメモリが挙げられる。図3に、NAND型フラッシュメモリの等価回路を示す。図3に示されるように、NAND型フラッシュメモリにおいては、8ビットのメモリセルが、それらのビット線が直列に接続されるように配列され、その両側に各々1つのデータ入出力用の選択ゲートを有する電界効果型トランジスタ(Field Effect Transistor:FET)が直列に接続されるような回路を有する。即ち、第1の選択ゲート40、8ビットに対応した8個のフローティングゲート41乃至48、及び第2の選択ゲート49が、ビットライン39に直列に接続される。このようなNAND型フラッシュメモリの構造において、両端の選択ゲート40、49に対応するFETのゲート長をメモリセルのゲート長より大きくするような場合に、FET用のマスクを新規に製作する必要がなく、製造コストを低減することができる。
 また、本実施の形態では、ステップS118乃至ステップS122の工程は全てドライプロセスで行うことができるため、同一のチャンバ内でガス種を変えるだけで一括して行うような製造方法を行うことも可能である。ステップS118乃至ステップS122の工程を一括して行うことにより、従来に比べて工程の簡略化と製造コストの低減を図ることができ、生産性の向上を図ることができる。
 なお、本実施の形態では、ステップS115のSiO膜の成膜工程は、低温MLDによって行うが、上層部を保護膜114で保護された有機膜113よりなる芯部125にダメージを与えることなくSiO膜116を成膜することができるのであれば、上記の方法に限定されるものではなく、CVD、RF(Radio Frequency)マグネトロンスパッタ、電子線蒸着、等公知の成膜方法を用いることも可能である。
 また、本実施の形態では、芯部と側壁部とで構成される第1のパターンを形成する第1パターン形成工程が、第2のフォトレジスト膜よりなる第3のパターンを形成する第3パターン形成工程と、第3のパターンに基づいて芯部のパターンを形成する芯部パターン形成工程と、SiO膜を成膜する成膜工程を含むが、第1のパターンを構成する芯部の上層部が、芯部の有機膜を保護する保護膜の機能を有するのであれば、本実施の形態の態様に限定されるものではなく、種々の変形が可能である。
 更に、本実施の形態では、芯部パターン形成工程において、第2のフォトレジスト膜よりなる第3のパターンのトリミングを行わず、第3のパターンのライン幅と略等しいライン幅を有する芯部を用いて第1のパターンを形成することも可能である。
 また、本実施の形態では、有機膜113よりなる芯部125のパターンを形成する際に有機膜113の表面を保護する機能を有する保護膜114を用いるが、ステップS117を含むフォトレジスト被覆工程において、第1のパターン121の一部の所定のパターン121aを第1のフォトレジスト膜117で被覆する際に行うレジスト塗布、露光、現像等の際に劣化、変質しないような有機膜113の材質を選択することができれば、保護膜114を用いなくてもよい。
(第2の実施の形態の第1の変形例)
 次に、図11A乃至図11Lを参照し、本発明の第2の実施の形態の第1の変形例に係る半導体装置の製造方法を説明する。
 図11A乃至図11Lは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。ただし、以下の文中では、先に説明した部分には同一の符号を付し、説明を省略する場合がある(以下の変形例、実施の形態についても同様)。
 本変形例に係る半導体装置の製造方法は、第2の被エッチング層が窒化シリコン層である点で、第2の実施の形態に係る半導体装置の製造方法と相違する。
 図11A乃至図11Lを参照するに、第2の実施の形態において、アモルファスシリコン又はポリシリコンよりなる第2の被エッチング層112を用いて行うのと相違し、本変形例においては、窒化シリコン層(以下SiNという)よりなる第2の被エッチング層112aを用いて行う。
 本変形例に係る半導体装置の製造方法は、第2の実施の形態と同じであり、図9に示されるように、ステップS111乃至ステップS122の工程を含む。
 始めに、ステップS111を含む準備工程を行う。図11Aに示されるように、本変形例においても、第2の実施の形態と同様に、基板110の上に、下から順に第1の被エッチング層111、第2の被エッチング層112a、有機膜113、保護膜114が形成された基板を用いる。ただし、第2の被エッチング層112aは、第2の実施の形態でアモルファスシリコン又はポリシリコンであるのと異なり、SiNである。第2の被エッチング層112aの厚さが、例えば20~200nmとすることができるのは、第2の実施の形態と同様である。
 第2の被エッチング層112aが、パターン形成されることにより、その後の種々の加工工程におけるマスクとして機能することは、第2の実施の形態と同じである。SiNは、第2の実施の形態で用いられるアモルファスシリコン、ポリシリコンに比べ、隣接する有機膜113や第1の被エッチング層111とのエッチングの選択比を向上させることができる。
 ステップS112乃至ステップS116を含む第1パターン形成工程は、第2の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図11B乃至図11Fに示される通りである。
 ただし、ステップS116及び図11Fに示されるような、SiO膜116が芯部125の側壁部126として残るようにSiO膜116をエッチングする工程においては、SiO膜116のエッチングの条件を制御することにより、第2の被エッチング層112aのエッチング速度に対するSiO膜116のエッチング速度の比(選択比)を向上させ、側壁部126以外の場所で第2の被エッチング層112aの表面に到達した時点でエッチングを確実に停止させることができる。具体的には、SiO膜116のエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、CF系ガスの種類、混合ガスの種類、流量比、ガス圧、基板温度を制御することによって、SiOとSiNとのエッチングの選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS117を含むフォトレジスト被覆工程は、第2の実施の形態と同様である。また、ステップS117の工程が終わった後の半導体基板の構造は、図11Gに示される。
 ステップS118を含む保護膜除去工程は、ステップS116で行うSiO膜をエッチングする工程と同様に、プロセス条件を変更することによってSiOとSiNとのエッチングの選択比を高くし、一部露出された第2の被エッチング層112aをエッチングすることなく芯部125の保護膜114だけを除去することが可能である。また、ステップS118の工程が終わった後の半導体基板の構造は、図11Hに示される。
 ステップS119を含む第2パターン形成工程は、第2の実施の形態と同様である。また、ステップS119の工程が終わった後の半導体基板の構造は、図11Iに示される。
 次に、ステップS120乃至ステップS122を含む被エッチング層エッチング工程を行う。ステップS120乃至ステップS122の各々の工程が終わったときの半導体装置の一部の構造は、図11J乃至図11Lに示される通りである。
 ステップS120は、第2のパターン122及び第1のパターン121aをマスクとし、第2の被エッチング層112aをエッチングする工程であるのは、第2の実施の形態と同様である。
 本変形例では、エッチングの条件を制御することにより、SiNよりなる第2の被エッチング層112aのエッチング速度のTEOSよりなる第1の被エッチング層111のエッチング速度との比(選択比)を向上させ、エッチングが第1の被エッチング層111の表面に到達した時点で確実にエッチングを停止させることができる。具体的には、第2の被エッチング層112aのエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、CF系ガスの種類、混合ガスの種類、流量比、ガス圧、基板温度を制御することによって、SiNとSiOとの間のエッチングの選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS121は、第2のパターン122及び第1のパターン121aをマスクとし、第1の被エッチング層111をエッチングする工程であるのは、第2の実施の形態と同様である。
 本変形例では、エッチングの条件を制御することにより、TEOSよりなる第1の被エッチング層111のSiNよりなる第2の被エッチング層112aに対するエッチングの選択比を向上させ、第1の被エッチング層111をエッチングする間に第2の被エッチング層112aよりなるパターンをエッチングすることなく、マスクの形状を正確に被エッチング層111に転写することができる。具体的には、TEOSよりなる第1の被エッチング層111のエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、CF系ガスの種類、混合ガスの種類、流量比、ガス圧、基板温度を制御することによって、SiNのSiOに対する選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS122を含む第2パターン形成工程は、第2の実施の形態と同様である。また、ステップS122の工程が終わった後の半導体基板の構造は、図11Lに示される。
 以上、本変形例に係る半導体装置の製造方法によれば、第2の被エッチング層112aをアモルファスシリコン又はポリシリコンからSiNに代えることにより、隣接する有機膜113や第1の被エッチング層111とのエッチングの選択比を向上させることができ、再現性に優れた半導体装置を低コストで製造することができる。
 なお、SiNとして、SiとNとの組成比は、特に限定されるものではなく、例えばSiを用いることができる。また、SiNの代わりにSiON(酸窒化シリコン)を用いることもできる。
(第2の実施の形態の第2の変形例)
 次に、図12A乃至図12Lを参照し、本発明の第2の実施の形態の第2の変形例に係る半導体装置の製造方法を説明する。
 図12A乃至図12Lは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、第1の被エッチング層が窒化シリコン層である点で、第2の実施の形態に係る半導体装置の製造方法と相違する。
 図12A乃至図12Lを参照するに、第2の実施の形態において、TEOSよりなる第1の被エッチング層111を用いて行うのと相違し、本変形例においては、SiNよりなる第1の被エッチング層111bを用いて行う。
 本変形例に係る半導体装置の製造方法は、第2の実施の形態と同じであり、図9に示されるように、ステップS111乃至ステップS122の工程を含む。
 始めに、ステップS111を含む準備工程を行う。図12Aに示されるように、本変形例においても、第2の実施の形態と同様に、基板110の上に、下から順に第1の被エッチング層111b、第2の被エッチング層112、有機膜113、保護膜114が形成された基板を用いる。ただし、第1の被エッチング層111bは、第2の実施の形態でTEOSであるのと異なり、SiNである。第1の被エッチング層111bの厚さが、例えば20~200nmとすることができるのは、第2の実施の形態と同様である。
 第1の被エッチング層111bが、パターン形成されることにより、その後の種々の加工工程におけるマスクとして機能することは、第2の実施の形態と同じである。SiNは、第2の実施の形態で用いられるTEOSに比べ、隣接する第2の被エッチング層112とのエッチングの選択比を向上させることができる。
 ステップS112乃至ステップS119の工程を含む第1パターン形成工程、フォトレジスト被覆工程及び保護膜除去工程は、第2の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図12B乃至図12Iに示される通りである。
 次に、ステップS120乃至ステップS122を含む被エッチング層エッチング工程を行う。ステップS120乃至ステップS122の各々の工程が終わったときの半導体装置の一部の構造は、図12J乃至図12Lに示される通りである。
 ステップS120は、第2のパターン122及び第1のパターン121aよりなる第5のパターン128をマスクとし、第2の被エッチング層112をエッチングする工程であるのは、第2の実施の形態と同様である。
 本変形例では、エッチングの条件を制御することにより、ポリシリコン又はアモルファスシリコンよりなる第2の被エッチング層112のエッチング速度とSiNよりなる第1の被エッチング層111bのエッチング速度の選択比を向上させ、エッチングが第1の被エッチング層111bの表面に到達した時点で確実にエッチングを停止させることができる。具体的には、アモルファスシリコン又はポリシリコンよりなる第2の被エッチング層112のエッチングは、例えば、Cl、Cl+HBr、Cl+O、CF+O、SF、Cl+N、Cl+HCl、HBr+Cl+SF等のガスを用いて行うが、ガスの種類、流量、ガス圧、基板温度を制御することによって、アモルファスシリコン又はポリシリコンとSiNとの間のエッチングの選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS121は、第2のパターン122及び第1のパターン121aよりなる第6のパターン129をマスクとし、第1の被エッチング層111bをエッチングする工程であるのは、第2の実施の形態と同様である。
 本変形例では、エッチングの条件を制御することにより、SiNよりなる第1の被エッチング層111bのアモルファスシリコン又はポリシリコンよりなる第2の被エッチング層112に対するエッチングの選択比を向上させ、第1の被エッチング層111bをエッチングする間に第2の被エッチング層112よりなるパターンをエッチングすることなく、マスクの形状を正確に第1の被エッチング層111bに転写することができる。具体的には、SiNよりなる第1の被エッチング層111bのエッチングは、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うが、CF系ガスの種類、混合ガスの種類、流量比、ガス圧、基板温度を制御することによって、SiNのアモルファスシリコン又はポリシリコンに対する選択比を向上させることができる。その結果、再現性に優れた製造方法を行うことができる。
 ステップS122は、第2の実施の形態と同様である。また、ステップS122の工程が終わった後の半導体基板の構造は、図12Lに示される。
 以上、本変形例に係る半導体装置の製造方法によれば、第1の被エッチング層111bをTEOSからSiNに代えることにより、隣接する第2の被エッチング層112とのエッチングの選択比を向上させることができ、再現性に優れた半導体装置を低コストで製造することができる。
 なお、SiNとして、SiとNとの組成比は、特に限定されるものではなく、例えばSiを用いることができる。また、SiNの代わりにSiON(酸窒化シリコン)を用いることもできる。
(第2の実施の形態の第3の変形例)
 次に、図13A乃至図13Lを参照し、本発明の第2の実施の形態の第3の変形例に係る半導体装置の製造方法を説明する。
 図13A乃至図13Lは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、偶数パターンと離れた位置に孤立パターンを同時に形成する点で、第2の実施の形態に係る半導体装置の製造方法と相違する。
 図13A乃至図13Lを参照するに、第2の実施の形態において、偶数パターンに隣接して奇数パターンを同時に形成するのと相違し、本変形例においては、偶数パターンと離れた位置に孤立パターンを形成する。
 本変形例に係る半導体装置の製造方法は、第2の実施の形態と同じであり、図9に示されるように、ステップS111乃至ステップS122の工程を含む。
 始めに、ステップS111を含む準備工程を行う。図13Aに示されるように、本変形例においても、第2の実施の形態と同様に、基板110の上に、下から順に第1の被エッチング層111、第2の被エッチング層112、有機膜113、保護膜114が形成された基板を用いる。
 次に、ステップS112を行う。即ち、第2のフォトレジスト膜115を露光、現像して第2のフォトレジスト膜115の第3のパターン123を形成する第3パターン形成工程を行う。本変形例では、保護膜114の上に第2のフォトレジスト膜115を形成し、第3のパターン123の偶数パターンと離れた場所に孤立パターンが配置されるような金属マスクを用いてフォトリソグラフィを行い、露光、現像を行って、孤立パターンを有する第3のパターン123を形成する。ステップS112の工程を行った後の半導体装置の構造は、図13Bに示される。
 ステップS113乃至ステップS116を含む第1パターン形成工程は、第2の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図13C乃至図13Fに示される通りである。
 次に、ステップS117のフォトレジスト被覆工程を行う。即ち、孤立パターンを第1のフォトレジスト膜117で被覆する。第1のフォトレジスト膜117の材質や厚みは、第2の実施の形態と同様にすることができる。ただし、本変形例における第1のフォトレジスト膜117を露光する際の金属マスクは、第2の実施の形態と異なり、孤立パターンの部分を第1のフォトレジスト膜117が被覆するようなパターンを有する。また、この金属マスクは、第1のパターンを形成するための金属マスクに比べるとさほど精度を要しないため、金属マスク製作のための費用を抑えることができるのは、第2の実施の形態と同様である。ステップS117の工程を行った後の半導体装置の構造は図13Gに示される。
 その後、ステップS118乃至ステップS122を含む保護膜除去工程、第2パターン形成工程及び被エッチング層エッチング工程は、第2の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図13H乃至図13Lに示される通りである。その結果、第1の被エッチング層111及び第2の被エッチング層112よりなり、ライン幅L102、スペース幅S102を有する偶数パターンから離れた位置にライン幅L101の孤立パターンを有するようなパターンを一括で形成することができる。
(第2の実施の形態の第4の変形例)
 次に、図14A乃至図14Lを参照し、本発明の第2の実施の形態の第4の変形例に係る半導体装置の製造方法を説明する。
 図14A乃至図14Lは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、偶数パターンに隣接した位置に奇数パターンを同時に形成すると共に、偶数パターンと離れた位置にも孤立パターンを同時に形成する点で、第2の実施の形態に係る半導体装置の製造方法と相違する。
 図14A乃至図14Lを参照するに、第2の実施の形態において、偶数パターンに隣接して奇数パターンを同時に形成するのと相違し、本変形例においては、偶数パターンに隣接した位置に奇数パターンを同時に形成するのと共に、偶数パターンと離れた位置に孤立パターンを形成する。
 本変形例に係る半導体装置の製造方法は、第2の実施の形態と同じであり、図9に示されるように、ステップS111乃至ステップS122の工程を含む。
 始めに、ステップS111を含む準備工程を行う。図14Aに示されるように、本変形例においても、第2の実施の形態と同様に、基板110の上に、下から順に第1の被エッチング層111、第2の被エッチング層112、有機膜113、保護膜114が形成された基板を用いる。
 次に、ステップS112を行う。即ち、第2のフォトレジスト膜115を露光、現像して第2のフォトレジスト膜115の第3のパターン123を形成する第3パターン形成工程を行う。本変形例では、第2の実施の形態の第3の変形例と同様に、保護膜114の上に第2のフォトレジスト膜115を形成し、第3のパターン123の偶数パターンと離れた場所に孤立パターン123dを形成する部分があるような金属マスクを用いてフォトリソグラフィを行い、露光、現像を行って、孤立パターン123dを有する第3のパターン123を形成する。ステップS112の工程を行った後の半導体装置の構造は、図14Bに示される。
 次に行うステップS113乃至ステップS116を含む第1パターン形成工程は、第2の実施の形態と同一であり、各々の工程を行った後の半導体装置の構造は、図14C乃至図14Fに示される。
 次に、ステップS117のフォトレジスト被覆工程を行う。即ち、孤立パターン121aを第1のフォトレジスト膜117で被覆する。第1のフォトレジスト膜117の材質や厚みは、第2の実施の形態と同様にすることができる。ただし、本変形例における第1のフォトレジスト膜117を露光する際の金属マスクは、第2の実施の形態とも第2の実施の形態の第3の変形例とも異なり、現像を行ったときに孤立パターン121aの部分及び偶数パターンの端の1つのパターンを第1のフォトレジスト膜117で被覆するようなパターンを有する。また、この金属マスクは、第1のパターン121を形成するための金属マスクに比べるとさほど精度を要しないため、金属マスク製作のための費用を抑えることができるのは、第2の実施の形態と同様である。ステップS117の工程を行った後の半導体装置の構造は図14Gに示される。
 その後、ステップS118乃至ステップS122を含む保護膜除去工程、第2パターン形成工程及び被エッチング層エッチング工程は、第2の実施の形態と同一であり、各々の工程を行った後の半導体装置の構造は、図14H乃至図14Lに示される通りである。その結果、第1の被エッチング層111及び第2の被エッチング層112よりなり、ライン幅L102、スペース幅S102を有する偶数パターンに隣接した位置にライン幅L101の奇数パターンを有し、且つ、偶数パターンから離れた位置にもライン幅L101の孤立パターンを有するようなパターンを一括で形成することができる。
(第2の実施の形態の第5の変形例)
 次に、図15A乃至図15Lを参照し、本発明の第2の実施の形態の第5の変形例に係る半導体装置の製造方法を説明する。
 図15A乃至図15Lは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。
 本変形例に係る半導体装置の製造方法は、芯部及び側壁部で構成される第1のパターンを形成するときに、その後第1のフォトレジスト膜で被覆される第1のパターンにおける芯部のライン幅が第1のフォトレジスト膜で被覆されない第1のパターンにおける芯部のライン幅よりも細い点で、第2の実施の形態の第3の変形例に係る半導体装置の製造方法と相違する。
 図15A乃至図15Lを参照するに、第2の実施の形態の第3の変形例において、第1のフォトレジスト膜で被覆される第1のパターンにおける芯部のライン幅は、第1のフォトレジスト膜で被覆されない第1のパターンにおける芯部のライン幅と同じであるのと相違し、本変形例においては、第1のフォトレジスト膜117で被覆される第1のパターン121aにおける芯部125のライン幅L141は、第1のフォトレジスト膜117で被覆されない第1のパターン121における芯部125のライン幅L104より細い。
 本変形例に係る半導体装置の製造方法は、第2の実施の形態の第3の変形例と同様であり、図9に示されるように、ステップS111乃至ステップS122の工程を含む。
 始めに、ステップS111を含む準備工程を行う。図15Aに示されるように、本変形例においても、第2の実施の形態と同様に、基板110の上に、下から順に第1の被エッチング層111、第2の被エッチング層112、有機膜113、保護膜114が形成された基板を用いる。
 次に、ステップS112を行う。即ち、第2のフォトレジスト膜115を露光、現像して第2のフォトレジスト膜115の第3のパターン123を形成する第3パターン形成工程を行う。本変形例では、第2の実施の形態の第3の変形例と同様に、保護膜114の上に第2のフォトレジスト膜115を形成し、第3のパターン123の偶数パターンと離れた場所に、第3のパターン123の偶数パターンよりもライン幅の細い孤立パターン123eを有する金属マスクを用いてフォトリソグラフィを行い、露光、現像を行って、孤立パターン123eを有する第3のパターン123を形成する。ステップS112の工程を行った後の半導体装置の構造は、図15Bに示される。本変形例では、偶数パターンに対応する第3のパターン123の幅L103を、例えば60nmとすることができ、孤立パターン123eの幅L131を、L103より20nm細い40nmとすることができる。
 次に、ステップS113を行う。即ち、第2のフォトレジスト膜115の第3のパターン123をトリミングし、トリミングされた第2のフォトレジスト膜115をマスクとして保護膜114をエッチングする工程を行う。本変形例では、第2のフォトレジスト膜115の第3のパターン123を左右両側より15nmずつエッチングしてトリミングすることができる。その結果、偶数本のラインパターン124に対応するライン幅であるL104を30nmにトリミングすることができ、孤立パターン124eに対応するライン幅であるL141を10nmにトリミングすることができる。ステップS113の工程が終わったときの半導体装置の一部の構造は、図15Cに示されるとおりである。
 次に行うステップS114乃至ステップS116を含む第1パターン形成工程は、第2の実施の形態と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図15D乃至図15Fに示される通りである。
 更に、ステップS117乃至ステップS122を含むフォトレジスト被覆工程、保護膜除去工程、第2パターン形成工程及び被エッチング層エッチング工程は、第2の実施の形態の第3の変形例と同一であり、各々の工程が終わったときの半導体装置の一部の構造は、図15G乃至図15Lに示される通りである。その結果、第1の被エッチング層111及び第2の被エッチング層112よりなり、偶数パターン122から離れた位置に孤立パターン121eを有するようなパターンを一括で形成することができる。偶数パターン122のライン幅L102、スペース幅S102は、第2の実施の形態の第3の変形例と同様に、例えば共に30nmとすることができる。一方、第2の実施の形態の第3の変形例に比べ、最初の第2のフォトレジスト膜115の第3のパターン123の孤立パターン123eのライン幅L131が、第3のパターン123の偶数パターンのライン幅L103の60nmに比べ20nm細い40nmであるため、孤立パターン121eのライン幅L111は、第2の実施の形態の第3の変形例における90nmより20nm細い70nmとすることができる。
 なお、第2のフォトレジスト膜115よりなる第3のパターン123を形成する際に、孤立パターン123eのライン幅を第3のパターン123の偶数本のパターンのライン幅と異なる任意の幅とすることにより、第1の被エッチング層111及び第2の被エッチング層112よりなる孤立パターンのマスクの幅を任意の幅にすることが可能である。
(第2の実施の形態の第6の変形例)
 次に、図16乃至図17Lを参照し、本発明の第2の実施の形態の第6の変形例に係る半導体装置の製造方法を説明する。
 図16は、本変形例に係る半導体装置の製造方法の各工程の手順を説明するための工程図である。また、図17A乃至図17Lは、本変形例に係る半導体装置の製造方法の工程を説明するための図であり、各工程における半導体装置の構造を模式的に示す断面図である。また、図16のステップS131乃至ステップS142の工程の各々の工程が行われた後の半導体装置の構造は、図17A乃至図17Lの各々の断面図で示される構造に対応する。
 本変形例に係る半導体装置の製造方法は、第2の実施の形態における工程の順序を一部変更したものであり、第3のパターン123を形成する第2のフォトレジスト膜115をトリミングせずに芯部125aのパターンまで形成した後に、芯部125aのパターンをトリミングする点で、第2の実施の形態に係る半導体装置の製造方法と相違する。
 図16を参照するに、第2の実施の形態において、ステップS113において第3のパターンを形成する第2のフォトレジスト膜をトリミングし、ステップS114において保護膜及び有機膜をトリミングするのと相違し、本変形例においては、ステップS133において保護膜及び有機膜をエッチングし、ステップS134において有機膜をトリミングする。
 本変形例に係る半導体装置の製造方法は、図16に示されるように、基板準備工程と、第1パターン形成工程と、フォトレジスト被覆工程と、保護膜除去工程と、第2パターン形成工程と、被エッチング層エッチング工程とを含む。基板準備工程は、ステップS131の工程を含み、第1パターン形成工程は、ステップS132乃至ステップS136の工程を含み、フォトレジスト被覆工程は、ステップS137の工程を含み、保護膜除去工程は、ステップS138の工程を含み、第2パターン形成工程は、ステップS139の工程を含み、被エッチング層エッチング工程は、ステップS140乃至ステップS142の工程を含む。
 始めに、ステップS131を含む準備工程を行う。ステップS131は、被エッチング層の上に有機膜を介して保護膜が形成された基板を用意する工程であり、第2の実施の形態におけるステップS111と同様の工程である。図17Aは、ステップS131の工程が行われた後の半導体装置の構造を示す断面図である。
 ステップS131では、図17Aに示されるように、基板110の上に、下から順に第1の被エッチング層111、第2の被エッチング層112、有機膜113、保護膜114が形成された基板を準備する。第2の被エッチング層112として、例えばアモルファスシリコン、ポリシリコンを用いることができる。有機膜113として、例えば化学気相法(CVD:Chemical Vapor Deposition)により成膜されたアモルファスカーボン、スピンオンにより成膜されたポリフェノールやi線レジスト等のフォトレジストを含む広範な有機系の材料を用いることができる。保護膜114として、例えば無機材料よりなる反射防止膜であるSOG膜(又はSiON膜、又はLTO膜とBARCの複合膜)を用いることができる。
 次に、ステップS132乃至ステップS136を含む第1パターン形成工程を行う。
 ステップS132は、第2のフォトレジスト膜115を成膜し、成膜された第2のフォトレジスト膜115を露光、現像して、図17Bに示されるように、第2のフォトレジスト膜115よりなり、ライン幅L103及びスペース幅S103を有する第3のパターン123を形成する第3パターン形成工程であり、第2の実施の形態のステップS112と同様の工程である。
 ステップS133は、第2のフォトレジスト膜115よりなる第3のパターン123をマスクとして、SOG膜(又はSiON膜、又はLTO膜とBARC膜の複合膜)よりなる保護膜114、及び有機膜113をエッチングする。図17Cは、ステップS133の工程が行われた後の半導体装置の構造を示す断面図である。
 ステップS133において、始めに、第3のパターン123をマスクとして、保護膜114のエッチングを行う。保護膜114のエッチングは、例えばCF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。
 ステップS133において、次に、第3のパターン123の形状が転写された保護膜114aをマスクとして、例えば、酸素ガス又は窒素ガス等のプラズマを用いて有機膜113を、図17Cに示されるように、プラズマエッチングし、ライン幅L103及びスペース幅S103を有し、上層部を保護膜114aで保護された有機膜113のパターン125aを形成する。
 ステップS134は、パターン125aを形成する有機膜113をトリミングする工程である。また、図17Dは、ステップS134の工程が行われた後の半導体装置の構造を示す断面図である。
 ステップS134において、有機膜113を酸素ガス又は窒素ガス等のプラズマを用いてトリミングして線幅を細くし、芯部のパターン125bを形成する。また、図17Dに示されるように、トリミングされてできる芯部のパターン125bの有機膜113におけるライン幅L104は、トリミングを行う前の第3のパターン123のライン幅L103に比べ細くなるので、芯部のパターン125bのライン幅L104及びスペース幅S104と、第3のパターン123のライン幅L103及びスペース幅S103との大小関係は、L104<L103、S104>S103となる。
 ステップS134におけるトリミングでは、有機膜113の上層部をマスクとしてのSOG膜(又はSiON膜、又はLTO膜とBARC膜の複合膜)よりなる保護膜114aによって覆った状態で行うため、有機膜113の垂直方向のエッチングが行われず膜厚が減少することなく、線幅のみを細くすることができ、かつ、トリミングが垂直に行われる。このため、後述するステップS135において、SiO膜116aを垂直に厚く形成することができる。
 なお、ステップS133における有機膜113をエッチングする工程と、ステップS134における有機膜113をトリミングする工程とは、連続して行うことができる。
 ステップS135は、芯部125bのパターンが形成された基板の上にSiO膜116aを成膜する成膜工程であり、第2の実施の形態のステップS115と同様の工程である。また、図17Eは、ステップS135の工程が行われた後の半導体装置の構造を示す断面図である。
 図17Eに示されるように、芯部125bが形成されている場所及び形成されていない場所を含め、基板全面にSiO膜116aが成膜され、芯部125bの側面にも芯部125bの側面を被覆するようにSiO膜116aが成膜される。このときのSiO膜116aの厚さをD101とすると、芯部125bのパターンの側面を被覆するSiO膜116aの幅もD101となる。SiO膜116aの厚さD101は、特に限定されるものではなく、例えば30nmとすることができる。
 次に、ステップS136を行う。ステップS136は、SiO膜116aが芯部125bの側壁部126aとしてのみ残るようにエッチングするエッチング工程である。また、図17Fは、ステップS136の工程が行われた後の半導体装置の構造を示す断面図である。
 ステップS136において、SiO膜116a及びSOG膜(又はSiON膜、又はLTO膜とBARCの複合膜)よりなる保護膜114aをエッチングし、SiO膜116aを有機膜113よりなる芯部125bの側壁部126aにのみ残し、芯部125bと側壁部126aよりなる第1のパターン121bを形成する。また、図17Fに示すように、芯部125bの上層部を保護する保護膜114aが残されるようにしてもよい。ステップS136におけるエッチングは、例えば、例えばCF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。第1のパターン121bのライン幅をL101、スペース幅をS101とすると、芯部125bのライン幅L104が30nm、側壁部126aの厚さD101が30nmである場合、L101=L104+D101×2、S101=L104+S104-L101であるため、L101を90nm、S101を30nmとすることができる。
 本変形例では、有機膜113の上にSOG膜(又はSiON膜、又はLTO膜とBARCの複合膜)よりなる保護膜114aが形成された状態で、SiO膜116aの成膜及びSiO膜116a及びSOG膜(又はSiON膜、又はLTO膜とBARCの複合膜)よりなる保護膜114aのエッチングを行うので、残ったSiO膜116aよりなる側壁部126aを垂直に形成することができる。
 以後、ステップS137からステップS142の工程は、それぞれ第2の実施の形態におけるステップS117からステップS122と同様の工程である。
 図17Gに示すように、ステップS137を含むフォトレジスト被覆工程を行って、第1のパターン121bの所定のパターン121cを第1のフォトレジスト膜117で被覆する。
 次に、図17Hに示すように、ステップS138を含む保護膜除去工程を行って、芯部125bの上層部を保護する保護膜114aをエッチングする。
 次に、図17Iに示すように、ステップS139を含む第2パターン形成工程を行って、芯部125bの有機膜113を除去することによって残った側壁部126aで構成される第2のパターン122aを形成する。第1のフォトレジスト膜117に被覆されない第1のパターン121bにおいて、芯部125bの有機膜113が除去されて側壁部126aのみが残り、ライン幅がD101、スペース幅がL104及びS101が交互に現れるようなパターンである第2のパターン122aが形成される。本変形例では、芯部125bのライン幅L104と第1のパターン121bのスペース幅S101とを等しくすることにより、スペース幅はL104及びS101に等しいS102となる。また、D101に等しいライン幅をあらためてL102とする。
 次に、図17Jに示すように、ステップS140の工程を行い、第2のパターン122a及び第1のパターン121cをマスクとし、有機膜113の下層である第2の被エッチング層112をエッチングし、上層部として側壁部126aを有する第2の被エッチング層112よりなり、第2のパターン122a及び第1のパターン121cと同一の形状を有する第5のパターン128aを形成する。
 次に、図17Kに示すように、ステップS141の工程を行い、第5のパターン128aをマスクとして第1の被エッチング層111をエッチングし、第1の被エッチング層111及び第2の被エッチング層112よりなる第6のパターン129aを形成する。その結果、ライン幅L102、スペース幅S102を有する偶数パターンである第2のパターン122aと、ライン幅L101を有する奇数パターンである第1のパターン121cとを同時に形成することができる。
 最後に、図17Lに示すように、ステップS142の工程を行い、ステップS141で除去されなかった有機膜113を除去する。
(第3の実施の形態)
 次に、図18を参照し、本発明の第3の実施の形態に係る半導体装置の製造方法を実施するための半導体装置の製造装置を説明する。
 図18は、本実施の形態に係る半導体装置の製造方法を実施するための半導体装置の製造装置の構成の一例を模式的に示す上面図である。
 半導体装置の製造装置100の中央部分には、真空搬送チャンバ50が設けられ、真空搬送チャンバ50に沿って、その周囲には、複数(本実施の形態では6個)の処理チャンバ51~56が設けられる。これらの処理チャンバ51、52、53、54、55、56は、内部でプラズマエッチング及び低温MLDを行うものである。
 真空搬送チャンバ50の手前側(図中下側)には、2つのロードロックチャンバ57が設けられ、これらのロードロックチャンバ57のさらに手前側(図中下側)には、大気中で基板(本実施の形態では半導体ウェハW)を搬送するための搬送チャンバ58が設けられる。また、搬送チャンバ58のさらに手前側(図中下側)には、複数枚の半導体ウェハWを収容可能とされた基板収容ケース(カセット又はフープ)が配置される載置部59が複数設けられ、搬送チャンバ58の側方(図中左側)には、オリエンテーションフラット或いはノッチにより半導体ウェハWの位置を検出するオリエンタ60が設けられる。
 ロードロックチャンバ57と搬送チャンバ58との間、ロードロックチャンバ57と真空搬送チャンバ50との間、真空搬送チャンバ50と処理チャンバ51~56との間には、夫々ゲートバルブ62が設けられ、これらの間を気密に閉塞及び開放できる。また、真空搬送チャンバ50内には真空搬送機構70が設けられる。この真空搬送機構70は、第1のピック71と第2のピック72を具備し、これらによって2枚の半導体ウェハWを支持可能に構成され、各処理チャンバ51~56、ロードロック室57に、半導体ウェハWを搬入、搬出できる構成される。
 また、搬送チャンバ58内には、大気搬送機構80が設けられる。大気搬送機構80は、第1のピック81と第2のピック82とを具備し、第1のピック81及び第2のピック82によって2枚の半導体ウェハWを支持可能に構成される。大気搬送機構80は、載置部59に載置された各カセット又はフープ、ロードロック室57、オリエンタ60に半導体ウェハWを搬入、搬出できるよう構成される。
 上記構成の半導体装置の製造装置100は、制御部90によって、その動作が統括的に制御される。この制御部90には、CPUを備え半導体装置の製造装置100の各部を制御するプロセスコントローラ91と、ユーザインターフェース部92と、記憶部93とが設けられる。
 ユーザインターフェース部92は、工程管理者が半導体装置の製造装置100を管理するためにコマンドの入力操作を行うキーボードや、半導体装置の製造装置100の稼働状況を可視化して表示するディスプレイ等から構成される。
 記憶部93には、半導体装置の製造装置100で実行される各種処理をプロセスコントローラ91の制御にて実現するための制御プログラム(ソフトウェア)や処理条件データ等が記憶されたレシピが格納される。必要に応じ、ユーザインターフェース部92からの指示等にて任意のレシピを記憶部93から呼び出してプロセスコントローラ91に実行させることで、プロセスコントローラ91の制御下で、半導体装置の製造装置100での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読み取り可能なプログラム記録媒体(例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等)などに格納された状態のものを利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。
 上記構成の半導体装置の製造装置100を用いて、第1の実施の形態、第1の実施の形態の第1乃至第5の変形例、第2の実施の形態及び第2の実施の形態の第1乃至第6の変形例に示した一連の工程を実施することができる。なお、フォトレジスト被覆工程及び成膜工程については、一旦半導体ウェハWを上記の半導体装置の製造装置100から搬出して他の装置によって行ってもよい。
 以上、本発明の好ましい実施の形態について記述したが、本発明はかかる特定の実施の形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
 本出願は、2008年6月13日に日本国特許庁へ出願された特許出願第2008-155844号に関連する主題、及び2008年6月13日に日本国特許庁へ出願された特許出願第2008-155845号に関連する主題を包含し、それらの内容のすべてをここに援用する。

Claims (19)

  1.  基板上の被エッチング層の上に第1の有機膜を成膜し、該第1の有機膜をパターニングして一定の幅のライン部を有する第1の有機膜パターンを形成する第1の有機膜パターン形成工程と、
     前記第1の有機膜パターンを等方的に被覆するように酸化シリコン膜を成膜する酸化シリコン膜成膜工程と、
     前記酸化シリコン膜をエッチングして、前記第1の有機膜パターンの前記ライン部の幅が、前記ライン部の表面を等方的に被覆する前記酸化シリコン膜の厚さと一定の比率となるように、第1のマスクパターンを形成する第1のマスクパターン形成工程と、
     前記酸化シリコン膜を被覆するように第2の有機膜を成膜し、該第2の有機膜をパターニングして前記第1の有機膜パターンのライン部の幅と一定の比率となるように第2の有機膜パターンを形成する第2の有機膜パターン形成工程と、
     前記第2の有機膜パターンに被覆された領域で、少なくとも側面部に前記酸化シリコン膜を含む第2のマスクパターンを形成する第2のマスクパターン形成工程と、
     前記第2の有機膜パターンに被覆された領域以外の領域で、前記第1の有機膜パターンを除去し、前記酸化シリコン膜が偶数配列してなる第3のマスクパターンを形成する第3のマスクパターン形成工程と、
     前記第2のマスクパターン及び第3のマスクパターンを用いて前記被エッチング層をエッチングするエッチング工程と
    を有する半導体装置の製造方法。
  2.  前記酸化シリコン膜成膜工程の前に、前記第1の有機膜パターンを幅寸法が第1の寸法になるようにトリミングする第1のトリミング工程を有し、
     前記酸化シリコン膜成膜工程において、トリミングされた前記第1の有機膜パターンを第2の寸法で等方的に被覆するように前記酸化シリコン膜を成膜することを特徴とする請求項1に記載の半導体装置の製造方法。
  3.  前記第2の寸法が前記第1の寸法と等しいことを特徴とする請求項2に記載の半導体装置の製造方法。
  4.  前記第2の有機膜パターンを幅寸法が第3の寸法になるようにトリミングする第2のトリミング工程を有する請求項2又は3に記載の半導体装置の製造方法。
  5.  前記第3の寸法が前記第1の寸法と等しいことを特徴とする請求項4に記載の半導体装置の製造方法。
  6.  前記第1の有機膜パターン形成工程において、前記基板上に前記被エッチング層及び第3の有機膜を介して形成された第1の保護膜の上に前記第1の有機膜を成膜し、
     前記第1のマスクパターン形成工程の前に、前記第2の有機膜パターン形成工程を行い、
     前記第1のマスクパターン形成工程を行う際に、前記酸化シリコン膜が前記第2の有機膜パターンの下層部として残るようにエッチングすることによって、前記第2のマスクパターン形成工程を同時に行い、
     前記第3のマスクパターン形成工程を行う際に、前記第2の有機膜パターンを除去することによって、前記第2のマスクパターン形成工程を同時に行うことを特徴とする請求項1に記載の半導体装置の製造方法。
  7.  前記第1の有機膜パターン形成工程において、前記第1の保護膜の上に前記第1の有機膜を成膜し、該第1の有機膜を露光、現像した後、トリミングを行って前記第1の有機膜パターンを形成することを特徴とする請求項6に記載の半導体装置の製造方法。
  8.  前記酸化シリコン膜成膜工程において、シリコンを含む原料ガスと酸素を含むガスとを交互に供給し、前記基板の上に酸化シリコン膜を成膜することを特徴とする請求項6に記載の半導体装置の製造方法。
  9.  前記エッチング工程において、
     前記第2のマスクパターン及び前記第3のマスクパターンを用いて前記第1の保護膜及び前記第3の有機膜をエッチングし、前記第3の有機膜、前記第1の保護膜及び前記酸化シリコン膜より構成される第4のマスクパターンを形成し、
     前記第4のマスクパターンを用いて、前記第3の有機膜の下層である前記被エッチング層をエッチングすることを特徴とする請求項6に記載の半導体装置の製造方法。
  10.  前記被エッチング層は、シリコン層、酸化シリコン層、窒化シリコン層又は酸窒化シリコン層であることを特徴とする請求項6に記載の半導体装置の製造方法。
  11.  前記第1の保護膜は、SOG膜、SiON膜又はLTO膜とBARC膜の複合膜であることを特徴とする請求項6に記載の半導体装置の製造方法。
  12.  前記第2の有機膜パターン形成工程の前に、前記第1のマスクパターン形成工程を行い、
     前記第2の有機膜パターン形成工程において、前記第1のマスクパターンの所定のパターンを被覆するように前記第2の有機膜パターンを形成し、
     前記第3のマスクパターン形成工程を行う際に、前記第2の有機膜パターンを除去することによって、前記第2のマスクパターン形成工程を同時に行うことを特徴とする請求項1に記載の半導体装置の製造方法。
  13.  前記第1の有機膜パターンの前記第1の有機膜は、上層部を第2の保護膜で保護されており、
     前記第2の有機膜パターン形成工程の後、前記第3のマスクパターン形成工程の前に、前記第2の保護膜を除去する保護膜除去工程を有することを特徴とする請求項12に記載の半導体装置の製造方法。
  14.  前記第1の有機膜パターン形成工程は、
     前記被エッチング層の上に前記第1の有機膜を介して形成された前記第2の保護膜の上に第4の有機膜を成膜し、該第4の有機膜をパターニングして第4の有機膜パターンを形成する第4の有機膜パターン形成工程と、
     前記第4の有機膜パターンを用いて前記第2の保護膜及び該第2の保護膜で保護された前記第1の有機膜をエッチングすることにより、前記第2の保護膜で保護された芯部のパターンを形成する芯部パターン形成工程と
    を具備することを特徴とする請求項13に記載の半導体装置の製造方法。
  15.  前記芯部パターン形成工程において、
     前記第4の有機膜パターンをトリミングした後、前記第2の保護膜及び該第2の保護膜で保護された前記第1の有機膜をエッチングすることを特徴とする請求項14に記載の半導体装置の製造方法。
  16.  前記酸化シリコン膜成膜工程において、シリコンを含む原料ガスと酸素を含むガスとを交互に供給し、前記基板の上に酸化シリコン膜を成膜することを特徴とする請求項13に記載の半導体装置の製造方法。
  17.  前記被エッチング層は、シリコン層、酸化シリコン層、窒化シリコン層又は酸窒化シリコン層であることを特徴とする請求項13に記載の半導体装置の製造方法。
  18.  前記被エッチング層として、前記基板側から順に第1の被エッチング層、第2の被エッチング層を積層して用いることを特徴とする請求項13に記載の半導体装置の製造方法。
  19.  前記第2の保護膜は、SOG膜、SiON膜又はLTO膜とBARC膜の複合膜であることを特徴とする請求項13に記載の半導体装置の製造方法。
PCT/JP2009/053525 2008-06-13 2009-02-26 半導体装置の製造方法 WO2009150870A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/997,584 US20110104901A1 (en) 2008-06-13 2009-02-26 Semiconductor device manufacturing method
JP2010516776A JP5484325B2 (ja) 2008-06-13 2009-02-26 半導体装置の製造方法
KR1020117000533A KR101203201B1 (ko) 2008-06-13 2009-02-26 반도체 장치의 제조 방법
US13/438,247 US20120190206A1 (en) 2008-06-13 2012-04-03 Semiconductor device manufacturing method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008-155845 2008-06-13
JP2008-155844 2008-06-13
JP2008155845 2008-06-13
JP2008155844 2008-06-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/438,247 Division US20120190206A1 (en) 2008-06-13 2012-04-03 Semiconductor device manufacturing method

Publications (1)

Publication Number Publication Date
WO2009150870A1 true WO2009150870A1 (ja) 2009-12-17

Family

ID=41416581

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/053525 WO2009150870A1 (ja) 2008-06-13 2009-02-26 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US20110104901A1 (ja)
JP (1) JP5484325B2 (ja)
KR (1) KR101203201B1 (ja)
WO (1) WO2009150870A1 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010066597A (ja) * 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010096896A (ja) * 2008-10-15 2010-04-30 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010520639A (ja) * 2007-03-05 2010-06-10 マイクロン テクノロジー, インク. 半導体構造、複数のラインを形成する方法、および高密度構造と低密度構造を単一フォトマスクで形成する方法
JP2011228707A (ja) * 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
JP2012054343A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 微細パターンの形成方法
WO2012036205A1 (ja) * 2010-09-14 2012-03-22 株式会社ニコン パターン形成方法及びデバイス製造方法
JP2012209552A (ja) * 2011-03-28 2012-10-25 Renesas Electronics Corp 半導体装置の製造方法
JP2013089827A (ja) * 2011-10-20 2013-05-13 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2013239757A (ja) * 2010-07-12 2013-11-28 Spp Technologies Co Ltd エッチング方法
KR20140015294A (ko) * 2010-12-17 2014-02-06 스펜션 엘엘씨 스페이서 이중 패턴화를 위한 자기 정렬 낸드 플래시 선택 게이트 워드라인
WO2015046449A1 (ja) * 2013-09-30 2015-04-02 富士フイルム株式会社 パターン形成方法、パターンマスクの形成方法、電子デバイスの製造方法及び電子デバイス
JP2016076620A (ja) * 2014-10-07 2016-05-12 東京エレクトロン株式会社 被処理体を処理する方法
JP2019517154A (ja) * 2016-05-23 2019-06-20 東京エレクトロン株式会社 複数の材料を有する層を用いて基板をパターニングする方法
JP2020123646A (ja) * 2019-01-30 2020-08-13 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
JP2020127029A (ja) * 2014-01-13 2020-08-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
JP2022092006A (ja) * 2017-11-21 2022-06-21 ラム リサーチ コーポレーション 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140264886A1 (en) * 2013-03-15 2014-09-18 Microchip Technology Incorporated Forming Fence Conductors Using Spacer Pattern Transfer
US9337197B1 (en) * 2014-10-28 2016-05-10 Globalfoundries Inc. Semiconductor structure having FinFET ultra thin body and methods of fabrication thereof
CN105826198B (zh) * 2015-01-08 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9735028B2 (en) * 2015-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
KR102420150B1 (ko) 2015-08-19 2022-07-13 삼성전자주식회사 반도체 소자의 제조 방법
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
US10347506B2 (en) 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
US10991583B2 (en) * 2018-09-28 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned litho etch process patterning method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0387026A (ja) * 1988-12-13 1991-04-11 Fujitsu Ltd 半導体装置の製造方法
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
JPH0456224A (ja) * 1990-06-25 1992-02-24 Mitsubishi Electric Corp 半導体装置の製造方法
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
JPH1126468A (ja) * 1997-07-02 1999-01-29 Sony Corp 半導体装置及びその製造方法
WO2006101695A1 (en) * 2005-03-15 2006-09-28 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
JP2007335763A (ja) * 2006-06-16 2007-12-27 Toshiba Corp 半導体装置及びその製造方法
JP2009027146A (ja) * 2007-06-01 2009-02-05 Applied Materials Inc 介挿領域を有するスペーサマスクを用いた頻度の3倍化

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8158527B2 (en) * 2001-04-20 2012-04-17 Kabushiki Kaisha Toshiba Semiconductor device fabrication method using multiple resist patterns
US6703312B2 (en) * 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6835662B1 (en) * 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US20070018286A1 (en) * 2005-07-14 2007-01-25 Asml Netherlands B.V. Substrate, lithographic multiple exposure method, machine readable medium
US20070033881A1 (en) * 2005-08-15 2007-02-15 Love Bethel W Safety and security block window system
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR100874433B1 (ko) * 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0387026A (ja) * 1988-12-13 1991-04-11 Fujitsu Ltd 半導体装置の製造方法
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
JPH0456224A (ja) * 1990-06-25 1992-02-24 Mitsubishi Electric Corp 半導体装置の製造方法
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
JPH1126468A (ja) * 1997-07-02 1999-01-29 Sony Corp 半導体装置及びその製造方法
WO2006101695A1 (en) * 2005-03-15 2006-09-28 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
JP2007335763A (ja) * 2006-06-16 2007-12-27 Toshiba Corp 半導体装置及びその製造方法
JP2009027146A (ja) * 2007-06-01 2009-02-05 Applied Materials Inc 介挿領域を有するスペーサマスクを用いた頻度の3倍化

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010520639A (ja) * 2007-03-05 2010-06-10 マイクロン テクノロジー, インク. 半導体構造、複数のラインを形成する方法、および高密度構造と低密度構造を単一フォトマスクで形成する方法
JP2010066597A (ja) * 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010096896A (ja) * 2008-10-15 2010-04-30 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2011228707A (ja) * 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
JP2013239757A (ja) * 2010-07-12 2013-11-28 Spp Technologies Co Ltd エッチング方法
JP2012054343A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 微細パターンの形成方法
US8795953B2 (en) 2010-09-14 2014-08-05 Nikon Corporation Pattern forming method and method for producing device
JP2012064939A (ja) * 2010-09-14 2012-03-29 Nikon Corp パターン形成方法及びデバイス製造方法
WO2012036205A1 (ja) * 2010-09-14 2012-03-22 株式会社ニコン パターン形成方法及びデバイス製造方法
KR20140015294A (ko) * 2010-12-17 2014-02-06 스펜션 엘엘씨 스페이서 이중 패턴화를 위한 자기 정렬 낸드 플래시 선택 게이트 워드라인
JP2014505359A (ja) * 2010-12-17 2014-02-27 スパンション エルエルシー スペーサダブルパターニングのための自己整合型nandフラッシュ選択ゲートワードライン
JP2012209552A (ja) * 2011-03-28 2012-10-25 Renesas Electronics Corp 半導体装置の製造方法
JP2013089827A (ja) * 2011-10-20 2013-05-13 Fujitsu Semiconductor Ltd 半導体装置の製造方法
WO2015046449A1 (ja) * 2013-09-30 2015-04-02 富士フイルム株式会社 パターン形成方法、パターンマスクの形成方法、電子デバイスの製造方法及び電子デバイス
JP2015069173A (ja) * 2013-09-30 2015-04-13 富士フイルム株式会社 パターン形成方法、パターンマスクの形成方法、電子デバイスの製造方法及び電子デバイス
US11164753B2 (en) 2014-01-13 2021-11-02 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
JP2020127029A (ja) * 2014-01-13 2020-08-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
JP7090118B2 (ja) 2014-01-13 2022-06-23 アプライド マテリアルズ インコーポレイテッド 空間的原子層堆積法による、自己整合ダブルパターニング
JP2016076620A (ja) * 2014-10-07 2016-05-12 東京エレクトロン株式会社 被処理体を処理する方法
JP2019517154A (ja) * 2016-05-23 2019-06-20 東京エレクトロン株式会社 複数の材料を有する層を用いて基板をパターニングする方法
JP7008907B2 (ja) 2016-05-23 2022-01-25 東京エレクトロン株式会社 複数の材料を有する層を用いて基板をパターニングする方法
JP2022092006A (ja) * 2017-11-21 2022-06-21 ラム リサーチ コーポレーション 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP7246547B2 (ja) 2017-11-21 2023-03-27 ラム リサーチ コーポレーション 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP2020123646A (ja) * 2019-01-30 2020-08-13 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
JP7178918B2 (ja) 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム

Also Published As

Publication number Publication date
JPWO2009150870A1 (ja) 2011-11-10
JP5484325B2 (ja) 2014-05-07
KR101203201B1 (ko) 2012-11-21
KR20110028346A (ko) 2011-03-17
US20110104901A1 (en) 2011-05-05
US20120190206A1 (en) 2012-07-26

Similar Documents

Publication Publication Date Title
JP5484325B2 (ja) 半導体装置の製造方法
KR100967925B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및,프로그램 기억 매체
KR100967924B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및,프로그램 기억 매체
KR101004691B1 (ko) 반도체 소자의 미세패턴 형성방법
CN103715114B (zh) 用于可适性自对准双图案成型的基于序列内测量的过程调谐
KR100761857B1 (ko) 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
JP5638413B2 (ja) マスクパターンの形成方法
US8080886B2 (en) Integrated circuit semiconductor device with overlay key and alignment key and method of fabricating the same
US20090087990A1 (en) Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
US20090001044A1 (en) Method for manufacturing a semiconductor device using a spacer as an etch mask for forming a fine pattern
JP2009158907A (ja) 半導体素子の微細パターン形成方法
US20090068842A1 (en) Method for forming micropatterns in semiconductor device
US8524604B2 (en) Method for forming fine pattern of semiconductor device
KR20100104861A (ko) 반도체 소자의 패턴 형성 방법
US20080230516A1 (en) Method for forming fine patterns using etching slope of hard mask layer in semiconductor device
US20220263018A1 (en) Multiply Spin-Coated Ultra-Thick Hybrid Hard Mask for Sub 60nm MRAM Devices
JP2010087300A (ja) 半導体装置の製造方法
WO2022198949A1 (zh) 半导体结构的制作方法
US20090246954A1 (en) Method of manufacturing semiconductor device
US8329385B2 (en) Method of manufacturing a semiconductor device
US7371695B2 (en) Use of TEOS oxides in integrated circuit fabrication processes
US20120276745A1 (en) Method for fabricating hole pattern in semiconductor device
US11315788B2 (en) Etching method for forming micro silicon pattern in semiconductor manufacturing process
CN111640657A (zh) 半导体器件及其形成方法
KR20220082981A (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09762301

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2010516776

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 12997584

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20117000533

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09762301

Country of ref document: EP

Kind code of ref document: A1